DE10231965B4 - Method for producing a T-gate structure and an associated field effect transistor - Google Patents

Method for producing a T-gate structure and an associated field effect transistor Download PDF

Info

Publication number
DE10231965B4
DE10231965B4 DE2002131965 DE10231965A DE10231965B4 DE 10231965 B4 DE10231965 B4 DE 10231965B4 DE 2002131965 DE2002131965 DE 2002131965 DE 10231965 A DE10231965 A DE 10231965A DE 10231965 B4 DE10231965 B4 DE 10231965B4
Authority
DE
Germany
Prior art keywords
gate
layer
spacer
sacrificial
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE2002131965
Other languages
German (de)
Other versions
DE10231965A1 (en
Inventor
Helmut Dr. Tews
Hans-Joachim Dr. Barth
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE2002131965 priority Critical patent/DE10231965B4/en
Priority to TW92119075A priority patent/TW200402780A/en
Priority to PCT/DE2003/002350 priority patent/WO2004010507A1/en
Publication of DE10231965A1 publication Critical patent/DE10231965A1/en
Application granted granted Critical
Publication of DE10231965B4 publication Critical patent/DE10231965B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren zur Herstellung einer T-Gate-Struktur mit den Schritten:
a) Ausbilden eines Opfer-Gatestapels (2, 3, 4) auf einem Halbleitersubstrat (1);
b) Ausbilden einer Seitenwand-Isolationsstruktur (5S, 6S) an den Seitenwänden des Opfer-Gatestapels (2, 3, 4);
c) Entfernen des Opfer-Gatestapels (2, 3, 4) zum Ausbilden einer Gate-Aussparung (A);
d) Entfernen eines Teils (5S) der Seitenwand-Isolationsstruktur (5S, 6S) im oberen Bereich (I) zum Ausbilden einer verbreiterten Gate-Aussparung (AA); und
e) Auffüllen der verbreiterten Gate-Aussparung (AA) mit einem hochleitfähigen Material (12),
dadurch gekennzeichnet, dass in Schritt c) der Opfer-Gatestapel (2, 3, 4) zum Freilegen des Halbleitersubstrats (1) vollständig entfernt wird;
ein Gate-Dielektrikum (9) zumindest am freigelegten Halbleitersubstrat (1) ausgebildet wird;
eine Gateschicht (10) auf dem Gate-Dielektrikum (9) ausgebildet wird; und
die Gateschicht (10) in einem oberen Bereich (I) wieder entfernt wird.
Method for producing a T-gate structure with the steps:
a) forming a sacrificial gate stack (2, 3, 4) on a semiconductor substrate (1);
b) forming a sidewall isolation structure (5S, 6S) on the sidewalls of the sacrificial gate stack (2, 3, 4);
c) removing the sacrificial gate stack (2, 3, 4) to form a gate recess (A);
d) removing a part (5S) of the sidewall insulating structure (5S, 6S) in the upper region (I) to form a widened gate recess (AA); and
e) filling the widened gate recess (AA) with a highly conductive material (12),
characterized in that in step c) the sacrificial gate stack (2, 3, 4) for exposing the semiconductor substrate (1) is completely removed;
a gate dielectric (9) is formed at least on the exposed semiconductor substrate (1);
a gate layer (10) is formed on the gate dielectric (9); and
the gate layer (10) in an upper area (I) is removed again.

Figure 00000001
Figure 00000001

Description

Die vorliegende Erfindung bezieht sich auf ein Verfahren zur Herstellung einer T-Gate-Struktur sowie eines zugehörigen Feldeffekttransistors gemäß dem Oberbegriff des Patentanspruchs 1.The The present invention relates to a method of manufacture a T-gate structure and an associated field effect transistor according to the generic term of claim 1

Ein derartiges gattungsbildendes Verfahren ist beispielsweise aus der Druckschrift US 6 346 450 B1 bekannt.Such a generic method is for example from the document US Pat. No. 6,346,450 B1 known.

Mit der fortschreitenden Integrationsdichte von Halbleiterschaltungen verringern sich zunehmend auch die kritischen Abmessungen bzw. kleinsten Strukturgrößen von Halbleiterbauelementen. Ein Transistortyp der in derartigen Halbleiterschaltungen weit verbreitet ist, ist der sogenannte Feldeffekttransistor, bei dem ein Strom zwischen einem Sourcegebiet und einem Draingebiet über eine sogenannte Steuer-Elektrode bzw. ein Gate angesteuert wird.With the progressive integration density of semiconductor circuits The critical dimensions and smallest ones are also increasingly decreasing Structure sizes of Semiconductor devices. A type of transistor widely used in such semiconductor circuits is widespread, is the so-called field effect transistor, in which a current between a source region and a drain region via a so-called control electrode or a gate is driven.

Die Leistungsfähigkeit und insbesondere die elektrischen Eigenschaften dieses Transistortyps sind jedoch wesentlich von seiner Größe bestimmt, wobei insbesondere für Strukturgrößen unterhalb von 100 Nanometer wesentliche Probleme auftreten. Insbesondere sind für Feldeffekttransistoren hierbei der elektrische Widerstand der Steuerschicht bzw. des Gates sowie eine Gate-Kapazität zu nennen. Die mit der fortschreitenden Miniaturisierung sich verkleinernden Strukturgrößen führen jedoch zu erhöhten Gate-Kapazitäten und erhöhten Gate-Widerständen, die die elektrischen Eigenschaften wie z.B. die Schalt-Geschwindigkeit und dem Leistungsverbrauch der Schaltung beeinflussen.The capacity and in particular the electrical properties of this transistor type however, essentially determined by its size, where especially for Structure sizes below 100 nanometers significant problems occur. In particular are for field effect transistors Here, the electrical resistance of the control layer or the gate as well as a gate capacitance to call. The ones shrinking with the progressive miniaturization However, structure sizes lead to increased gate capacities and increased Gate resistors, the the electrical properties such as the switching speed and affect the power consumption of the circuit.

Genauer gesagt wird auf Grund der sich verkürzenden Gate-Längen bzw. Kanallängen der Gate-Widerstand größer, wodurch insbesondere die Taktraten begrenzt werden.More accurate said gate resistance is due to the shortening gate lengths or channel lengths bigger, thereby in particular, the clock rates are limited.

Zur Beseitigung derartiger negativer Effekte wurde daher eine sogenannte T-Gate-Struktur eingeführt, bei der die Steuerschicht bzw. das Gate eine T-Form aufweist. Durch diese T-Form können im unteren Bereich weiterhin die erwünschten geringen Kanallängen realisiert werden, während auf Grund der Verbreiterung im oberen Bereich ausreichend kleine Gate-Widerstände ermöglicht werden.to Elimination of such negative effects has therefore become a so-called T-gate structure introduced, wherein the control layer or the gate has a T-shape. By this T-shape can be used in lower area continues to realize the desired low channel lengths be while due to the widening in the upper area sufficiently small Gate resistors are enabled.

Zur Realisierung derartiger T-Gate-Strukturen werden üblicherweise in einem dielektrischen Material zunächst ein breiter aber flacher erster Graben und anschließend im ersten Graben ein schmaler aber tiefer zweiter Graben ausgebildet und mit einem leitenden Halbleitermaterial aufgefüllt, wodurch man die gewünschte T-Gate-Struktur erhält. Ein derartiges herkömmliches Verfahren zur Herstellung von T-Gate-Strukturen ist beispielsweise aus der Druckschrift US 6 159 781 bekannt. Hierbei sind jedoch insbesondere Strukturbreiten unterhalb von 100 Nanometer schwierig herzustellen. Ferner können bei derartigen geringen Strukturbreiten die benötigten geringen Gate-Widerstände nicht länger mit dem üblicherweise verwendeten Polysilizium als Füllmaterial realisiert werden.In order to realize such T-gate structures, a broad but shallow first trench is usually first formed in a dielectric material and then a narrow but deep second trench is formed in the first trench and filled with a conductive semiconductor material, thereby obtaining the desired T-gate structure , Such a conventional method for the production of T-gate structures is for example from the document US Pat. No. 6,159,781 known. However, structural widths below 100 nanometers are particularly difficult to produce. Furthermore, with such small feature widths, the required low gate resistances can no longer be realized with the commonly used polysilicon as the filling material.

Ferner sind aus den Druckschriften DE 199 36 005 A1 und JP 2000124228 A jeweils Verfahren zur Herstellung einer T-Gate-Struktur bekannt, bei welchem Opfer-Gatestapel bzw. sogenann te Dummy-Gates auf einem Halbleitersubstrat ausgebildet werden, eine Seitenwand-Isolationsstruktur an den Seitenwänden des Opfer-Gatestapels ausgebildet wird, zumindest ein oberer Bereich des Opfer-Gatestapels zur Ausbildung einer Gate-Aussparung entfernt wird und die Gate-Aussparung mit einem hochleitfähigen Material aufgefüllt wird. Wiederum sind jedoch hierbei Strukturbreiten unterhalb von 100 Nanometer schwierig herzustellen.Furthermore, from the publications DE 199 36 005 A1 and JP 2000124228 A Each method for producing a T-gate structure is known in which sacrificial gate stacks or so-called dummy gates are formed on a semiconductor substrate, a sidewall insulating structure is formed on the side walls of the sacrificial gate stack, at least an upper region of the victim Gate stack is removed to form a gate recess and the gate recess is filled with a highly conductive material. Again, however, structure widths below 100 nanometers are difficult to produce.

Aus der Druckschrift US 6 235 627 B1 ist ein Verfahren zur Herstellung einer T-Gate-Struktur sowie eines zugehörigen Feldeffekttransistors bekannt, wobei nur ein oberer Bereich eines Opfer-Gatestapels zur Realisierung einer Verbreiterung bzw. T-Gate-Struktur entfernt wird.From the publication US Pat. No. 6,235,627 B1 a method for producing a T-gate structure and an associated field effect transistor is known, wherein only an upper region of a sacrificial gate stack for the realization of a widening or T-gate structure is removed.

Ferner wird gemäß dem gattungsbildenden Verfahren zur Herstellung einer T-Gate-Struktur nach der Druckschrift US 6 346 450 B1 eine Seitenwand-Isolationsstruktur im oberen Bereich des Opfer-Gatestapels vollständig entfernt, wodurch jedoch die Gefahr eines Kurzschlusses zu benachbarten Elementen erheblich zunimmt.Further, according to the generic method for producing a T-gate structure according to the document US Pat. No. 6,346,450 B1 completely removes a sidewall isolation structure at the top of the sacrificial gate stack, but significantly increases the potential for shorting to adjacent elements.

Der Erfindung liegt daher die Aufgabe zu Grunde, ein Verfahren zur Herstellung einer T-Gate-Struktur sowie eines zugehörigen Feldeffekttransistors zu schaffen, welches kostengünstig ist und auch für sub-100 Nanometer-Strukturen brauchbare elektrische Eigenschaften aufweist.Of the The invention is therefore based on the object, a process for the preparation a T-gate structure and an associated field effect transistor to create which cost is and also for Sub-100 nanometer structures have useful electrical properties having.

Erfindungsgemäß wird diese Aufgabe hinsichtlich der T-Gate-Struktur durch die Maßnahmen des Patentanspruchs 1 und hinsichtlich des Feldeffekttransistors durch die Maßnahmen des Patentanspruchs 13 gelöst.According to the invention this Task regarding the T-gate structure through the measures of Patent claim 1 and with respect to the field effect transistor the measures of claim 13.

Insbesondere durch das vollständige Entfernen des Opfer-Gatestapels zum Freilegen des Halbleitersubstrats, das Ausbilden eines Gate-Dielektrikums und einer Gateschicht am freigelegten Halbleitersubstrat und dem Entfernen der Gateschicht im oberen Bereich des Gatestapels können qualitativ hochwertige und an ein jeweiliges Halbleitermaterial angepasste Steuerschichten erzeugt und somit die elektrischen Eigenschaften verbessert sowie die Gefahr eines Kurzschlusses vom Gate zu benachbarten Elementen verringert werden.In particular, by completely removing the sacrificial gate stack to expose the semiconductor substrate, forming a gate dielectric and a gate layer on the exposed semiconductor substrate, and removing the gate layer at the top of the gate stack, high quality and to a respective semiconductor material can be achieved created customized control layers and thus improves the electrical properties and the risk of a short circuit from the gate to adjacent elements can be reduced.

Vorzugsweise wird als Opfer-Gatestapel ein Opfer-Gate und eine Abdeckschicht ausgebildet und strukturiert weshalb man nach Entfernen der Abdeckschicht eine ausreichende Isolation der oberen Kante des Opfer-Gates und somit des späteren Gates erhält.Preferably becomes a sacrificial gate stack a sacrificial gate and a cover layer formed and structured why you after removing the cover layer adequate isolation of the top edge of the sacrificial gate and thus of the later Gates receives.

Bei Verwendung eines ersten Spacers und eines zweiten Spacers, die beispielsweise mit einer ersten Ätzstoppschicht voneinander getrennt sind, erhält man eine besonders einfach zu realisierende Seitenwand-Isolationsstruktur, wobei zur Verbreiterung der Gate-Aussparung im oberen Bereich der erste Spacer unter Verwendung der ersten Ätzstoppschicht und des verbliebenen Opfer-Gates besonders einfach ausgebildet werden kann.at Use of a first spacer and a second spacer, for example with a first etch stop layer are separated from each other receives a particularly easy-to-implement side wall insulation structure, wherein the widening of the gate recess in the upper region of the first Spacer using the first etch stop layer and the remaining Victim gates can be easily trained.

Vorzugsweise kann für das Auffüllen der verbreiterten Gate-Aussparung ein sogenanntes Damascene-Verfahren durchgeführt werden, wobei eine Diffusionsbarrierenschicht und/oder eine Keim- bzw. Aufwachsschicht zur Vermeidung einer unerwünschten Eindiffusion von Dotierstoffen und zur Verbesserung eines Aufwachsvorgangs in der verbreiterten Aussparung ausgebildet werden.Preferably can for the padding the widened gate recess a so-called damascene method, wherein a diffusion barrier layer and / or a germination layer to prevent unwanted Indiffusion of dopants and to improve a growth process be formed in the widened recess.

Vorzugsweise wird bei diesem Auffüllen der verbreiterten Gate-Aussparung Kupfer als hochleitfähiges Material abgeschieden und planarisiert, wobei eine weitere Schutzschicht zur Vermeidung einer Ausdiffusion von unerwünschten Dotierstoffen ausgebildet wird.Preferably will be at this padding the widened gate recess copper as a highly conductive material deposited and planarized, with another protective layer designed to prevent outdiffusion of undesired dopants becomes.

Alternativ kann als hochleitfähiges Material jedoch auch sogenanntes dotiertes Metall abgeschieden und planarisiert werden (z.B. CuIn, CuAl, CuMg, CuSn, CuAg, CuZr), wobei anschließend durch eine thermische Behandlung die Diffusionsbarrierenschicht selbstjustierend an der Oberfläche durch Ausdiffusion der Dotierstoffe ausgebildet wird.alternative can be considered highly conductive Material but also so-called doped metal deposited and (e.g., CuIn, CuAl, CuMg, CuSn, CuAg, CuZr), wherein then through a thermal treatment self-adjusting the diffusion barrier layer through at the surface Outdiffusion of the dopants is formed.

Ferner kann eine weitere thermische Behandlung zum Erzeugen eines Körnerwachstums im hochleitfähigen Material durchgeführt werden, wodurch insbesondere eine Leitfähigkeit weiter verbessert werden kann und die Elektromigrationseigenschaften verbessert werden.Further may be another thermal treatment to produce a grain growth in highly conductive Material performed be, whereby in particular a conductivity can be further improved and the electromigration properties can be improved.

Insbesondere bei Verwendung von Ta oder TaN als Gate-Metallschicht kann eine notwendige Anpassung einer Austrittsarbeit der Gate-Metallschicht an eine jeweilige Dotierung des Halbleitersubstrats durch eine Stickstoff-Implantation angepasst werden.Especially when Ta or TaN is used as the gate metal layer, a necessary adaptation of a work function of the gate metal layer to a respective doping of the semiconductor substrate by a nitrogen implantation be adjusted.

Hinsichtlich des Verfahrens zur Herstellung eines Feldeffekttransistors können beim Ausbilden der Seitenwand-Isolationsstruktur eine oder mehrere Implantationen zum Ausbilden von Anschlussgebieten und/oder Source-/Draingebieten im Halbleitersubstrat durchgeführt werden, wodurch man besonders einfach und auf selbstjustierende Art und Weise diese Gebiete herstellen kann. Ferner können nach dem Ausbilden der Seitenwand-Isolationsstruktur hochleitfähige Anschlussbereiche für die Source-/Draingebiete mittels eines Silizid-Verfahrens wiederum selbstjustierend ausgebildet werden, weshalb dieses Verfahren insbesondere für Feldeffekttransistoren im Sub-100 Nanometer-Bereich geeignet ist.Regarding of the method for producing a field effect transistor can in Forming the sidewall isolation structure one or more implantations for forming connection areas and / or source / drain areas performed in the semiconductor substrate which makes it particularly easy and self-adjusting Way these areas can make. Further, after the Forming the sidewall isolation structure highly conductive connection areas for the Self-adjusting source / drain regions by means of a silicide method be formed, which is why this method, in particular for field effect transistors in the sub-100 nanometer range is suitable.

In den weiteren Unteransprüchen sind weitere vorteilhafte Ausgestaltungen der Erfindung gekennzeichnet.In the further subclaims Further advantageous embodiments of the invention are characterized.

Die Erfindung wird nachstehend anhand von Ausführungsbeispielen unter Bezugnahme auf die Zeichnung näher beschrieben.The Invention will now be described by way of embodiments with reference closer to the drawing described.

Es zeigen:It demonstrate:

1A bis 1H vereinfachte Schnittansichten zur Veranschaulichung wesentlicher Verfahrensschritte gemäß einem ersten Ausführungsbeispiel; 1A to 1H simplified sectional views for illustrating essential method steps according to a first embodiment;

2 eine vereinfachte Schnittansicht zur Veranschaulichung eines abschließenden Verfahrensschritts gemäß einem zweiten Ausführungsbeispiel; und 2 a simplified sectional view illustrating a final method step according to a second embodiment; and

3A bis 3C vereinfachte Schnittansichten zur Veranschaulichung wesentlicher Verfahrensschritte gemäß einem dritten nicht beanspruchten Beispiel. 3A to 3C simplified sectional views to illustrate essential process steps according to a third unclaimed example.

Die 1A bis 1H zeigen vereinfachte Schnittansichten zur Veranschaulichung wesentlicher Verfahrensschritte bei der Herstellung einer T-Gate-Struktur, wie sie vorzugsweise bei Feldeffekttransistoren in einem Sub-100 Nanometer-Bereich Verwendung findet. Grundsätzlich kann jedoch die T-Gate-Struktur auch für andere Halbleiter-Bauelemente wie z.B. nichtflüchtige Halbleiterspeicherelemente verwendet werden.The 1A to 1H show simplified sectional views illustrating essential process steps in the production of a T-gate structure, as it is preferably used in field-effect transistors in a sub-100 nanometer range. In principle, however, the T-gate structure can also be used for other semiconductor components, such as non-volatile semiconductor memory elements.

Gemäß 1A wird zunächst in einem Standardprozess auf einem Halbleitersubstrat 1 (z.B. monokristalines Si) beispielsweise ein nicht dargestelltes Pad-Oxid und Pad-Nitrid abgeschieden und zum Ausbilden von aktiven Gebieten im Halbleitersubstrat 1 eine flache Grabenisolierungen STI (Shallow Trench Isolation) ausgebildet. Anschließend können ebenfalls nicht dargestellte Wannen-Implantationen durchgeführt werden und an der Oberfläche des Halbleitersubstrats 1 eine nicht dargestellte Opferoxidschicht (Sacrificial Oxide) ausgebildet werden.According to 1A is first in a standard process on a semiconductor substrate 1 (For example, monocrystalline Si), for example, a pad oxide (not shown) and pad nitride deposited and for forming active regions in the semiconductor substrate 1 a shallow trench isolation STI (Shallow Trench Isolation) is formed. Subsequently, wells, also not shown, can be implanted are performed and on the surface of the semiconductor substrate 1 an unillustrated sacrificial oxide layer (sacrificial oxides) may be formed.

Nachfolgend wird eine Opfer-Gateschicht 2 ganzflächig abgeschieden, wobei vorzugsweise amorphes oder polykristallines Halbleitermaterial wie z.B. Silizium abgeschieden wird. An der Oberfläche der Opfer-Gateschicht 2 wird eine Hartmaskenschicht 3 als Abdeckschicht ausgebildet, wobei beispielweise eine TEOS-Hartmaske abgeschieden wird. Anschließend erfolgt mit einem herkömmlichen fotolithographischen Verfahren eine Strukturierung der Abdeckschicht 3 und mittels der strukturierten Abdeckschicht 3 eine Strukturierung der Opfer-Gateschicht 2 vorzugsweise selektiv zu dem auf der Halbleitersubstrat-Oberfläche vorhandenen Opfer-Oxidschicht.The following is a sacrificial gate layer 2 deposited over the entire surface, wherein preferably amorphous or polycrystalline semiconductor material such as silicon is deposited. On the surface of the sacrificial gate layer 2 becomes a hardmask layer 3 formed as a cover layer, for example, a TEOS hardmask is deposited. Subsequently, a structuring of the covering layer is carried out with a conventional photolithographic process 3 and by the structured covering layer 3 a structuring of the sacrificial gate layer 2 preferably selective to the sacrificial oxide layer present on the semiconductor substrate surface.

Insbesondere bei der Realisierung von T-Gate-Strukturen für Feldeffekttransistoren sollte die Abdeckschicht 3 auf der Opfer-Gateschicht 2 vorerst verbleiben und nicht entfernt werden, wodurch sich zu einem späteren Zeitpunkt verbesserte Isolationseigenschaften ergeben. Durch eine optionale zusätzliche Seitenwand-Oxidation der Opfer-Gateschicht 2 zur Ausbildung einer Seitenwand-Isolationsschicht 4 von z.B. 3 bis 6 Nanometer thermischen Oxids erhält man den in 1A dargestellten Opfer-Gatestapel.In particular, in the realization of T-gate structures for field effect transistors, the cover layer 3 on the sacrificial gate layer 2 remain for the time being and not be removed, resulting in improved insulation properties at a later date. By an optional additional sidewall oxidation of the sacrificial gate layer 2 for forming a sidewall insulation layer 4 from eg 3 to 6 Nanometer thermal oxide gives the in 1A illustrated sacrificial gate stack.

Nachfolgend werden an den Seitenwänden des Opfer-Gatestapels 2, 3 und 4 Seitenwand-Isolationsstrukturen ausgebildet. Beispielsweise wird mittels eines herkömmlichen Spacer-Verfahrens z.B. eine Siliziumnitridschicht ganzflächig abgeschieden und anschließend anisotrop geätzt, wodurch man die in 1a dargestellten ersten Spacer 5S und ersten Restschichten 5' an den Flanken der Grabenisolierung STI erhält. Auf Grund der verbliebenen Abdeckschicht 3 reicht der erste Spacer 5S bis zur Oberkante der Hartmaske bzw. Abdeckschicht 3, weshalb man für den letztendlich zu realisierenden Gatestapel eine ausreichende Isolierung zu benachbarten Elementen wie z.B. Kontaktanschlüssen erhält. Als anisotropes Ätzverfahren wird beispielsweise reaktives Ionenätzen (RIE) angewendet.The following are on the sidewalls of the sacrificial gate stack 2 . 3 and 4 Sidewall isolation structures formed. For example, by means of a conventional spacer method, for example, a silicon nitride layer is deposited over the whole area and then anisotropically etched, whereby the in 1a illustrated first spacer 5S and first residual layers 5 ' on the flanks of the trench isolation STI receives. Due to the remaining covering layer 3 The first spacer is enough 5S up to the upper edge of the hard mask or covering layer 3 why is obtained for the final gate stack sufficient insulation to adjacent elements such as contact terminals. As the anisotropic etching method, for example, reactive ion etching (RIE) is used.

Optional kann nach Ausbildung des Opfer-Gatestapels 2, 3 und 4 und des Spacers 5S eine erste Implantation I1 durchgeführt werden, wodurch im Halbleitersubstrat Anschlussgebiete LDD für ein jeweiliges Kanalgebiet selbstjustierend ausgebildet werden.Optionally, after formation of the sacrificial gate stack 2 . 3 and 4 and the spacer 5S a first implantation I 1 are performed, whereby connecting regions LDD for a respective channel region are formed self-aligning in the semiconductor substrate.

Nach diesem Herstellungsschritt zur Realisierung des ersten Spacers 5S kann optional ferner eine (nicht dargestellte) Ätzstoppschicht in Form eines dünnen Oxids an den Seitenflanken des ersten Spacers 5S ausgebildet werden. Beispielsweise wird hierbei eine ca. 2 Nanometer dicke CVD-Siliziumdioxidschicht abgeschieden oder diese Ätzstoppschicht thermisch beispielsweise durch Umwandlung eines Teils der Si3N4-Schicht mittels eines Oxidations-Verfahrens realisiert.After this manufacturing step for the realization of the first spacer 5S Optionally, it may further include an etch stop layer (not shown) in the form of a thin oxide on the side flanks of the first spacer 5S be formed. By way of example, an approximately 2 nanometer thick CVD silicon dioxide layer is deposited or this etch stop layer is thermally realized, for example, by converting part of the Si 3 N 4 layer by means of an oxidation process.

Zur Herstellung von T-Gate-Strukturen im Sub-100 NanometerBereich wird der Opfer-Gatestapel 2, 3 und 4 vorzugsweise sublithographisch ausgebildet und kann demzufolge eine Breite von typischen 30 bis 50 Nanometer aufweisen. Die Höhe der Opfer-Gateschicht 2 beträgt beispielsweise 100 bis 200 Nanometer und die Dicke der ersten Spacer 5S 10 bis 20 Nanometer.For the production of T-gate structures in the sub-100 nanometer range, the sacrificial gate stack 2 . 3 and 4 preferably formed sublithographically and thus may have a width of typically 30 to 50 nanometers. The height of the sacrificial gate layer 2 is for example 100 to 200 nanometers and the thickness of the first spacer 5S 10 to 20 nanometers.

Selbstverständlich können auch andere Abmessungen in Abhängigkeit von einem jeweiligen Anwendungsfall und jeweils verwendeten Materialien eingestellt werden.Of course you can too other dimensions depending set by a particular application and the materials used become.

Gemäß 1B wird in einem nachfolgenden Schritt zur Vervollständigung der Seitenwand-Isolationsstruktur ein zweiter Spacer 6S analog zum ersten Spacer 5S wiederum mittels eines herkömmlichen Spacer-Verfahrens ausgebildet, wobei beispielsweise eine zweite Siliziumnitridschicht abgeschieden und anisotrop geätzt wird. Die Dicke dieses zweiten Spacers 6S beträgt beispielsweise 50 bis 70 Nanometer, während sich seine Höhe vorzugsweise wiederum bis zur Oberkante der Hartmaske bzw. Abdeckschicht 3 erstreckt und dadurch eine Isolation in diesem Bereich verbessert.According to 1B In a subsequent step of completing the sidewall isolation structure, a second spacer is formed 6S analogous to the first spacer 5S again formed by means of a conventional spacer method, wherein, for example, a second silicon nitride layer is deposited and anisotropically etched. The thickness of this second spacer 6S is for example 50 to 70 nanometers, while its height preferably again up to the top of the hard mask or cover layer 3 extends, thereby improving isolation in this area.

Gemäß 1B kann unter Verwendung des ersten und zweiten Spacers 5S und 6S sowie des Opfer-Gatestapels eine zweite Implantation I2 durchgeführt werden, wodurch im Halbleitersubstrat die eigentlichen Source-/Draingebiete S/D ausgebildet werden. Wiederum erhält man hierbei einen selbstjustierenden Prozess, der insbesondere für sehr kleine Strukturen geeignet ist.According to 1B can be done using the first and second spacer 5S and 6S and the sacrificial gate stack, a second implantation I 2 are performed, whereby the actual source / drain regions S / D are formed in the semiconductor substrate. Again, this gives you a self-adjusting process that is particularly suitable for very small structures.

Insbesondere bei der Herstellung eines Feldeffekttransistors mit T-Gate-Struktur können bereits zu diesem Zeitpunkt hochleitfähige Anschlussbereiche 7 für die Source-/Draingebiete S/D beispielsweise mittels eines selbstjustierenden Silizid-Verfahrens (Salicide Process) ausgebildet werden. Zur Realisierung derartiger hochleitfähiger Anschlussbereiche 7 wird gemäß 1C beispielsweise zunächst silizierfähiges Material bzw. eine silizierfähige Metallschicht wie z.B. Kobalt, Nickel oder Platin ganzflächig abgeschieden. Anschließend wird eine Umwandlung der kristallinen Oberflächenschicht des Halbleitersubstrats 1 unter Verwendung des silizierfähigen Materials zum Ausbilden von hochleitfähigen Anschlussbereichen 7 durchgeführt, wobei an den nicht mit Halbleitermaterial (Silizium) in Berührung stehenden Oberflächen kein Si lizid ausgebildet wird, sondern das abgeschiedene Material (Metall) bestehen bleibt, weshalb wiederum mittels eines vorzugsweise nasschemischen Ätzverfahrens eine selektive Rückätzung der abgeschiedenen Metallschicht erfolgen kann. Auf diese Weise kann unter Verwendung von lediglich einer Ätzkammer eine Vielzahl von Strukturierungsschritten zum Ausbilden der Spacerstrukturen sowie der Anschlussbereiche selbstjustierend durchgeführt werden, weshalb sich die Herstellungskosten weiter verringern.In particular, in the manufacture of a field effect transistor with T-gate structure can already at this time highly conductive connection areas 7 for the source / drain regions S / D, for example by means of a self-adjusting silicide process (Salicide Process) are formed. For the realization of such highly conductive connection areas 7 is according to 1C For example, first silicatable material or a silizierfähige metal layer such as cobalt, nickel or platinum over the entire surface deposited. Subsequently, a conversion of the crystalline surface layer of the semiconductor substrate 1 using the silicatable material to form highly conductive connection areas 7 carried out, wherein on the not with semiconductor material (silicon) in contact surfaces no Si lizid is formed, but the deposited material (metal) remains, wes In turn, a selective etching back of the deposited metal layer can be carried out by means of a preferably wet-chemical etching process. In this way, using only one etching chamber, a plurality of structuring steps for forming the spacer structures as well as the terminal regions can be carried out in a self-adjusting manner, which further reduces the manufacturing costs.

Bei der Verwendung von Kobalt, Nickel, Titan oder Platin ergeben sich als hochleitfähige Anschlussbereiche 7 Kobalt-, Nickel-, Titan oder Platin-Silizidschichten, die selbstjustierend ausgebildet werden können. Die vorstehend beschriebene Ausbildung der Anschlussbereiche 7 kann jedoch auch zu einem späteren Zeitpunkt, beispielsweise nach Fertigstellung des Feldeffekttransistors und Ausbildung von Kontaktöffnungen, durchgeführt werden.When using cobalt, nickel, titanium or platinum arise as highly conductive connection areas 7 Cobalt, nickel, titanium or platinum silicide layers, which can be formed self-aligning. The above-described embodiment of the connection areas 7 However, it can also be carried out at a later time, for example after completion of the field effect transistor and formation of contact openings.

Gemäß 1D wird in einem nachfolgenden Schritt eine Schutzschicht 8 ausgebildet und gemeinsam mit der Seitenwand-Isolationsstruktur bzw. den beiden Spacer 5S und 6S bis zur Opfer-Gateschicht 2 planarisiert. Genauer gesagt wird beispielsweise eine HDP-Oxidschicht (High Density Plasma) eine BPSG-Schicht (Bor-Phosphor-Silikatglas) oder eine TEOS-Schicht ganzflächig abgeschieden und mittels eines CMP-Verfahrens (Chemical Mechanical Polishing) planarisiert, wobei als Stoppschicht das Polysilizium der Opfer-Gateschicht 2 dient.According to 1D becomes a protective layer in a subsequent step 8th formed and together with the side wall insulation structure and the two spacers 5S and 6S until the sacrificial gate layer 2 planarized. More specifically, for example, a high density plasma (HDP) oxide layer, a BPSG (Boron Phosphorus Silicate Glass) layer or a TEOS layer is deposited over the entire surface and planarized by a CMP (Chemical Mechanical Polishing) method using as the stop layer the polysilicon of the sacrificial gate layer 2 serves.

Gemäß 1E kann nachfolgend im Wesentlichen ein spezieller Gate-Replacement-Prozess durchgeführt werden. Beispielsweise wird zunächst die Opfer-Gateschicht 2 mit einer nasschemischen Polysilizium-Ätzung vollständig entfernt und anschließend mit einer Oxidätzung die an der Halb leitersubstrat-Oberfläche ausgebildete Opfer-Oxidschicht sowie die an den Seitenwänden bzw. dem ersten Spacer 5S verbleibende Seitenwand-Isolationsschicht 4 vollständig entfernt. Anschließend wird zumindest im Bodenbereich der Aussparung bzw. an der freigelegten Oberfläche des Halbleitersubstrats 1 ein Gate-Dielektrikum 9 ausgebildet, wobei beispielsweise Siliziumoxid, Siliziumnitrid, Oxinitrid oder ein sogenanntes High-k-Dielektrikum abgeschieden wird. Derartige Gate-Dielektrika besitzen eine ausreichend hohe dielektrische Konstante und können demzufolge eine ausreichend hohe Gate-Kapazität realisieren. Anschließend wird die Gate-Aussparung A mit der eigentlichen Gateschicht 10 aufgefüllt, wobei beispielsweise undotiertes Polysilizium verwendet werden kann. Nach einer Poly-CMP-Planarisierung kann zur Dotierung der Gateschicht 10 bzw. zur Realisierung einer ausreichenden Leitfähigkeit der Gateschicht 10 eine nicht dargestellte Gate-Implantation durchgeführt werden.According to 1E In the following, essentially a special gate replacement process can be carried out. For example, first the victim gate layer 2 completely removed with a wet-chemical polysilicon etching and then with an oxide etch formed on the semiconductor substrate surface semiconductor sacrificial oxide layer and on the side walls and the first spacer 5S remaining sidewall insulation layer 4 completely removed. Subsequently, at least in the bottom region of the recess or on the exposed surface of the semiconductor substrate 1 a gate dielectric 9 formed, wherein, for example, silicon oxide, silicon nitride, oxynitride or a so-called high-k dielectric is deposited. Such gate dielectrics have a sufficiently high dielectric constant and accordingly can realize a sufficiently high gate capacitance. Subsequently, the gate recess A with the actual gate layer 10 filled, for example, undoped polysilicon can be used. After poly-CMP planarization, the gate layer can be doped 10 or for the realization of a sufficient conductivity of the gate layer 10 a gate implantation not shown are performed.

Alternativ zu der vorstehend beschriebenen Prozessfolge können jedoch auch in-situ dotierte Materialien wie z.B. Polysilizium oder Poly-SiGe für NFET und PFET verwendet werden oder aber Gate-Metallschichten mit geeigneten Austrittsarbeiten.alternative However, in-situ doped to the process sequence described above Materials such as e.g. Polysilicon or poly-SiGe for NFET and PFET can be used or gate metal layers with suitable Work functions.

Falls die hochleitenden Silizidschichten 7 noch nicht ausgebildet worden sind, werden sie zu diesem Zeitpunkt hergestellt. Dazu wird die Isolationsschicht 8 entfernt, z.B. mittels eines naßchemischen Ätzverfahrens. Anschließend wird die gewünschte Metallschicht wie z.B. Co, Ni, Ti, oder Pt abgeschieden und silizidiert. Dann wird die Isolationsschicht 8 wieder aufgebracht und planarisiert.If the highly conductive silicide layers 7 not yet been formed, they are made at this time. This is the isolation layer 8th removed, for example by means of a wet chemical etching process. Subsequently, the desired metal layer such as Co, Ni, Ti, or Pt is deposited and silicided. Then the insulation layer becomes 8th reapplied and planarized.

Abschließend wird die eingebrachte Gateschicht 10 im oberen Bereich I wieder entfernt, wobei beispielsweise bis auf eine Tiefe von 50 Nanometer über dem Gate-Dielektrikum 9 ein sogenanntes CDE-Verfahren (Chemical Dry Etching) durchgeführt wird.Finally, the introduced gate layer 10 in the upper region I again removed, for example, to a depth of 50 nanometers above the gate dielectric 9 a so-called CDE (Chemical Dry Etching) method is performed.

Gemäß 1F wird zur Ausbildung einer verbreiterten Gate-Aussparung AA nunmehr die im oberen Bereich I freiliegende Seitenwand-Isolationsstruktur bzw. der erste Spacer 5S entfernt. Dieses Entfernen kann entweder nach einer Zeitdauer oder bis zu der optional eingefügten Ätzstoppschicht erfolgen. Hierbei dient die zwischen dem ersten Spacer 5S und zweiten Spacer 6S ausgebildete dünne Oxidschicht als Ätzstoppschicht.According to 1F In order to form a widened gate recess AA, the side wall insulation structure or the first spacer exposed in the upper region I will now be visible 5S away. This removal can be done either after a period of time or until the optionally inserted etch stop layer. Here, the serves between the first spacer 5S and second spacer 6S formed thin oxide layer as Ätzstoppschicht.

Bei typischen Dicken des ersten Spacers 5S von 10 Nanometer erreicht man somit eine Verbreiterung des Gatestapels in seinem oberen Bereich I um 20 Nanometer, was insbesondere bei Strukturgrößen unterhalb von 100 Nanometer eine wesentliche Verbreiterung des Gates darstellt. Bei zukünftig zu realisierenden Feldeffekttransistoren mit kleiner Gatelänge von z.B. kleiner 40 Nanometer erhält man somit eine Verbreiterung des Gates in seinem oberen Bereich I um 50% oder mehr.At typical thicknesses of the first spacer 5S of 10 nanometers is thus achieved a broadening of the gate stack in its upper region I by 20 nanometers, which represents a significant broadening of the gate, especially for feature sizes below 100 nanometers. In future to be realized field effect transistors with a small gate length of, for example, less than 40 nanometers thus obtained a widening of the gate in its upper region I by 50% or more.

Da ferner der erste Spacer 5S und der zweite Spacer 6S zu einem Zeitpunkt ausgebildet wurden, als der Opfer-Gatestapel mit seinem aus der Seitenwand-Oxidschicht 4 und der Opfer-Gateschicht 2 bestehenden Schichten noch die Abdeckschicht 3 hatte, ist sichergestellt, dass vom zweiten Spacer 6S eine ausreichend dicke Isolationsschicht bzw. Nitridschicht bis an die Oberkante der verbreiterten Gate-Aussparung AA reicht. Diese Isolationsschicht bzw. der zweite Spacer 6S verhindert somit einen möglichen Kurzschluss bei einem späteren Prozessschritt zur Realisierung von Kontaktlöchern für Gate- und Source-/Draingebiete.Furthermore, as the first spacer 5S and the second spacer 6S were formed at a time when the sacrificial gate stack with its from the sidewall oxide layer 4 and the sacrificial gate layer 2 existing layers nor the cover layer 3 had, made sure of the second spacer 6S a sufficiently thick insulating layer or nitride layer extends to the upper edge of the widened gate recess AA. This insulating layer or the second spacer 6S thus prevents a possible short circuit in a later process step for the realization of contact holes for gate and source / drain areas.

Gemäß 1G kann bei der weiteren Prozessführung an dieser Stelle das gewünschte Material für den oberen Bereich I des Gates ausgebildet werden. Dieses kann beispielsweise Polysilizium sein, wobei jedoch eine verbesserte Prozessführung an dieser Stelle hochleitfähiges Material 12 wie z.B. Cu verwendet. Insbesondere wird an dieser Stelle auf ein sogenanntes Damascene-Verfahren hingewiesen, wie es beispielsweise von T. Matsuki et. al. „Cu/Poly Si Damascene gate structured MOSFET with Ta and TaN stacked barrier", IEDM 1999, Seiten 261 bis 264 bekannt ist.According to 1G can be formed in the further process control at this point, the desired material for the upper region I of the gate become. This may be, for example polysilicon, but with improved process control at this point highly conductive material 12 such as Cu used. In particular, reference is made at this point to a so-called damascene method, as described, for example, by T. Matsuki et. al. "Cu / poly Si damascene gate structured MOSFET with Ta and TaN stacked barrier", IEDM 1999, pages 261 to 264 is known.

Gemäß 1G kann demzufolge in der verbreiterten Gate-Aussparung AA zunächst eine Schicht 11 (z.B. TiN, Ta, TaN, TaC, WN, WC, WCN) ausgebildet werden, die als Diffusionsbarrierenschicht und/oder als Keim- bzw. Aufwachsschicht einerseits ein unerwünschtes Eindiffundieren von störenden Verunreinigungen aus dem hochleitfähigen Material 12 verhindert und andererseits ein verbessertes Wachstum in dem sehr schmalen Graben ermöglicht. Als hochleitfähiges Material 12 wird anschließend beispielsweise Cu (oder Al, W, Ag, Au) abgeschieden und mittels eines CMP-Verfahrens planarisiert.According to 1G can therefore in the widened gate recess AA first a layer 11 (For example, TiN, Ta, TaN, TaC, WN, WC, WCN) are formed, the diffusion barrier layer and / or as a germ or growth layer on the one hand, an undesirable in-diffusion of interfering impurities from the highly conductive material 12 prevents and on the other hand allows for improved growth in the very narrow trench. As a highly conductive material 12 For example, Cu (or Al, W, Ag, Au) is subsequently deposited and planarized by means of a CMP process.

Gemäß 1H wird zur Realisierung einer weiteren Schutzschicht eine sogenannte Cap-Schicht 13 und eine relativ dicke Isolationsschicht 14 ganzflächig ausgebildet. Die Cap-Schicht 13 dient hierbei wiederum als Diffusionsbarrierenschicht zur Vermeidung einer Ausdiffusion von beispielsweise Cu-Atomen und besteht beispielsweise aus Siliziumnitrid, SiC oder SiCN. Als Isolationsschicht 14 für die Kontaktlochebene wird beispielsweise BPSG, TEOS oder ein Low-k-Material mit geringer dielektrischer Konstante verwendet. Abschließend werden Kontaktlöcher V an den Stellen der Source-/Draingebiete S/D und des Gates ausgebildet.According to 1H For the realization of a further protective layer, a so-called cap layer is used 13 and a relatively thick insulating layer 14 formed over the entire surface. The cap layer 13 serves in turn as a diffusion barrier layer to avoid outdiffusion of, for example, Cu atoms and consists for example of silicon nitride, SiC or SiCN. As insulation layer 14 for the contact hole plane, for example, BPSG, TEOS or a low-k material with a low dielectric constant is used. Finally, contact holes V are formed at the positions of the source / drain regions S / D and the gate.

Auf diese Weise erhält man eine T-Gate-Struktur mit hervorragenden elektrischen Leitfähigkeiten, welche auch in einem Sub-100 Nanometer-Bereich einfach und hoch genau ausgebildet werden kann.On get that way a T-gate structure with excellent electrical conductivities, which also in a sub-100 nanometer range simple and high can be trained exactly.

2 zeigt eine vereinfachte Schnittansicht eines abschließenden Herstellungsschritts gemäß einem zweiten Ausführungsbeispiel, wobei gleiche Bezugszeichen gleiche oder entsprechende Schichten bzw. Elemente darstellen wie in 1 auf eine wiederholte Beschreibung nachfolgend verzichtet wird. 2 shows a simplified sectional view of a final manufacturing step according to a second embodiment, wherein like reference numerals represent the same or corresponding layers or elements as in 1 a repeated description is omitted below.

Gemäß 2 kann alternativ zur vorstehend beschriebenen Cap-Schicht 13 auch eine selektive Abscheidung eines Metalls als metallische Diffusionsbarriere durchgeführt werden. Als derartige Metalle kommen im Wesentlichen CoP, CoWP, (stromlos abgeschiedenes) CoWB, oder (CVD-abgeschiedenes) W oder WN in Frage. In diesem Fall befindet sich eine dadurch ausgebildete weitere Diffusionsbarrierenschicht 130 nur auf dem hochleitfähigen Material 12 und nicht auf der Schutzschicht 8.According to 2 may alternatively to the cap layer described above 13 also a selective deposition of a metal as a metallic diffusion barrier can be performed. As such metals, essentially CoP, CoWP, (electrolessly deposited) CoWB, or (CVD-deposited) W or WN are suitable. In this case, there is a further diffusion barrier layer formed thereby 130 only on the highly conductive material 12 and not on the protective layer 8th ,

Wenn als Material zur Füllung der verbreiterten Gate-Aussparung AA dotierte Metallschichten und insbesondere dotierte Cu-Schichten wie CuAl, CuMg, CuIn, CuSn, CuZr usw. verwendet werden, ist die Abscheidung einer derartigen Cap-Schicht nicht erforderlich, da diese Schichten nach einer thermischen Behandlung bei einer Temperatur kleiner 400 Grad Celsius die Dotierstoffe an die Oberfläche diffundieren und eine selbstpassivierende Schicht als weitere Diffusionsbarrierenschicht 130 erzeugen.If doped metal layers and in particular doped Cu layers such as CuAl, CuMg, CuIn, CuSn, CuZr, etc. are used as the material for filling the widened gate recess AA, the deposition of such a cap layer is not necessary, since these layers after a thermal treatment at a temperature less than 400 degrees Celsius, the dopants diffuse to the surface and a self-passivating layer as a further diffusion barrier layer 130 produce.

Zur Reduzierung des Widerstands des hochleitfähigen Materials 12 in den geometrisch sehr kleinen Aussparungen A und AA kann ferner ein weiteres thermisches Ausheilen lokal oder global in einem Ofenprozess durchgeführt werden, wobei ein Körnerwachstum im hochleitfähigen Material 12 optimiert und eine Anzahl von Korngrenzen minimiert wird. Neben der verbesserten Leitfähigkeit lassen sich hierdurch auch die Elektromigrationseigenschaften der Steuerschicht wesentlich verbessern.To reduce the resistance of the highly conductive material 12 Furthermore, in the geometrically very small recesses A and AA, further thermal annealing may be carried out locally or globally in a furnace process, wherein grain growth in the highly conductive material 12 optimized and a number of grain boundaries is minimized. In addition to the improved conductivity, this also allows the electromigration properties of the control layer to be significantly improved.

Erfindungsgemäß wird somit unter Verwendung eines speziellen Gate-Replacement-Prozesses ohne Durchführung eines zusätzlichen kritischen Lithographieschritts eine T-Gate-Struktur herqestellt, wobei der Gate-Widerstand verringert ist und die Gate-Kapazitäten verbessert sind. Insbesondere bei Verwendung von hochleitfähigen Materialien wie Cu erhält man besonders geringe Gate-Widerstände.Thus, according to the invention using a special gate replacement process without execution an additional one critical lithography step a T-gate structure produced, wherein the gate resistance is reduced and the gate capacitances improved are. In particular, when using highly conductive materials such as Cu is obtained especially low gate resistance.

3A bis 3C zeigen wiederum vereinfachte Schnittansichten zur Veranschaulichung wesentlicher Herstellungsschritte gemäß einem nicht beanspruchten Beispiel, wobei gleiche Bezugszeichen gleiche oder entsprechende Schichten wie in den Ausführungsbeispielen 1 und 2 beschreiben und daher auf eine detaillierte Beschreibung nachfolgend verzichtet wird. 3A to 3C again show simplified sectional views to illustrate essential manufacturing steps according to an unclaimed example, wherein like reference numerals describe the same or corresponding layers as in the embodiments 1 and 2 and therefore a detailed description is omitted hereafter.

Bei diesem Beispiel wird wiederum der Opfer-Gatestapel, bzw. das aus der Gateschicht 2 und der Seitenwand-Isolationsschicht 4 bestehende Opfer-Gate, vollständig entfernt, wodurch man verbesserte elektrische Eigenschaften für einen jeweiligen Feldeffekttransistor erhält.In this example, in turn, the sacrificial gate stack, or that of the gate layer 2 and the sidewall insulation layer 4 existing sacrificial gate, completely removed, thereby obtaining improved electrical properties for a respective field effect transistor.

Gemäß dem nachfolgend beschriebenen Beispiel werden in gleicher Weise wie im ersten Ausführungsbeispiel zunächst die Herstellungsschritte gemäß 1A bis 1D durchgeführt, wobei jedoch nunmehr nach dem Planarisieren gemäß 1D der Verfahrensschritt gemäß 3A durchgeführt wird.According to the example described below, in the same way as in the first embodiment, the manufacturing steps according to 1A to 1D carried out, but now after the planarization according to 1D the method step according to 3A is carried out.

Genauer gesagt wird bei diesem Beispiel die Opfer-Gateschicht 2 nicht vollständig, d.h. bis zum Halbleitersubstrat 1, sondern lediglich im oberen Bereich I entfernt und anschließend unter Verwendung dieser Aussparung A die ersten Spacer 5S in gleicher Weise wie beim ersten Ausführungsbeispiel gemäß 1F zum Ausbilden der verbreiterten Gate- Aussparung AA entfernt. Zur Vermeidung von Wiederholungen wird daher an dieser Stelle auf die Beschreibung im ersten Ausführungsbeispiel verwiesen.More specifically, in this example, the sacrificial gate layer becomes 2 not complete, ie until the Semiconductor substrate 1 but only in the upper area I removed and then using this recess A, the first spacer 5S in the same way as in the first embodiment according to 1F removed to form the widened gate recess AA. To avoid repetition, reference is therefore made at this point to the description in the first embodiment.

Somit erhält man die in 3A dargestellte verbreiterte Gate-Aussparung AA, die zunächst in ihrem unteren Bereich II noch mit dem Opfer-Gate bzw. der Opfer-Gateschicht 2 und der Seitenwand-Isolationsschicht 4 ausgefüllt ist.Thus one receives the in 3A shown widened gate recess AA, the first in its lower region II still with the sacrificial gate or the sacrificial gate layer 2 and the sidewall insulation layer 4 is filled.

Gemäß 3B wird in einem nachfolgenden Schritt das Opfer-Gate zum Freilegen des Halbleitersubstrats 1 vollständig entfernt und das eigentliche Gate-Dielektrikum 9 zumindest am freigelegten Halbleitersubstrat 1 ausgebildet. Vorzugsweise wird hierbei eine thermische Oxidation des Halbleitersubstrats 1 durchgeführt oder ein High-k-Material abgeschieden.According to 3B In a subsequent step, the sacrificial gate is exposed to expose the semiconductor substrate 1 completely removed and the actual gate dielectric 9 at least on the exposed semiconductor substrate 1 educated. Preferably, in this case, a thermal oxidation of the semiconductor substrate 1 performed or a high-k material deposited.

Die Materialien entsprechen hierbei den vorstehend beschrieberien Materialien für das Gate-Dielektrikum 9. Anschließend wird noch vor dem Auffüllen mit dem eigentlichen hochleitfähigen Material 12 eine sogenannte Gate-Metallschicht 100 ganzflächig ausgebildet, wobei beispielsweise Ta oder TaN abgeschieden wird. Diese Gate-Metallschicht 100 wirkt hierbei sowohl als Diffusionsbarrierenschicht wie auch als angepasstes Metallgate, wodurch die elektrischen Eigenschaften eines jeweiligen Feldeffekttransistors wesentlich verbessert werden können. Die Gate-Metallschicht 100 wird beispielsweise mit einem CVD-Verfahren (Chemical Vapour Deposition) oder einem PVD-Sputterverfahren (Physical Vapour Deposition) abgeschieden, wobei im Fall des CVD-Verfahrens ein sogenannter Precursor für Ta benutzt wird. Das Wachstum bzw, die Abscheidung findet beispielsweise unter NH3-Atmosphäre statt. Im Falle des PVD-Verfahrens wird entweder ein TaN-Target verwendet, oder Ta gesputtert, welches anschließend zu TaN reagiert.The materials here correspond to the above-described materials for the gate dielectric 9 , Subsequently, before filling with the actual highly conductive material 12 a so-called gate metal layer 100 formed over the entire surface, wherein, for example, Ta or TaN is deposited. This gate metal layer 100 acts both as a diffusion barrier layer as well as a matched metal gate, whereby the electrical properties of a respective field effect transistor can be significantly improved. The gate metal layer 100 is deposited, for example, by a CVD method (Chemical Vapor Deposition) or a PVD sputtering method (Physical Vapor Deposition), wherein in the case of the CVD method a so-called precursor for Ta is used. The growth or the deposition takes place, for example, under NH 3 atmosphere. In the case of the PVD method, either a TaN target is used, or Ta sputtered, which subsequently reacts to TaN.

Zur Optimierung der Austrittsarbeiten der Gate-Metallschicht 100 kann optional beispielsweise eine Stickstoff-Implantation IN durchgeführt werden. To optimize the work function of the gate metal layer 100 Optionally, for example, a nitrogen implantation I N can be performed.

Gemäß 3C wird wiederum die verbreiterte Gate-Aussparung AA mit hochleitfähigem Material 12 wie beispielweise Cu aufgefüllt und mittels eines CMP-Verfahrens planarisiert. Eine als Diffusionsbarrierenschicht 130 wirkende Cap-Schicht kann hierbei in gleicher Weise ausgebildet werden wie in den vorherstehend beschriebenen Ausführungsbeispielen. Abschließend können wiederum eine dicke Oxidschicht als Isolationsschicht für die Kontaktlochebene ausgebildet und die Kontaktlöcher V in gleicher Weise wie in 1H realisiert werden.According to 3C in turn becomes the widened gate recess AA with highly conductive material 12 such as Cu filled and planarized by means of a CMP process. One as a diffusion barrier layer 130 acting cap layer can be formed in the same manner as in the embodiments described above. Finally, in turn, a thick oxide layer can be formed as an insulation layer for the contact hole plane and the contact holes V in the same way as in FIG 1H will be realized.

Durch die Verwendung der Gate-Metallschicht 100 und das Auffüllen auch des unteren Bereichs II mit hochleitfähigem Material erhält man wesentlich schnellere Taktzeiten bei sich gleichzeitig verringernden Spannungen und einem verringerten Platzbedarf. By using the gate metal layer 100 and the filling of the lower portion II with highly conductive material to obtain much faster cycle times at the same time reducing voltages and a reduced footprint.

Die Erfindung wurde vorstehend anhand eines Silizium-Halbleitersubstrats und entsprechend angepasster Materialien beschrieben. Sie ist jedoch nicht darauf beschränkt und umfasst in gleicher Weise alternative Materialien mit entsprechenden Auswirkungen. In gleicher Weise ist die Erfindung nicht auf Feldeffekttransistoren mit T-Gate-Struktur beschränkt, sondern umfasst in gleicher Weise weitere Halbleiterbauelemente mit derartigen T-Gate-Strukturen.The The invention has been described above with reference to a silicon semiconductor substrate and correspondingly adapted materials. It is not limited to this and similarly includes alternative materials with corresponding ones Effects. Likewise, the invention is not limited to field effect transistors limited with T-gate structure, but in the same way comprises further semiconductor components with such T-gate structures.

11
HalbleitersubstratSemiconductor substrate
22
Opfer-GateschichtSacrificial gate layer
33
Abdeckschichtcovering
44
Seitenwand-IsolationsschichtSidewall insulation layer
5', 5S5 ', 5S
erste Restschicht, erster Spacerfirst Remaining layer, first spacer
6', 6S6 ', 6S
zweite Restschicht, zweiter Spacersecond Remaining layer, second spacer
77
Anschlussbereichterminal area
88th
Schutzschichtprotective layer
99
Gate-DielektrikumGate dielectric
1010
Gateschichtgate layer
1111
DiffusionsbarrierenschichtDiffusion barrier layer
1212
Hochleitfähiges MaterialHighly conductive material
13, 13013 130
weitere Diffusionsbarrierenschicht Further Diffusion barrier layer
1414
Isolationsschichtinsulation layer
VV
Kontaktlochcontact hole
SS
Sourcegebietsource region
DD
Draingebietdrain region
LDDLDD
Anschlussgebietterminal region
STISTI
Grabenisolierunggrave insulation
AA
Gate-AussparungGate recess
AAAA
verbreiterte Gate-Aussparungwidened Gate recess
II
oberer Bereich des Gatesupper Area of the gate
IIII
unterer Bereich des Gateslower Area of the gate
I1, IZ, IN I 1 , I Z , I N
Ionenimplantationion implantation
100100
Gate-MetallschichtGate metal layer

Claims (14)

Verfahren zur Herstellung einer T-Gate-Struktur mit den Schritten: a) Ausbilden eines Opfer-Gatestapels (2, 3, 4) auf einem Halbleitersubstrat (1); b) Ausbilden einer Seitenwand-Isolationsstruktur (5S, 6S) an den Seitenwänden des Opfer-Gatestapels (2, 3, 4); c) Entfernen des Opfer-Gatestapels (2, 3, 4) zum Ausbilden einer Gate-Aussparung (A); d) Entfernen eines Teils (5S) der Seitenwand-Isolationsstruktur (5S, 6S) im oberen Bereich (I) zum Ausbilden einer verbreiterten Gate-Aussparung (AA); und e) Auffüllen der verbreiterten Gate-Aussparung (AA) mit einem hochleitfähigen Material (12), dadurch gekennzeichnet, dass in Schritt c) der Opfer-Gatestapel (2, 3, 4) zum Freilegen des Halbleitersubstrats (1) vollständig entfernt wird; ein Gate-Dielektrikum (9) zumindest am freigelegten Halbleitersubstrat (1) ausgebildet wird; eine Gateschicht (10) auf dem Gate-Dielektrikum (9) ausgebildet wird; und die Gateschicht (10) in einem oberen Bereich (I) wieder entfernt wird.A method for producing a T-gate structure comprising the steps of: a) forming a sacrificial gate stack ( 2 . 3 . 4 ) on a semiconductor substrate ( 1 ); b) forming a sidewall isolation structure ( 5S . 6S ) on the side walls of the sacrificial gate stack ( 2 . 3 . 4 ); c) removing the sacrificial gate stack ( 2 . 3 . 4 ) for forming a gate recess (A); d) removing a part ( 5S ) of the sidewall isolati onsstruktur ( 5S . 6S ) in the upper region (I) for forming a widened gate recess (AA); and e) filling the widened gate recess (AA) with a highly conductive material ( 12 ), characterized in that in step c) the sacrificial gate stack ( 2 . 3 . 4 ) for exposing the semiconductor substrate ( 1 ) is completely removed; a gate dielectric ( 9 ) at least on the exposed semiconductor substrate ( 1 ) is formed; a gate layer ( 10 ) on the gate dielectric ( 9 ) is formed; and the gate layer ( 10 ) is removed again in an upper region (I). Verfahren nach Patentanspruch 1, dadurch gekennzeichnet, dass in Schritt a) als Opfer-Gatestapel ein Opfer-Gate (2, 4) und eine Abdeckschicht (3) zum Abdecken des Opfer-Gates (2, 4) ausgebildet und strukturiert wird.Method according to claim 1, characterized in that in step a) as sacrificial gate stack a sacrificial gate ( 2 . 4 ) and a cover layer ( 3 ) for covering the victim gate ( 2 . 4 ) is formed and structured. Verfahren nach Patentanspruch 1 oder 2, dadurch gekennzeichnet, dass in Schritt b) als Seitenwand-Isolationsstruktur ein erster Spacer (5S) und ein zweiter Spacer (6S) ausgebildet wird.Method according to claim 1 or 2, characterized in that in step b) a sidewall insulating structure is a first spacer ( 5S ) and a second spacer ( 6S ) is formed. Verfahren nach Patentanspruch 3, dadurch gekennzeichnet, dass in Schritt d) zwischen dem ersten Spacer (5S) und dem zweiten Spacer (6S) eine erste Ätzstoppschicht ausgebildet wird.Method according to claim 3, characterized in that in step d) between the first spacer ( 5S ) and the second spacer ( 6S ) a first etch stop layer is formed. Verfahren nach einem der Patentansprüche 2 bis 4, dadurch gekennzeichnet, dass in Schritt b) eine Schutzschicht (8) ausgebildet und gemeinsam mit der Seitenwand-Isolationsstruktur (5S, 6S) bis zum Opfer-Gate (2, 4) zum Entfernen der Abdeckschicht (3) planarisiert wird.Method according to one of the claims 2 to 4, characterized in that in step b) a protective layer ( 8th ) and together with the sidewall isolation structure ( 5S . 6S ) to the sacrificial gate ( 2 . 4 ) for removing the cover layer ( 3 ) is planarized. Verfahren nach einem der Patentansprüche 3 bis 5, dadurch gekennzeichnet, dass in Schritt d) der erste Spacer (5S) im oberen Bereich (I) vollständig entfernt wird.Method according to one of the claims 3 to 5, characterized in that in step d) the first spacer ( 5S ) is completely removed in the upper area (I). Verfahren nach einem der Patentansprüche 1 bis 6, dadurch gekennzeichnet, dass in Schritt e) eine Diffusionsbarrierenschicht (11) und/oder eine Keimschicht ausgebildet wird.Method according to one of the claims 1 to 6, characterized in that in step e) a diffusion barrier layer ( 11 ) and / or a seed layer is formed. Verfahren nach einem der Patentansprüche 1 bis 7, dadurch gekennzeichnet, dass in Schritt e) als hochleitfähiges Material (12) Cu abgeschieden sowie planarisiert wird und abschließend eine weitere Schutzschicht (13, 14) ausgebildet wird.Method according to one of the claims 1 to 7, characterized in that in step e) as highly conductive material ( 12 ) Cu is deposited and planarized and finally a further protective layer ( 13 . 14 ) is formed. Verfahren nach einem der Patentansprüche 1 bis 7, dadurch gekennzeichnet, dass in Schritt e) als hochleitfähiges Material (12) dotierte Metallschichten abgeschieden sowie planarisiert werden und anschließend eine thermische Behandlung zum Erzeugen einer weiteren Diffusionsbarrierenschicht (130) durchgeführt wird.Method according to one of the claims 1 to 7, characterized in that in step e) as highly conductive material ( 12 ) doped metal layers are deposited and planarized and then a thermal treatment for producing a further diffusion barrier layer ( 130 ) is carried out. Verfahren nach einem der Patentansprüche 1 bis 9, dadurch gekennzeichnet, dass in Schritt e) eine thermische Behandlung zum Erzeugen eines Körnerwachstums im hochleitfähigen Material (12) durchgeführt wird.Method according to one of the claims 1 to 9, characterized in that in step e) a thermal treatment for generating a grain growth in the highly conductive material ( 12 ) is carried out. Verfahren nach einem der Patentansprüche 1 bis 10, dadurch gekennzeichnet, dass in Schritt e) vor dem Auffüllen mit dem hochleitfähigen Material (12) eine Gate-Metallschicht (100) ausgebildet wird.Method according to one of the claims 1 to 10, characterized in that in step e) before filling with the highly conductive material ( 12 ) a gate metal layer ( 100 ) is formed. Verfahren nach Patentanspruch 11, dadurch gekennzeichnet, dass die Gate-Metallschicht (100) Ta oder TaN aufweist und zur Anpassung einer Austrittsarbeit der Gate-Metallschicht (100) eine Stickstoff-Implantation (IN) durchgeführt wird.Method according to claim 11, characterized in that the gate metal layer ( 100 ) Ta or TaN and for adapting a work function of the gate metal layer ( 100 ) a nitrogen implantation (I N ) is performed. Verfahren zur Herstellung eines Feldeffekttransistors mit T-Gate-Struktur gemäß den vorstehenden Patentansprüchen dadurch gekennzeichnet, dass in Schritt b) zumindest eine Implantation (I1, I2) zum Ausbilden von Anschlussgebieten (LDD) und/oder Source-/Draingebieten (S, D) im Halbleitersubstrat (1) durchgeführt wird.Method for producing a field effect transistor with T-gate structure according to the preceding patent claims, characterized in that in step b) at least one implantation (I 1 , I 2 ) for forming connection regions (LDD) and / or source / drain regions (S, D) in the semiconductor substrate ( 1 ) is carried out. Verfahren zur Herstellung eines Feldeffekttransistors mit T-Gate-Struktur nach Patentanspruch 13, dadurch gekennzeichnet, dass nach Schritt b) Anschlussbereiche (7) für die Source-/Draingebiete (S, D) mittels eines Silizid-Verfahrens ausgebildet werden.Method for producing a field effect transistor with T-gate structure according to claim 13, characterized in that after step b) connection areas ( 7 ) for the source / drain regions (S, D) are formed by a silicide method.
DE2002131965 2002-07-15 2002-07-15 Method for producing a T-gate structure and an associated field effect transistor Expired - Fee Related DE10231965B4 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE2002131965 DE10231965B4 (en) 2002-07-15 2002-07-15 Method for producing a T-gate structure and an associated field effect transistor
TW92119075A TW200402780A (en) 2002-07-15 2003-07-11 Method for fabricating a T-gate structure and an associated field-effect transistor
PCT/DE2003/002350 WO2004010507A1 (en) 2002-07-15 2003-07-11 Method for producing a t-gate structure and an associated field effect transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE2002131965 DE10231965B4 (en) 2002-07-15 2002-07-15 Method for producing a T-gate structure and an associated field effect transistor

Publications (2)

Publication Number Publication Date
DE10231965A1 DE10231965A1 (en) 2004-02-12
DE10231965B4 true DE10231965B4 (en) 2006-06-14

Family

ID=30128123

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2002131965 Expired - Fee Related DE10231965B4 (en) 2002-07-15 2002-07-15 Method for producing a T-gate structure and an associated field effect transistor

Country Status (3)

Country Link
DE (1) DE10231965B4 (en)
TW (1) TW200402780A (en)
WO (1) WO2004010507A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004020935B3 (en) * 2004-04-28 2005-09-01 Infineon Technologies Ag Production of a memory component, with a contact hole plane, uses a semiconductor substrate with prepared cell field and logic zones and surface gate electrode conductor paths
DE102004031741B4 (en) 2004-06-30 2010-04-01 Qimonda Ag Method for producing a contact arrangement for field effect transistor structures with gate electrodes with a metal layer and use of the method for producing field effect transistor arrangements in a cell array
EP2176880A1 (en) * 2007-07-20 2010-04-21 Imec Damascene contacts on iii-v cmos devices
DE102010030756B4 (en) * 2010-06-30 2013-06-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg An exchange gate method for large ε metal gate stacks based on a non-conforming inter-layer dielectric

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000124228A (en) * 1998-10-15 2000-04-28 Toshiba Corp Manufacture of semiconductor device
US6159781A (en) * 1998-10-01 2000-12-12 Chartered Semiconductor Manufacturing, Ltd. Way to fabricate the self-aligned T-shape gate to reduce gate resistivity
DE19936005A1 (en) * 1999-08-04 2001-02-08 Daimler Chrysler Ag FETs, especially for h.f. components, are produced by a low temperature self-aligned dummy gate sidewall-spaced process allowing various gate designs
US6235627B1 (en) * 1997-06-30 2001-05-22 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US20020006715A1 (en) * 2000-02-11 2002-01-17 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process
US6346450B1 (en) * 1996-12-16 2002-02-12 Commissariat A L'energie Atomique Process for manufacturing MIS transistor with self-aligned metal grid

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3315287B2 (en) * 1995-03-22 2002-08-19 株式会社東芝 Semiconductor device and manufacturing method thereof
US6013569A (en) * 1997-07-07 2000-01-11 United Microelectronics Corp. One step salicide process without bridging
US6306712B1 (en) * 1997-12-05 2001-10-23 Texas Instruments Incorporated Sidewall process and method of implantation for improved CMOS with benefit of low CGD, improved doping profiles, and insensitivity to chemical processing
JP2001257344A (en) * 2000-03-10 2001-09-21 Toshiba Corp Semiconductor device and manufacturing method of semiconductor device
EP1134798A1 (en) * 2000-03-15 2001-09-19 Infineon Technologies AG Semiconductor device, method for making the same and method for making electrical contacts on a semiconductor substrate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6346450B1 (en) * 1996-12-16 2002-02-12 Commissariat A L'energie Atomique Process for manufacturing MIS transistor with self-aligned metal grid
US6235627B1 (en) * 1997-06-30 2001-05-22 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6159781A (en) * 1998-10-01 2000-12-12 Chartered Semiconductor Manufacturing, Ltd. Way to fabricate the self-aligned T-shape gate to reduce gate resistivity
JP2000124228A (en) * 1998-10-15 2000-04-28 Toshiba Corp Manufacture of semiconductor device
DE19936005A1 (en) * 1999-08-04 2001-02-08 Daimler Chrysler Ag FETs, especially for h.f. components, are produced by a low temperature self-aligned dummy gate sidewall-spaced process allowing various gate designs
US20020006715A1 (en) * 2000-02-11 2002-01-17 Chartered Semiconductor Manufacturing Ltd. Method for forming an extended metal gate using a damascene process

Also Published As

Publication number Publication date
TW200402780A (en) 2004-02-16
DE10231965A1 (en) 2004-02-12
WO2004010507A1 (en) 2004-01-29

Similar Documents

Publication Publication Date Title
DE102017119616B4 (en) Spacers for nanowire-based integrated circuit devices and manufacturing methods
DE102006040764B4 (en) Semiconductor device having a locally provided Metallsilizidgebiet in contact areas and production thereof
DE112007001436B4 (en) CMOS circuits with low contact resistance
DE102010029527B4 (en) A method of fabricating a multi-gate self-aligned transistor on a bulk substrate
DE102009055392B4 (en) Semiconductor component and method for producing the semiconductor device
DE102014109562B4 (en) A method of forming a semiconductor device and method of forming a contact structure
DE102011090163B4 (en) Semiconductor device with Austauschgateelektrodenstrukturen and self-aligned contact elements, which are produced by a late contact filling and manufacturing method thereof
DE102015116912A1 (en) Semiconductor structure and method of making this
DE102013108147B4 (en) Method and structure for vertical tunnel field effect transistor and planar devices
DE102010064288B4 (en) Semiconductor device having contact elements with silicided sidewall regions
DE112005000729T5 (en) Semiconductor device with a laterally modulated gate work function and manufacturing method
DE10230696B4 (en) Method for producing a short channel field effect transistor
DE112008002270T5 (en) MOS structures with a lower contact resistance and method for their production
US6436775B2 (en) MOSFET device fabrication method capable of allowing application of self-aligned contact process while maintaining metal gate to have uniform thickness
DE102020130156A1 (en) SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURING THEREOF
DE102020129544A1 (en) GATE STRUCTURES IN TRANSISTORS AND METHOD OF FORMING THEM
DE10345374B4 (en) Semiconductor device having a nickel / cobalt silicide region formed in a silicon region and methods of making the same
DE102006025364B4 (en) A method of increasing the transistor pass current by recessing an isolation trench
DE102021104817B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020129746A1 (en) SOURCE / DRAIN CONTACTS AND PROCEDURES FOR YOUR EDUCATION
DE10231965B4 (en) Method for producing a T-gate structure and an associated field effect transistor
DE102021102596B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE112006000584B4 (en) Method for forming a semiconductor device
DE102009043329B4 (en) Strain technology in a contact plane of semiconductor devices by means of strained conductive layers and an insulating spacer in a semiconductor device
DE102020120658A1 (en) Transistor gates and processes for their manufacture

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee