DE102023112057A1 - METHOD FOR PRODUCING PHOTOMASKS - Google Patents

METHOD FOR PRODUCING PHOTOMASKS Download PDF

Info

Publication number
DE102023112057A1
DE102023112057A1 DE102023112057.3A DE102023112057A DE102023112057A1 DE 102023112057 A1 DE102023112057 A1 DE 102023112057A1 DE 102023112057 A DE102023112057 A DE 102023112057A DE 102023112057 A1 DE102023112057 A1 DE 102023112057A1
Authority
DE
Germany
Prior art keywords
layer
phase shift
mask
hard mask
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023112057.3A
Other languages
German (de)
Inventor
Wei-Che Hsieh
Chien-Cheng Chen
Ping-Hsun LIN
Ta-Cheng Lien
Hsin-Chang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/110,838 external-priority patent/US20240069431A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023112057A1 publication Critical patent/DE102023112057A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

In einem Verfahren zum Herstellen einer abgeschwächten Phasenverschiebungsmaske wird eine Fotoresiststruktur über einem Maskenrohling hergestellt. Der Maskenrohling weist ein transparentes Substrat, eine Ätzstoppschicht auf dem transparenten Substrat, eine Phasenverschiebungsmaterialschicht auf der Ätzstoppschicht, eine Hartmaskenschicht auf der Phasenverschiebungsmaterialschicht und eine Zwischenschicht auf der Hartmaskenschicht auf. Die Zwischenschicht wird unter Verwendung der Fotoresiststruktur als eine Ätzmaske strukturiert, die Hartmaskenschicht wird unter Verwendung der strukturierten Zwischenschicht als eine Ätzmaske strukturiert, und die Phasenverschiebungsmaterialschicht wird unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske strukturiert. Die Zwischenschicht enthält ein Übergangsmetall, eine Übergangsmetalllegierung und/oder ein siliziumhaltiges Material, und die Hartmaskenschicht wird aus einem anderen Material als die Zwischenschicht hergestellt.In a method for making an attenuated phase shift mask, a photoresist pattern is fabricated over a mask blank. The mask blank includes a transparent substrate, an etch stop layer on the transparent substrate, a phase shift material layer on the etch stop layer, a hard mask layer on the phase shift material layer, and an intermediate layer on the hard mask layer. The intermediate layer is patterned using the photoresist pattern as an etch mask, the hard mask layer is patterned using the patterned intermediate layer as an etch mask, and the phase shift material layer is patterned using the patterned hard mask layer as an etch mask. The intermediate layer contains a transition metal, a transition metal alloy, and/or a silicon-containing material, and the hardmask layer is made of a different material than the intermediate layer.

Description

Prioritätsanspruch und QuerverweisPriority claim and cross-reference

Die vorliegende Anmeldung beansprucht die Priorität der am 31. August 2022 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 63/402.853, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.This application claims priority to U.S. Provisional Patent Application Serial No. 63/402,853, filed August 31, 2022, which is incorporated herein by reference.

Hintergrundbackground

Die Halbleiter-Industrie hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Designs haben Generationen von integrierten Schaltkreisen (ICs) hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h., die Anzahl von miteinander verbundenen Vorrichtungen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h., die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionseffizienz und die Senkung der zugehörigen Kosten.The semiconductor industry has experienced exponential growth. Technological advances in IC materials and designs have produced generations of integrated circuits (ICs), with each generation having smaller and more complex circuits than the previous generation. Over the course of IC evolution, feature density (i.e., the number of interconnected devices per chip area) has generally increased, while feature size (i.e., the smallest component or line that can be created using a manufacturing process) has decreased. This downsizing process generally provides benefits by increasing production efficiency and reducing associated costs.

Kurze Beschreibung der ZeichnungenBrief description of the drawings

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • Die 1A bis 1D zeigen Schnittansichten von Fotomasken gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
  • Die 2A bis 2I zeigen Schnittansichten verschiedener Stufen eines sequentiellen Herstellungsverfahrens für eine Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
  • Die 3A bis 3F zeigen Schnittansichten verschiedener Stufen eines sequentiellen Herstellungsverfahrens für eine Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
  • Die 4A bis 4E zeigen Schnittansichten verschiedener Stufen eines sequentiellen Herstellungsverfahrens für eine Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
  • Die 5A bis 5F zeigen Schnittansichten verschiedener Stufen eines sequentiellen Herstellungsverfahrens für eine Fotomaske gemäß Ausführungsformen der vorliegenden Offenbarung.
  • Die 6A bis 6D zeigen Schnittansichten von Fotomaskenrohlingen gemäß Ausführungsformen der vorliegenden Offenbarung.
  • 7A zeigt ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung, und die 7B bis 7E zeigen sequentielle Operationen eines Herstellungsverfahrens für eine Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung.
Aspects of the present disclosure are best understood from the following detailed description taken in conjunction with the accompanying drawings. It should be noted that, as is standard industry practice, various features are not shown to scale. Rather, the dimensions of the various features may be arbitrarily enlarged or reduced for the sake of clear explanation.
  • The 1A to 1D show sectional views of photomasks according to some embodiments of the present disclosure.
  • The 2A to 2I show sectional views of various stages of a sequential manufacturing process for a photomask according to embodiments of the present disclosure.
  • The 3A to 3F show sectional views of various stages of a sequential manufacturing process for a photomask according to embodiments of the present disclosure.
  • The 4A to 4E show sectional views of various stages of a sequential manufacturing process for a photomask according to embodiments of the present disclosure.
  • The 5A to 5F show sectional views of various stages of a sequential manufacturing process for a photomask according to embodiments of the present disclosure.
  • The 6A to 6D show sectional views of photomask blanks according to embodiments of the present disclosure.
  • 7A shows a flowchart of a method for manufacturing a semiconductor device, and the 7B to 7E show sequential operations of a manufacturing method for a semiconductor device according to embodiments of the present disclosure.

Detaillierte BeschreibungDetailed description

Die nachstehende Offenbarung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The disclosure below provides many different embodiments or examples for implementing various features of the subject matter provided. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, as described below, fabrication of a first member over or on a second member may include embodiments in which the first and second members are fabricated in direct contact, and may also include embodiments in which additional members are formed between the first and second members the second element can be made so that the first and second elements are not in direct contact. Additionally, throughout the present disclosure, reference numerals and/or letters may be repeated throughout the various examples. This repetition is for simplicity and clarity and does not in itself dictate any relationship between the various embodiments and/or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder Strukturelements zu einem oder mehreren anderen Elementen oder Strukturelementen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90° gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden.In addition, spatially relative terms, such as “located below”, “below”, “lower”/“lower”, “located above”, “upper”/“upper” and the like, can be used here for easy purposes Description of the relationship of an element or structural element to one or more other elements or structural elements shown in the figures. The spatially relative terms are intended to include other orientations of the device in use or in operation in addition to the orientation shown in the figures. The device can be oriented differently (rotated 90° or in another orientation) and the spatially relative descriptors used herein can be interpreted accordingly.

Beim Herstellen von integrierten Schaltkreisen (ICs) werden Strukturen, die unterschiedliche Schichten der ICs darstellen, unter Verwendung einer Reihe von wiederverwendbaren Fotomasken (die hier auch als fotolithografische Masken oder Fotomasken bezeichnet werden) erzeugt. Die Fotomasken werden zum Übertragen des Designs jeder Schicht der ICs auf ein Halbleitersubstrat während des Herstellungsprozesses für die Halbleitervorrichtung verwendet.When manufacturing integrated circuits (ICs), structures representing different layers of the ICs are created using a series of reusable photomasks (also referred to herein as photolithographic masks or photomasks). The photomasks are used to transfer the design of each layer of the ICs onto a semiconductor substrate during the semiconductor device manufacturing process.

In Anbetracht der Verringerung der IC-Größe werden verschiedene Arten dieser lithografischen Verfahren, wie etwa Immersionslithografie unter Verwendung von Wellenlängen in der Größenordnung von 193 nm von einem ArF-Laser oder EUV-Licht (EUV: extremes Ultraviolett) mit einer Wellenlänge von 13,5 nm, zum Beispiel in einem Lithografieprozess verwendet, um eine Übertragung sehr kleiner Strukturen (z. B. in der Größenordnung von Nanometern) von einer Maske auf einen Halbleiterwafer zu ermöglichen.Considering the reduction in IC size, various types of these lithographic processes, such as immersion lithography using wavelengths on the order of 193 nm from an ArF laser or EUV light (EUV: extreme ultraviolet) with a wavelength of 13.5 nm, for example used in a lithography process to enable transfer of very small structures (e.g. on the order of nanometers) from a mask to a semiconductor wafer.

Ein anhaltender Wunsch nach dichter gepackten integrierten Vorrichtungen hat zu Änderungen an dem Fotolithografieprozess geführt, um kleinere individuelle Strukturgrößen zu erzeugen. Die kleinste Strukturbreite oder „kritische Abmessung“ (CD), die mit einem Verfahren erhalten werden kann, wird näherungsweise mit der Formel CD = k1 · λ/NA bestimmt, wobei k1 ein prozessspezifischer Koeffizient ist, λ die Wellenlänge des verwendeten Lichts/Energie ist und NA die numerische Apertur der optischen Linse, von dem Substrat oder dem Wafer betrachtet, ist.A continued desire for more densely packed integrated devices has led to changes to the photolithography process to produce smaller individual feature sizes. The smallest feature width or "critical dimension" (CD) that can be obtained with a process is approximately determined using the formula CD = k 1 · λ/NA, where k 1 is a process-specific coefficient, λ is the wavelength of the light used/ is energy and NA is the numerical aperture of the optical lens as viewed from the substrate or wafer.

Für die Herstellung von dichten Strukturelementen mit einem gegebenen Wert k1 wird das Vermögen, ein brauchbares Bild eines kleinen Strukturelements auf einen Wafer zu projizieren, von der Wellenlänge λ und dem Vermögen der Projektionsoptik begrenzt, genügend Beugungsordnungen von einer belichteten Maske aufzunehmen. Wenn entweder dichte Strukturelemente oder vereinzelte Strukturelemente von einer Fotomaske oder einem Retikel einer bestimmten Größe und/oder Form hergestellt werden, können die Übergänge zwischen Hell und Dunkel an den Rändern des projizierten Bilds möglicherweise nicht scharf genug definiert werden, um Target-Fotoresiststrukturen korrekt zu erzeugen. Dies kann unter anderem zu einer Reduzierung des Kontrasts von virtuellen Bildern sowie zu einer Verringerung der Qualität der resultierenden Fotoresistprofile führen. Daher kann es erforderlich sein, für Strukturelemente mit einer Größe von bis zu 150 nm Phasenverschiebungsmasken (PSMs) oder Methoden zum Erhöhen der Bildqualität auf dem Wafer zu nutzen, z. B. Ränder von Strukturelementen scharf einzustellen, um Resistprofile zu verbessern.For the production of dense structural elements with a given value k 1 , the ability to project a usable image of a small structural element onto a wafer is limited by the wavelength λ and the ability of the projection optics to record sufficient diffraction orders from an exposed mask. When producing either dense features or isolated features from a photomask or reticle of a particular size and/or shape, the transitions between light and dark at the edges of the projected image may not be defined sharply enough to correctly create target photoresist structures . This can lead, among other things, to a reduction in the contrast of virtual images and to a reduction in the quality of the resulting photoresist profiles. Therefore, for features up to 150 nm in size, it may be necessary to use phase shift masks (PSMs) or methods to increase on-wafer image quality, e.g. B. sharpen the edges of structural elements in order to improve resist profiles.

Eine Phasenverschiebung umfasst im Allgemeinen ein selektives Ändern von Phasen eines Teils der Energie, die durch eine Fotomaske/Retikel hindurchgeht, sodass die phasenverschobene Energie zu der Energie, die an der Oberfläche des Materials auf dem zu belichtenden und zu strukturierenden Wafer nicht phasenverschoben wird, hinzukommt oder von dieser abgezogen wird. Durch sorgfältiges Kontrollieren der Form, der Position und des Phasenverschiebungswinkels von Maskenelementen können die resultierenden Fotoresiststrukturen präziser definierte Ränder haben. Wenn die Strukturgröße abnimmt, kann ein Ungleichgewicht einer Durchlassenergie zwischen 0°- und 180°-Phasenteilen und einer Phasenverschiebung, die von 180° abweicht, zu einer signifikanten CD-Schwankung (CD: kritische Abmessung) und Platzierungsfehlern für die Fotoresiststruktur führen.Phase shifting generally involves selectively changing phases of a portion of the energy passing through a photomask/reticle so that the phase-shifted energy is added to the energy that is not phase-shifted at the surface of the material on the wafer to be exposed and patterned or is deducted from it. By carefully controlling the shape, position, and phase shift angle of mask elements, the resulting photoresist structures can have more precisely defined edges. As the feature size decreases, an imbalance of a pass energy between 0° and 180° phase parts and a phase shift other than 180° can result in significant CD (CD: critical dimension) variation and placement errors for the photoresist pattern.

Phasenverschiebungen können auf unterschiedliche Weise erhalten werden. Zum Beispiel wird bei einer Methode, die als abgeschwächte Phasenverschiebungsmaske (APSM) bekannt ist, eine Schicht aus einem nicht-opaken Material verwendet, die bewirkt, dass Licht, das durch das nicht-opake Material hindurchgeht, die Phase im Vergleich zu Licht ändert, das durch transparente Teile der Maske hindurchgeht. Außerdem kann das nicht-opake Material die Menge (Intensität/Umfang) von Licht, das durch das nicht-opake Material hindurchgeht, im Vergleich zu der Menge von Licht anpassen, das durch transparente Teile der Maske hindurchgeht.Phase shifts can be obtained in different ways. For example, a method known as an attenuated phase shift mask (APSM) uses a layer of a non-opaque material that causes light passing through the non-opaque material to change phase compared to light, that passes through transparent parts of the mask. Additionally, the non-opaque material can adjust the amount (intensity/extent) of light that passes through the non-opaque material compared to the amount of light that passes through transparent parts of the mask.

Das Phasenverschiebungsmaterial ist ein Material, das die Phase des Lichts beeinflusst, das durch das Phasenverschiebungsmaterial hindurchgeht, sodass die Phase des Lichts, das durch das Phasenverschiebungsmaterial hindurchgeht, in Bezug auf die Phase des Lichts, das nicht durch das Phasenverschiebungsmaterial hindurchgeht, z. B. nur durch das transparente Maskensubstratmaterial und nicht durch das Phasenverschiebungsmaterial hindurchgeht, verschoben wird. Das Phasenverschiebungsmaterial kann außerdem die Menge von Licht, das durch das Phasenverschiebungsmaterial durchgelassen wird, gegenüber der Menge von einfallendem Licht reduzieren, das durch Teile der Maske hindurchgeht, die nicht von dem Phasenverschiebungsmaterial bedeckt sind.The phase shift material is a material that affects the phase of the light that passes through the phase shift material, such that the phase of the light that passes through the phase shift material is relative to the phase of the light that does not pass through the phase shift material, e.g. B. only passes through the transparent mask substrate material and not through the phase shift material. The phase shift material may also reduce the amount of light transmitted through the phase shift material relative to the amount of incident light that passes through portions of the mask not covered by the phase shift material.

Während der Herstellung des strukturierten Phasenverschiebungsmaterials wird eine Fotoresiststruktur über einer Hartmaskenschicht hergestellt, die über der Phasenverschiebungsmaterialschicht hergestellt ist. Mit abnehmender Strukturgröße ist das Unterdrücken des Kollabierens der Resiststruktur und der Eckenrundung der Hartmaskenstrukturen zusammen mit dem Erhalten einer APSM zum Erzeugen der gewünschten Phasenverschiebung wichtiger geworden.During fabrication of the patterned phase shift material, a photoresist pattern is fabricated over a hardmask layer fabricated over the phase shift material layer. As the feature size decreases, suppressing the collapse of the resist structure and the corner rounding of the hard mask structures along with obtaining an APSM is for Generating the desired phase shift has become more important.

Bei Ausführungsformen der vorliegenden Offenbarung werden Multischicht-Resistsysteme mit oder ohne eine Kombination aus mehreren Hartmaskenschichten bei einer Strukturierungsoperation offenbart.In embodiments of the present disclosure, multilayer resist systems with or without a combination of multiple hardmask layers in a patterning operation are disclosed.

1A ist eine Schnittansicht einer Fotomaske 100, z. B. einer APSM, gemäß einer Ausführungsform der vorliegenden Offenbarung. In 1A weist die APSM 100 ein Substrat 10 und eine Phasenverschiebungsschicht 15 über einer Vorderseite des Substrats 10 auf. Zwischen der Phasenverschiebungsschicht 15 und dem Substrat 10 befindet sich eine Ätzstoppschicht 12. Bei der in 1A gezeigten Ausführungsform werden Teile der Phasenverschiebungsschicht 15 und der Ätzstoppschicht 12 entfernt, um Öffnungen bereitzustellen, durch die die Oberseite des Substrats 10 freigelegt wird. Bei der Ausführungsform von 1A ist eine Durchlässigkeit für Licht (z. B. ArF- oder KrF-Laserlicht) kleiner als 100 %, und sie beträgt etwa 95 % bis 99,5 %. Die APSM 100 weist Bildrandelemente 20B um eine Peripherie eines Bildbereichs (Schaltungsstrukturbereichs) 20C der APSM 100 auf. Bei einigen Ausführungsformen werden die Phasenverschiebungsmaterialschicht 15 und die Ätzstoppschicht 12 so geätzt, dass Teile der Phasenverschiebungsmaterialschicht 15 und der Ätzstoppschicht 12 unter den Bildrandelementen 20B von dem Rest der Phasenverschiebungsmaterialschicht 15 und der Ätzstoppschicht 12 getrennt werden. Bei diesen Ausführungsformen werden die Teile der Phasenverschiebungsmaterialschicht 15 und der Ätzstoppschicht 12 unter den Bildrandelementen 20B von dem Rest der Phasenverschiebungsmaterialschicht 15 und der Ätzstoppschicht 12 durch einen Graben (nicht dargestellt) getrennt. 1A is a sectional view of a photomask 100, e.g. B. an APSM, according to an embodiment of the present disclosure. In 1A the APSM 100 has a substrate 10 and a phase shift layer 15 over a front side of the substrate 10. There is an etch stop layer 12 between the phase shift layer 15 and the substrate 10 1A In the embodiment shown, portions of the phase shift layer 15 and the etch stop layer 12 are removed to provide openings through which the top of the substrate 10 is exposed. In the embodiment of 1A is a transmittance for light (e.g. ArF or KrF laser light) less than 100%, and it is about 95% to 99.5%. The APSM 100 has image edge elements 20B around a periphery of an image area (circuit structure area) 20C of the APSM 100. In some embodiments, the phase shift material layer 15 and the etch stop layer 12 are etched such that portions of the phase shift material layer 15 and the etch stop layer 12 beneath the image edge elements 20B are separated from the remainder of the phase shift material layer 15 and the etch stop layer 12. In these embodiments, the portions of the phase shift material layer 15 and the etch stop layer 12 under the image edge elements 20B are separated from the remainder of the phase shift material layer 15 and the etch stop layer 12 by a trench (not shown).

1B ist eine Schnittansicht einer APSM 100A gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung. In 1B werden in der APSM 100A die Teile des Substrats 10 geätzt oder ausgespart, die in den Öffnungen freiliegen. Der Aussparungsumfang wird so angepasst, dass eine Phasendifferenz zwischen dem Licht, das durch die Phasenverschiebungsmaterialschicht 15 und die Ätzstoppschicht 12 hindurchgeht, und dem Licht, das nicht durch diese Schichten hindurchgeht, einen gewünschten Phasenverschiebungsbetrag hat. 1B is a sectional view of an APSM 100A according to another embodiment of the present disclosure. In 1B In the APSM 100A, the portions of the substrate 10 that are exposed in the openings are etched or recessed. The recess amount is adjusted so that a phase difference between the light that passes through the phase shift material layer 15 and the etch stop layer 12 and the light that does not pass through these layers has a desired phase shift amount.

1C ist eine Schnittansicht einer APSM 100B gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung. In der APSM 100B von 1C wird die Ätzstoppschicht 12 an der Unterseite der Öffnungen nicht entfernt. 1C is a sectional view of an APSM 100B according to another embodiment of the present disclosure. In the APSM 100B from 1C the etch stop layer 12 at the bottom of the openings is not removed.

1D ist eine Schnittansicht einer APSM 100C gemäß einer weiteren Ausführungsform der vorliegenden Offenbarung. In der APSM 100C von 1D wird die Ätzstoppschicht 12 an der Unterseite der Öffnungen teilweise entfernt. 1D is a sectional view of an APSM 100C according to another embodiment of the present disclosure. In the APSM 100C from 1D the etch stop layer 12 is partially removed at the bottom of the openings.

Die 2A bis 2I sind Schnittansichten verschiedener Stufen eines sequentiellen Herstellungsverfahrens für eine APSM gemäß einigen Ausführungsformen. Bei einigen Ausführungsformen werden weitere Operationen vor, während und/oder nach dem Verfahren der 2A bis 2I ausgeführt, oder einige der beschriebenen Operationen werden ersetzt und/oder weggelassen. Bei einigen Ausführungsformen werden einige der später beschriebenen Strukturelemente ersetzt oder weggelassen. Ein Durchschnittsfachmann dürfte erkennen, dass einige Ausführungsformen zwar mit Operationen erörtert werden, die in einer bestimmten Reihenfolge ausgeführt werden, aber diese Operationen auch in einer anderen logischen Reihenfolge ausgeführt werden können.The 2A to 2I are sectional views of various stages of a sequential manufacturing process for an APSM according to some embodiments. In some embodiments, additional operations are performed before, during, and/or after the method 2A to 2I performed, or some of the operations described are replaced and/or omitted. In some embodiments, some of the structural elements described later are replaced or omitted. One of ordinary skill in the art will recognize that while some embodiments are discussed with operations performed in a particular order, those operations may also be performed in a different logical order.

In 2A sind gemäß einigen Ausführungsformen eine Ätzstoppschicht 12, eine Phasenverschiebungsmaterialschicht 15 und eine Hartmaskenschicht 20 über einem Substrat 10 als ein Maskenrohling (in dem keine Schaltungsstruktur hergestellt worden ist) angeordnet. Bei einigen Ausführungsformen wird ein Multischicht-Resistsystem mit einer Zwischenschicht 30 und einer Fotoresistschicht 40 über der Hartmaskenschicht 20 hergestellt, wie in 2A gezeigt istIn 2A In some embodiments, an etch stop layer 12, a phase shift material layer 15, and a hard mask layer 20 are disposed over a substrate 10 as a mask blank (in which no circuit structure has been fabricated). In some embodiments, a multilayer resist system is fabricated with an intermediate layer 30 and a photoresist layer 40 over the hardmask layer 20, as in 2A is shown

Das Substrat 10 wird bei einigen Ausführungsformen aus Glas, Silizium, Quarz oder anderen Materialien mit geringer Wärmeausdehnung hergestellt. Das Material mit geringer Wärmeausdehnung trägt dazu bei, eine Bildverzerrung aufgrund einer Maskenerwärmung während der Verwendung der Fotomaske zu minimieren. Bei einigen Ausführungsformen weist das Substrat 10 Kieselglas, Quarzglas, Calciumfluorid, Siliziumcarbid, schwarzen Diamant oder Titanoxid-dotiertes Siliziumoxid (SiO2/TiO2) auf. Bei einigen Ausführungsformen hat das Substrat 10 eine Dicke von etwa 1 mm bis etwa 7 mm. Wenn die Dicke des Substrats 10 zu klein ist, steigt in einigen Fällen die Gefahr eines Bruchs oder einer Durchbiegung der Fotomaske. Wenn hingegen die Dicke des Substrats 10 zu groß ist, steigen in einigen Fällen Masse und Kosten der Fotomaske unnötig.The substrate 10, in some embodiments, is made of glass, silicon, quartz, or other low thermal expansion materials. The low thermal expansion material helps minimize image distortion due to mask heating during photomask use. In some embodiments, the substrate 10 includes silica glass, fused silica, calcium fluoride, silicon carbide, black diamond, or titanium oxide-doped silicon oxide (SiO 2 /TiO 2 ). In some embodiments, the substrate 10 has a thickness of about 1 mm to about 7 mm. In some cases, if the thickness of the substrate 10 is too small, the risk of breakage or deflection of the photomask increases. On the other hand, if the thickness of the substrate 10 is too large, the mass and cost of the photomask increase unnecessarily in some cases.

Bei einigen Ausführungsformen ist die Ätzstoppschicht 12 in direktem Kontakt mit einer Vorderseite des Substrats 10. Bei einigen Ausführungsformen ist die Ätzstoppschicht 12 durchlässig oder halbdurchlässig für Lichtenergie, die in Fotolithografieprozessen verwendet wird. Zum Beispiel ist bei einigen Ausführungsformen die Ätzstoppschicht 12 durchlässig oder halbdurchlässig für Tiefes-UV- oder Nahes-UV-Lichtenergie, die bei der Immersionslithografie verwendet wird. Bei einigen Ausführungsformen ist die Belichtungsstrahlung Licht von einem ArF-Excimerlaser mit einer Wellenlänge von etwa 193 nm oder von einem KrF-Excimerlaser mit einer Wellenlänge von etwa 254 nm. „Halbdurchlässig“ für Licht oder Strahlung bedeutet, dass ein Material weniger als 70 % des Lichts durchlässt, das auf eine Oberfläche des Materials auftrifft, und „durchlässig“ bedeutet, dass die Lichtdurchlässigkeit 95 % oder mehr beträgt (z. B. bei Al oder Ru oder einer Verbindung davon).In some embodiments, the etch stop layer 12 is in direct contact with a front side of the substrate 10. In some embodiments, the etch stop layer 12 is transparent or semi-transparent to light energy used in photolithography processes. For example, in some embodiments, the etch stop layer 12 is transmissive or semitransparent to deep UV or near-UV light energy used in immersion lithography. In some embodiments, the exposure radiation is light from an ArF excimer laser having a wavelength of about 193 nm or from a KrF excimer laser having a wavelength of about 254 nm. "Semi-transparent" to light or radiation means that a material is less than 70% of the of light that strikes a surface of the material, and "transparent" means that the light transmittance is 95% or more (e.g. Al or Ru or a compound thereof).

Beispiele für Materialien, die als die Ätzstoppschicht 12 geeignet sind, sind Materialien, die gegen eine Ätzung durch Materialien beständig sind, die zum Ätzen des Materials der nachstehend beschriebenen Phasenverschiebungsschicht 15 verwendet werden. Bei Ausführungsformen, bei denen die Phasenverschiebungsschicht 15 aus einer MoSi-Verbindung hergestellt wird, werden fluorhaltige Ätzmittel zum Ätzen der Phasenverschiebungsschicht 15 verwendet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist das Material der Ätzstoppschicht 12 gegen eine Ätzung mit fluorhaltigen Ätzmitteln beständig. Beispiele für fluorhaltige Ätzmittel, die beim Entfernen von Teilen der Phasenverschiebungsschicht 15 verwendet werden können, sind fluorhaltige Gase wie CF4, CHF3, C2F6, CH2F2, SF6 oder Kombinationen davon. Materialien, die gegen die Ätzung mit fluorhaltigen Ätzmitteln beständig sind und als eine Ätzstoppschicht 12 geeignet sind, sind CrON, Al und Al-Legierungen, Ru und Gemische mit Ru, wie etwa Ru-Nb, Ru-Zr, Ru-Ti, Ru-Y, Ru-B, Ru-P und dergleichen. Bei anderen Ausführungsformen ist die Ätzstoppschicht 12 lichtdurchlässig (z. B. mit einer Lichtdurchlässigkeit von mehr als etwa 95 %), und sie wird aus einem Material mit der chemischen Formel AlxSiyOz gewählt, wobei x + y + z = 1 ist. Ausführungsformen gemäß der vorliegenden Offenbarung sind nicht auf Ätzstoppschichten aus diesen speziellen Materialien beschränkt. Es können auch andere Materialien, die für das einfallende Licht halbdurchlässig sind und gegen die Ätzung mit den vorgenannten fluorhaltigen Ätzmitteln beständig sind, als eine Ätzstoppschicht gemäß den hier beschriebenen Ausführungsformen verwendet werden. Bei anderen Ausführungsformen können auch Materialien zum Einsatz kommen, die für das einfallende Licht halbdurchlässig sind und gegen die Ätzung mit anderen Ätzmitteln als fluorhaltigen Ätzmitteln beständig sind, die zum Ätzen der Phasenverschiebungsschicht 15 verwendet werden können.Examples of materials suitable as the etch stop layer 12 are materials that are resistant to etching by materials used for etching the material of the phase shift layer 15 described below. In embodiments in which the phase shift layer 15 is made of a MoSi compound, fluorine-containing etchants are used to etch the phase shift layer 15. According to some embodiments of the present disclosure, the material of the etch stop layer 12 is resistant to etching with fluorine-containing etchants. Examples of fluorine-containing etchants that can be used in removing portions of the phase shift layer 15 are fluorine-containing gases such as CF 4 , CHF 3 , C 2 F 6 , CH 2 F 2 , SF 6 or combinations thereof. Materials that are resistant to etching with fluorine-containing etchants and are suitable as an etch stop layer 12 are CrON, Al and Al alloys, Ru and mixtures with Ru, such as Ru-Nb, Ru-Zr, Ru-Ti, Ru- Y, Ru-B, Ru-P and the like. In other embodiments, the etch stop layer 12 is light transmissive (e.g., having a light transmittance greater than about 95%) and is selected from a material having the chemical formula Al x Si y O z , where x + y + z = 1 is. Embodiments according to the present disclosure are not limited to etch stop layers made from these specific materials. Other materials that are semitransparent to incident light and resistant to etching with the aforementioned fluorine-containing etchants may also be used as an etch stop layer in accordance with the embodiments described herein. Other embodiments may also use materials that are semitransparent to incident light and resistant to etching with etchants other than fluorine-containing etchants that may be used to etch the phase shift layer 15.

Bei einigen Ausführungsformen kann die Ätzstoppschicht 12 mit chlorhaltigen Ätzmitteln geätzt werden. Ein Vorteil der Verwendung einer Ätzstoppschicht 12, die mit chlorhaltigen Ätzmitteln geätzt werden kann, besteht darin, dass Materialien, die als das Substrat 10 verwendet werden, wie etwa Quarz, nicht von den chlorhaltigen Ätzmitteln geätzt werden. Beispiele für chlorhaltige Ätzmittel sind chlorhaltige Gase (wie etwa Cl2, SiCl4, HCl, CCl4, CHCl3, andere chlorhaltige Gase oder Kombinationen davon) und sauerstoffhaltige Gase (wie etwa O2, andere sauerstoffhaltige Gase oder Kombinationen davon).In some embodiments, the etch stop layer 12 may be etched with chlorine-containing etchants. An advantage of using an etch stop layer 12 that can be etched with chlorine-containing etchants is that materials used as the substrate 10, such as quartz, are not etched by the chlorine-containing etchants. Examples of chlorine-containing etchants are chlorine-containing gases (such as Cl 2 , SiCl 4 , HCl, CCl 4 , CHCl 3 , other chlorine-containing gases, or combinations thereof) and oxygen-containing gases (such as O 2 , other oxygen-containing gases, or combinations thereof).

Bei einigen Ausführungsformen hat die Ätzstoppschicht 12 eine Dicke von etwa 1 nm bis 20 nm. Bei anderen Ausführungsformen hat die Ätzstoppschicht 12 eine Dicke von etwa 1 nm bis etwa 10 nm. Ausführungsformen gemäß der vorliegenden Offenbarung sind jedoch nicht auf Ätzstoppschichten mit einer Dicke von 1 nm bis 20 nm oder von 1 nm bis 10 nm beschränkt. Zum Beispiel kann bei einigen Ausführungsformen die Ätzstoppschicht 12 dünner als 1 nm oder dicker als 20 nm sein.In some embodiments, the etch stop layer 12 has a thickness of about 1 nm to 20 nm. In other embodiments, the etch stop layer 12 has a thickness of about 1 nm to about 10 nm. However, embodiments according to the present disclosure are not based on etch stop layers having a thickness of 1 nm to 20 nm or from 1 nm to 10 nm. For example, in some embodiments, the etch stop layer 12 may be thinner than 1 nm or thicker than 20 nm.

Die Ätzstoppschicht 12 kann mit verschiedenen Verfahren hergestellt werden, wie etwa PVD-Verfahren (PVD: physikalische Gasphasenabscheidung; zum Beispiel Aufdampfung und Gleichstrom-Magnetron-Sputtern), Plattierungsverfahren (zum Beispiel stromlose Plattierung oder Elektroplattierung), CVD-Verfahren (CVD: chemische Gasphasenabscheidung; zum Beispiel Normaldruck-CVD, Tiefdruck-CVD, plasmaunterstützte CVD oder CVD mit einem Plasma hoher Dichte), Ionenstrahlabscheidung, Schleuderbeschichtung, Beschichtung aus metallorganischer Lösung (MOD), anderen geeigneten Verfahren oder Kombinationen davon.The etch stop layer 12 can be formed by various methods, such as PVD methods (PVD: physical vapor deposition; for example, vapor deposition and direct current magnetron sputtering), plating methods (for example, electroless plating or electroplating), CVD methods (CVD: chemical vapor deposition). ; for example, normal pressure CVD, gravure CVD, plasma enhanced CVD or high density plasma CVD), ion beam deposition, spin coating, organometallic solution (MOD) coating, other suitable processes or combinations thereof.

Bei einigen Ausführungsformen ist die Phasenverschiebungsmaterialschicht 15 in direktem Kontakt mit der Vorderseite der Ätzstoppschicht 12 auf dem Substrat 10. Die Phasenverschiebungsmaterialschicht 15 erzeugt eine Phasenverschiebung von Licht, das auf die Phasenverschiebungsmaterialschicht 15 auftrifft und durch diese durchgelassen wird. Gemäß Ausführungsformen der vorliegenden Offenbarung kann der Umfang der Phasenverschiebung, die in dem Licht erzeugt wird, das in das Phasenverschiebungsmaterial 15 eintritt und durch dieses und die strukturierte Ätzstoppschicht 12 hindurchgeht, im Vergleich zu der Phase des einfallenden Lichts, das nicht durch die Phasenverschiebungsschicht 15 oder die Ätzstoppschicht 12 hindurchgeht, durch Ändern der Brechzahl und der Dicke der Phasenverschiebungsschicht 15 und/oder der Brechzahl und der Dicke der Ätzstoppschicht 12 angepasst werden. Bei einigen Ausführungsformen werden die Brechzahl und die Dicke der Phasenverschiebungsschicht 15 und der Ätzstoppschicht 12 so gewählt, dass die Phasenverschiebung, die in dem Licht erzeugt wird, das in die Phasenverschiebungsschicht 15 eintritt und durch diese und die strukturierte Ätzstoppschicht 12 hindurchgeht, etwa 180° beträgt. Ausführungsformen gemäß der vorliegenden Offenbarung sind jedoch nicht auf die Erzeugung einer Phasenverschiebung von 180° beschränkt. Zum Beispiel kann bei anderen Ausführungsformen die gewünschte Phasenverschiebung größer oder kleiner als 180° sein.In some embodiments, the phase shift material layer 15 is in direct contact with the front of the etch stop layer 12 on the substrate 10. The phase shift material layer 15 produces a phase shift of light that is incident on and transmitted through the phase shift material layer 15. According to embodiments of the present disclosure, the amount of phase shift produced in the light entering and passing through the phase shift material 15 and the patterned etch stop layer 12 can be compared to the phase of the incident light that does not pass through the phase shift layer 15 or the etch stop layer 12 passes through, can be adjusted by changing the refractive index and the thickness of the phase shift layer 15 and / or the refractive index and the thickness of the etch stop layer 12. In some embodiments, the refractive index and thickness of the phase shift layer 15 and the etch stop layer 12 are selected such that the phase shift produced in the light entering and passing through the phase shift layer 15 and the patterned etch stop layer 12 is approximately 180° . Embodiments according to the present However, the disclosure is not limited to generating a phase shift of 180°. For example, in other embodiments, the desired phase shift may be greater or less than 180°.

Bei einigen Ausführungsformen kann die Durchlässigkeit des einfallenden Lichts, das in das Phasenverschiebungsmaterial 15 eintritt und durch dieses und die strukturierte Ätzstoppschicht 12 hindurchgeht, im Vergleich zu der Durchlässigkeit des einfallenden Lichts, das nicht durch die Phasenverschiebungsschicht 15 oder die Ätzstoppschicht 12 hindurchgeht, durch Ändern des Absorptionskoeffizienten der Phasenverschiebungsschicht 15 und/oder der Ätzstoppschicht 12 angepasst werden.In some embodiments, the transmittance of the incident light entering and passing through the phase shift material 15 and the patterned etch stop layer 12, compared to the transmittance of the incident light that does not pass through the phase shift layer 15 or the etch stop layer 12, can be adjusted by changing the Absorption coefficients of the phase shift layer 15 and / or the etch stop layer 12 can be adjusted.

Die Brechzahl und die Dicke der Phasenverschiebungsmaterialschicht 15 können allein oder in Kombination mit der Brechzahl und der Dicke der Ätzstoppschicht 12 angepasst werden, um die gewünschte Phasenverschiebung bereitzustellen. Die Brechzahl der Phasenverschiebungsmaterialschicht 15 kann durch Ändern der Zusammensetzung ihres Materials angepasst werden. Zum Beispiel kann das Verhältnis von Mo zu Si in MoSi-Verbindungen geändert werden, um die Brechzahl der Phasenverschiebungsmaterialschicht 15 anzupassen. Die Brechzahl der Phasenverschiebungsmaterialschicht 15 kann auch durch Dotieren dieser Schicht mit Elementen wie B, C, O, N, Al und dergleichen angepasst werden.The refractive index and thickness of the phase shift material layer 15 may be adjusted alone or in combination with the refractive index and thickness of the etch stop layer 12 to provide the desired phase shift. The refractive index of the phase shift material layer 15 can be adjusted by changing the composition of its material. For example, the ratio of Mo to Si in MoSi compounds can be changed to adjust the refractive index of the phase shift material layer 15. The refractive index of the phase shift material layer 15 can also be adjusted by doping this layer with elements such as B, C, O, N, Al and the like.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann die Durchlässigkeit der Phasenverschiebungsmaterialschicht 15 für einfallendes Licht durch Einstellen des Absorptionskoeffizienten der Phasenverschiebungsmaterialschicht 15 angepasst werden. Zum Beispiel wird durch Erhöhen des UV- oder DUV-Absorptionskoeffizienten der Phasenverschiebungsmaterialschicht 15 deren Durchlässigkeit für einfallendes Licht verringert. Durch Verringern des Absorptionskoeffizienten der Phasenverschiebungsmaterialschicht 15 wird deren Durchlässigkeit für einfallendes Licht erhöht. Der Absorptionskoeffizient der Phasenverschiebungsmaterialschicht 15 kann durch Ändern der Zusammensetzung ihres Materials angepasst werden. Zum Beispiel kann das Verhältnis von Mo zu Si in MoSi-Verbindungen geändert werden, um den Absorptionskoeffizienten der Phasenverschiebungsmaterialschicht 15 anzupassen. Der Absorptionskoeffizient der Phasenverschiebungsmaterialschicht 15 wird auch durch Dotieren dieser Schicht mit Elementen wie B, C, O, N, Al, Ge, Sn, Ta und dergleichen angepasst.According to some embodiments of the present disclosure, the transmittance of the phase shift material layer 15 to incident light may be adjusted by adjusting the absorption coefficient of the phase shift material layer 15. For example, increasing the UV or DUV absorption coefficient of the phase shift material layer 15 reduces its transmittance to incident light. By reducing the absorption coefficient of the phase shift material layer 15, its transmittance to incident light is increased. The absorption coefficient of the phase shift material layer 15 can be adjusted by changing the composition of its material. For example, the ratio of Mo to Si in MoSi compounds can be changed to adjust the absorption coefficient of the phase shift material layer 15. The absorption coefficient of the phase shift material layer 15 is also adjusted by doping this layer with elements such as B, C, O, N, Al, Ge, Sn, Ta and the like.

Gemäß einigen Ausführungsformen kann die Dicke der Phasenverschiebungsmaterialschicht 15 in Abhängigkeit von dem Umfang der gewünschten Phasenverschiebung geändert werden. Zum Beispiel kann durch Erhöhen der Dicke der Phasenverschiebungsmaterialschicht 15 die Phasenverschiebung vergrößert oder verringert werden. In anderen Beispielen kann durch Reduzieren der Dicke der Phasenverschiebungsmaterialschicht 15 die Phasenverschiebung vergrößert oder verringert werden. Bei einigen Ausführungsformen hat die Phasenverschiebungsmaterialschicht 15 eine Dicke von etwa 30 nm bis 100 nm. Es versteht sich, dass Ausführungsformen der vorliegenden Offenbarung nicht auf eine Dicke der Phasenverschiebungsmaterialschicht 15 von etwa 30 nm bis 100 nm beschränkt sind. Bei anderen Ausführungsformen hat die Phasenverschiebungsmaterialschicht 15 eine Dicke von weniger als 30 nm oder mehr als 100 nm.According to some embodiments, the thickness of the phase shift material layer 15 may be changed depending on the amount of phase shift desired. For example, by increasing the thickness of the phase shift material layer 15, the phase shift can be increased or decreased. In other examples, by reducing the thickness of the phase shift material layer 15, the phase shift can be increased or decreased. In some embodiments, the phase shift material layer 15 has a thickness of about 30 nm to 100 nm. It is understood that embodiments of the present disclosure are not limited to a thickness of the phase shift material layer 15 of about 30 nm to 100 nm. In other embodiments, the phase shift material layer 15 has a thickness of less than 30 nm or more than 100 nm.

Materialien, die als die Phasenverschiebungsmaterialschicht 15 verwendet werden können, sind MoSi-Verbindungen und dergleichen. Zum Beispiel weist die Phasenverschiebungsschicht 15 MoSi-Verbindungen wie MoSi, MoSiCON, MoSION, MoSiCN, MoSiCO, MoSiO, MoSiC und MoSiN auf. Ausführungsformen gemäß der vorliegenden Offenbarung sind jedoch nicht auf die Verwendung der vorgenannten MoSi-Verbindungen für Phasenverschiebungsschichten beschränkt. Bei anderen Ausführungsformen weist die Phasenverschiebungsschicht 15 andere Verbindungen als MoSi-Verbindungen auf, die in der Lage sind, die Phase des auf die Phasenverschiebungsschicht auftreffenden Lichts zu verschieben, z. B. um 180°.Materials that can be used as the phase shift material layer 15 are MoSi compounds and the like. For example, the phase shift layer 15 includes MoSi compounds such as MoSi, MoSiCON, MoSION, MoSiCN, MoSiCO, MoSiO, MoSiC and MoSiN. However, embodiments according to the present disclosure are not limited to the use of the aforementioned MoSi compounds for phase shift layers. In other embodiments, the phase shift layer 15 includes compounds other than MoSi compounds capable of shifting the phase of the light incident on the phase shift layer, e.g. B. by 180°.

Die Phasenverschiebungsschicht 15 kann mit verschiedenen Verfahren hergestellt werden, wie etwa PVD-Verfahren (zum Beispiel Aufdampfung und Gleichstrom-Magnetron-Sputtern), Plattierungsverfahren (zum Beispiel stromlose Plattierung oder Elektroplattierung), CVD-Verfahren (zum Beispiel Normaldruck-CVD, Tiefdruck-CVD, plasmaunterstützte CVD oder CVD mit einem Plasma hoher Dichte), Ionenstrahlabscheidung, Schleuderbeschichtung, Beschichtung aus metallorganischer Lösung (MOD), anderen geeigneten Verfahren oder Kombinationen davon.The phase shift layer 15 can be formed by various methods, such as PVD methods (for example, vapor deposition and DC magnetron sputtering), plating methods (for example, electroless plating or electroplating), CVD methods (for example, normal pressure CVD, gravure CVD , plasma enhanced CVD or high density plasma CVD), ion beam deposition, spin coating, organometallic solution (MOD) coating, other suitable processes or combinations thereof.

Die Hartmaskenschicht 20 wird strukturiert, und die Struktur der Hartmaskenschicht 20 wird dann auf die Phasenverschiebungsschicht 15 übertragen. Bei einigen Ausführungsformen weist die Hartmaskenschicht 20 ein Material auf, das die Phasenverschiebungsschicht 15 schützt. Bei einigen Ausführungsformen enthält die Hartmaskenschicht 20 ein chromhaltiges Material, wie etwa Cr, CrN, CrO, CrC, CrON, CrCN, CrOC, CrOCN, ein anderes chromhaltiges Material oder Kombinationen davon. Bei einigen alternativen Ausführungsformen enthält die Hartmaskenschicht 20 ein tantalhaltiges Material, wie etwa Ta, TaN, TaNH, TaHF, TaHfN, TaBSi, TaB SiN, TaB, TaBN, TaSi, TaSiN, TaGe, TaGeN, TaZr, TaZrN, ein anderes tantalhaltiges Material oder Kombinationen davon, die mit einem fluorhaltigen Ätzmittel geätzt werden können.The hard mask layer 20 is patterned, and the structure of the hard mask layer 20 is then transferred to the phase shift layer 15. In some embodiments, the hard mask layer 20 includes a material that protects the phase shift layer 15. In some embodiments, the hard mask layer 20 includes a chromium-containing material, such as Cr, CrN, CrO, CrC, CrON, CrCN, CrOC, CrOCN, another chromium-containing material, or combinations thereof. In some alternative embodiments, the hard mask layer 20 includes a tantalum-containing material such as Ta, TaN, TaNH, TaHF, TaHfN, TaBSi, TaB SiN, TaB, TaBN, TaSi, TaSiN, TaGe, TaGeN, TaZr, TaZrN, another tantalum-containing material, or combinations thereof that can be etched with a fluorine-containing etchant.

Bei einigen Ausführungsformen hat die Hartmaskenschicht 20 eine Dicke von etwa 3 nm bis etwa 400 nm. Bei anderen Ausführungsformen hat die Hartmaskenschicht 20 eine Dicke von etwa 5 nm bis etwa 100 nm. Die Hartmaskenschicht 20 kann mit verschiedenen Verfahren hergestellt werden, wie etwa PVD-Verfahren (zum Beispiel Aufdampfung und Gleichstrom-Magnetron-Sputtern), Plattierungsverfahren (zum Beispiel stromlose Plattierung oder Elektroplattierung), CVD-Verfahren (zum Beispiel Normaldruck-CVD, Tiefdruck-CVD, plasmaunterstützte CVD oder CVD mit einem Plasma hoher Dichte), Ionenstrahlabscheidung, Schleuderbeschichtung, Beschichtung aus metallorganischer Lösung (MOD), anderen geeigneten Verfahren oder Kombinationen davon.In some embodiments, the hard mask layer 20 has a thickness of about 3 nm to about 400 nm. In other embodiments, the hard mask layer 20 has a thickness of about 5 nm to about 100 nm. The hard mask layer 20 can be manufactured using various methods, such as PVD Processes (e.g. vapor deposition and DC magnetron sputtering), plating processes (e.g. electroless plating or electroplating), CVD processes (e.g. normal pressure CVD, gravure CVD, plasma enhanced CVD or high density plasma CVD), ion beam deposition, Spin coating, organometallic solution (MOD) coating, other suitable processes or combinations thereof.

Die Zwischenschicht 30 des Multischicht-Resistsystems enthält ein Material, das das UV-Licht absorbieren kann und eine ausreichende Ätzselektivität für die Hartmaskenschicht 20 und die Phasenverschiebungsschicht 15 (die aus anderen Materialien hergestellt ist) hat. Bei einigen Ausführungsformen enthält die Zwischenschicht 30 ein Übergangsmetall oder eine Legierung oder Verbindung mit einem Übergangsmetall. Beispiele für das Übergangsmetall sind Mo, Ta, Pd, Ir, Ni, Sn, Ru und Au. Die Mo-, Ta- und Ru-Verbindungen, die vorstehend für die Ätzstoppschicht 12, die Phasenverschiebungsschicht 15 und die Hartmaskenschicht 20 genannt worden sind, können auch für die Zwischenschicht 30 verwendet werden. Bei einigen Ausführungsformen enthält die Zwischenschicht 30 ein Si-haltiges Material, das auf einem organischen Material oder einem Polymer basiert, oder ein anorganisches Si-basiertes Material. Das anorganische Si-basierte Material ist Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC oder SiBCN. Bei einigen Ausführungsformen enthält die Zwischenschicht 30 amorphes oder polykristallines Si, SiGe oder SiC. Bei einigen Ausführungsformen enthält die Zwischenschicht 30 ein siliziumhaltiges Polymer, wie etwa Polysiloxan. Ein Siliziumgehalt des Polysiloxans beträgt bei einigen Ausführungsformen etwa 40 Masse-% bis etwa 70 Masse-%. Bei einigen Ausführungsformen enthält die polymerbasierte Zwischenschicht 30 weiterhin Si-Teilchen oder Metallteilchen von Mo, Ta, Pd, Ir, Ni, Sn, Ru oder Au. Bei einigen Ausführungsformen haben die Teilchen einen Durchmesser von etwa 1 nm bis 20 nm oder von etwa 2 nm bis etwa 10 nm. Bei einigen Ausführungsformen ist die Zwischenschicht 30 ein organisches Polymer, das Siliziumteilchen und/oder Metallteilchen wie vorstehend enthält.The intermediate layer 30 of the multilayer resist system contains a material that can absorb the UV light and has sufficient etch selectivity for the hard mask layer 20 and the phase shift layer 15 (made of other materials). In some embodiments, the intermediate layer 30 includes a transition metal or an alloy or compound with a transition metal. Examples of the transition metal are Mo, Ta, Pd, Ir, Ni, Sn, Ru and Au. The Mo, Ta and Ru compounds mentioned above for the etch stop layer 12, the phase shift layer 15 and the hard mask layer 20 can also be used for the intermediate layer 30. In some embodiments, the intermediate layer 30 includes a Si-containing material based on an organic material or a polymer, or an inorganic Si-based material. The inorganic Si-based material is silicon nitride, silicon oxide, silicon oxide nitride, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC or SiBCN. In some embodiments, the intermediate layer 30 includes amorphous or polycrystalline Si, SiGe, or SiC. In some embodiments, the intermediate layer 30 includes a silicon-containing polymer, such as polysiloxane. In some embodiments, a silicon content of the polysiloxane is about 40% by mass to about 70% by mass. In some embodiments, the polymer-based intermediate layer 30 further contains Si particles or metal particles of Mo, Ta, Pd, Ir, Ni, Sn, Ru or Au. In some embodiments, the particles have a diameter of from about 1 nm to 20 nm or from about 2 nm to about 10 nm. In some embodiments, the intermediate layer 30 is an organic polymer containing silicon particles and/or metal particles as above.

Bei einigen Ausführungsformen beträgt eine Mindestdicke der Zwischenschicht 30 etwa 2 nm, etwa 5 nm oder etwa 10 nm, und ihre Höchstdicke beträgt etwa 30 nm, etwa 50 nm, etwa 100 nm, etwa 150 nm oder etwa 200 nm, oder sie hat einen Wert dazwischen. Die Zwischenschicht 30 wird durch CVD, PVD, ALD oder mit einem anderen geeigneten Schichtherstellungsverfahren hergestellt.In some embodiments, a minimum thickness of the intermediate layer 30 is about 2 nm, about 5 nm, or about 10 nm, and its maximum thickness is about 30 nm, about 50 nm, about 100 nm, about 150 nm, or about 200 nm, or a value between. The intermediate layer 30 is manufactured by CVD, PVD, ALD or other suitable layer manufacturing process.

Die Fotoresistschicht 40 wird strukturiert, wie nachstehend näher dargelegt wird, und das strukturierte Fotoresist wird als eine Maske zum Strukturieren der darunter befindlichen Hartmaskenschicht 20 verwendet. Bei einigen Ausführungsformen wird die Struktur der Fotoresistschicht 40 in späteren Prozessen auf die Phasenverschiebungsmaterialschicht 15 übertragen. Bei einigen Ausführungsformen kann die Fotoresistschicht 40 ein chemisch aktiviertes Resist sein, für das eine Säurekatalyse verwendet wird. Zum Beispiel kann das Fotoresist der Fotoresistschicht 40 durch Lösen eines säurelabilen Polymers in einer Gießlösung hergestellt werden. Bei einigen Ausführungsformen kann das Fotoresist der Fotoresistschicht 40 ein Positivton-Fotoresist sein, das den später erzeugten Strukturen dieselbe Kontur wie den Strukturen auf einer Maske (nicht dargestellt) verleiht. Bei einigen alternativen Ausführungsformen kann das Fotoresist der Fotoresistschicht 40 ein Negativton-Fotoresist sein, mit dem in den später hergestellten Strukturen Öffnungen erzeugt werden, die den Strukturen auf der Maske (nicht dargestellt) entsprechen. Die Fotoresistschicht 40 kann durch Schleuderbeschichtung oder mit ähnlichen Verfahren hergestellt werden.The photoresist layer 40 is patterned as discussed in more detail below, and the patterned photoresist is used as a mask for patterning the hard mask layer 20 underneath. In some embodiments, the structure of the photoresist layer 40 is transferred to the phase shift material layer 15 in later processes. In some embodiments, the photoresist layer 40 may be a chemically activated resist using acid catalysis. For example, the photoresist of the photoresist layer 40 can be prepared by dissolving an acid-labile polymer in a casting solution. In some embodiments, the photoresist of the photoresist layer 40 may be a positive tone photoresist, which gives the later created structures the same contour as the structures on a mask (not shown). In some alternative embodiments, the photoresist of the photoresist layer 40 may be a negative tone photoresist used to create openings in the later fabricated structures that correspond to the structures on the mask (not shown). The photoresist layer 40 may be formed by spin coating or similar methods.

In 2B ist eine Zwischenstruktur nach dem Strukturieren der Fotoresistschicht 40 gezeigt. Die Fotoresistschicht 40 wird durch Durchführen eines Belichtungsprozesses an dieser Schicht strukturiert. Der Belichtungsprozess kann mit einem lithografischen Verfahren unter Verwendung einer Maske (zum Beispiel mit einem Fotolithografieprozess) oder mit einem maskenlosen Verfahren (zum Beispiel einem Elektronenstrahl- oder einem Ionenstrahl-Belichtungsprozess) durchgeführt werden. Nach dem Belichtungsprozess kann ein Nachhärtungsprozess durchgeführt werden, um zumindest einen Teil der Fotoresistschicht 40 zu härten. In Abhängigkeit von dem einen oder den mehreren Materialien oder Arten der Fotoresistschicht 40 können Polymere der Fotoresistschicht 40 bei Bestrahlung mit dem Lichtstrahl und Härtung unterschiedliche Reaktionen (Kettenspaltung oder Vernetzung von Polymeren) durchlaufen. Anschließend wird ein Entwicklungsprozess durchgeführt, um zumindest einen Teil der Fotoresistschicht 40 zu entfernen. Bei einigen Ausführungsformen können Teile des positiven Resistmaterials, das mit dem Lichtstrahl belichtet wird, eine Kettenspaltungsreaktion durchlaufen, was dazu führt, dass sich die belichteten Teile mittels eines Entwicklers leichter als andere Teile entfernen lassen, die nicht mit dem Lichtstrahl belichtet werden. Hingegen können Teile des negativen Resistmaterials, das mit dem Lichtstrahl belichtet wird, eine Vernetzungsreaktion durchlaufen, was dazu führt, dass sich die belichteten Teile mittels eines Entwicklers schwerer als andere Teile entfernen lassen, die nicht mit dem Lichtstrahl belichtet werden. Bei einigen Ausführungsformen liegen nach der Entwicklung der Fotoresistschicht 40 Teile der darunter befindlichen Zwischenschicht 30 frei.In 2 B an intermediate structure is shown after structuring the photoresist layer 40. The photoresist layer 40 is patterned by performing an exposure process on this layer. The exposure process may be performed with a lithographic process using a mask (for example, a photolithography process) or with a maskless process (for example, an electron beam or an ion beam exposure process). After the exposure process, a post-curing process may be performed to harden at least a portion of the photoresist layer 40. Depending on the one or more materials or types of the photoresist layer 40, polymers of the photoresist layer 40 may undergo different reactions (chain scission or crosslinking of polymers) upon irradiation with the light beam and curing. A development process is then carried out to remove at least part of the photoresist layer 40. In some embodiments, portions of the positive resist material exposed to the light beam may undergo a chain scission reaction, causing the exposed portions to undergo chain cleavage of a developer can be removed more easily than other parts that are not exposed to the light beam. On the other hand, parts of the negative resist material that are exposed to the light beam may undergo a crosslinking reaction, which makes the exposed parts more difficult to remove using a developer than other parts that are not exposed to the light beam. In some embodiments, after the photoresist layer 40 is developed, portions of the underlying intermediate layer 30 are exposed.

Wie in 2C gezeigt ist, wird nach Beendigung der Entwicklung der Fotoresistschicht 40 die Zwischenschicht 30 durch die Öffnungen in der entwickelten Fotoresistschicht 40 geätzt. Die Zwischenschicht 30 wird durch Ätzen der freiliegenden Teile der Zwischenschicht 30 durch die Öffnungen in der entwickelten Fotoresistschicht 40 strukturiert. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon sein. Der Trocken- und der Nassätzprozess haben Ätzparameter, die angepasst werden können, wie etwa verwendete Ätzmittel, Ätztemperatur, Konzentration der Ätzlösung, Ätzdruck, Versorgungsspannung, HF-Vorspannung, HF-Vorspannungsleistung, Ätzmitteldurchsatz und andere geeignete Parameter, sodass der Prozess für das Material der Zwischenschicht 30 in Bezug auf andere Materialien selektiv ist, die während der Ätzung der Hartmaskenschicht 20 dem Ätzmittel ausgesetzt sind. Bei einigen Ausführungsformen werden fluorhaltige Ätzmittel beim Entfernen von Teilen der Zwischenschicht 30 verwendet, die zum Beispiel aus einem Oxid oder einem Si-basierten Material hergestellt sind. Beispiele für fluorhaltige Ätzmittel sind fluorhaltige Gase wie CF4, CHF3, C2F6, CH2F2, SF6 oder Kombinationen davon. Bei einigen Ausführungsformen werden chlorhaltige Ätzmittel beim Entfernen von Teilen der Zwischenschicht 30 verwendet, die zum Beispiel aus einem nitridbasierten Material hergestellt sind. Beispiele für chlorhaltige Ätzmittel sind chlorhaltige Gase, wie etwa Cl2, BCl3 oder Kombinationen davon. Bei einigen Ausführungsformen wird das Ätzgas mit einem oder mehreren weiteren Gasen versetzt, wie etwa O2, Ar, N2 oder H2. Nach dem Ätzen der Zwischenschicht 30 wird die Fotoresistschicht 40 entfernt, wie in 2C gezeigt ist.As in 2C As shown, after completion of the development of the photoresist layer 40, the intermediate layer 30 is etched through the openings in the developed photoresist layer 40. The intermediate layer 30 is patterned by etching the exposed portions of the intermediate layer 30 through the openings in the developed photoresist layer 40. The etching process may be a dry etching process, a wet etching process, or a combination thereof. The dry and wet etching processes have etching parameters that can be adjusted, such as etchants used, etching temperature, concentration of etching solution, etching pressure, supply voltage, RF bias voltage, RF bias power, etchant flow rate and other appropriate parameters, so that the process is suitable for the material of the Interlayer 30 is selective with respect to other materials that are exposed to the etchant during etching of the hard mask layer 20. In some embodiments, fluorine-containing etchants are used in removing portions of the interlayer 30 made of, for example, an oxide or a Si-based material. Examples of fluorine-containing etching agents are fluorine-containing gases such as CF 4 , CHF 3 , C 2 F 6 , CH 2 F 2 , SF 6 or combinations thereof. In some embodiments, chlorine-containing etchants are used in removing portions of the intermediate layer 30 made of, for example, a nitride-based material. Examples of chlorine-containing etching agents are chlorine-containing gases, such as Cl 2 , BCl 3 or combinations thereof. In some embodiments, one or more additional gases are added to the etching gas, such as O 2 , Ar, N 2 or H 2 . After etching the intermediate layer 30, the photoresist layer 40 is removed, as in 2C is shown.

Wie in 2D gezeigt ist, wird die Hartmaskenschicht 20 durch die Öffnungen in der strukturierten Zwischenschicht 30 geätzt. Die Hartmaskenschicht 20 wird durch Ätzen der freiliegenden Teile der Hartmaskenschicht 20 durch die Öffnungen in der strukturierten Zwischenschicht 30 strukturiert. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon sein. Der Trocken- und der Nassätzprozess haben Ätzparameter, die angepasst werden können, wie etwa verwendete Ätzmittel, Ätztemperatur, Konzentration der Ätzlösung, Ätzdruck, Versorgungsspannung, HF-Vorspannung, HF-Vorspannungsleistung, Ätzmitteldurchsatz und andere geeignete Parameter, sodass der Prozess für das Material der Hartmaskenschicht 20 in Bezug auf andere Materialien selektiv ist, die während der Ätzung der Hartmaskenschicht 20 dem Ätzmittel ausgesetzt sind. Bei einigen Ausführungsformen werden fluorhaltige Ätzmittel zum Entfernen von Teilen der Hartmaskenschicht 20 verwendet. Beispiele für fluorhaltige Ätzmittel sind fluorhaltige Gase wie CF4, CHF3, C2F6, CH2F2, SF6 oder Kombinationen davon. Bei einigen Ausführungsformen werden chlorhaltige Ätzmittel beim Entfernen von Teilen der Hartmaskenschicht 20 verwendet, die zum Beispiel aus einem Cr-basierten Material hergestellt sind. Beispiele für chlorhaltige Ätzmittel sind chlorhaltige Gase, wie etwa Cl2, CCl4, BCl3 oder Kombinationen davon. Bei einigen Ausführungsformen wird das Ätzgas mit einem oder mehreren weiteren Gasen versetzt, wie etwa O2, Ar, N2 oder H2. Nach dem Ätzen der Hartmaskenschicht 20 wird die Zwischenschicht 30 mit einer oder mehreren Trocken- und/oder Nassätzoperationen und/oder einer CMP-Operation (CMP: chemisch-mechanische Polierung) entfernt, wie in 2D gezeigt ist.As in 2D As shown, the hard mask layer 20 is etched through the openings in the patterned intermediate layer 30. The hard mask layer 20 is patterned by etching the exposed portions of the hard mask layer 20 through the openings in the patterned intermediate layer 30. The etching process may be a dry etching process, a wet etching process, or a combination thereof. The dry and wet etching processes have etching parameters that can be adjusted, such as etchants used, etching temperature, concentration of etching solution, etching pressure, supply voltage, RF bias voltage, RF bias power, etchant flow rate and other appropriate parameters, so that the process is suitable for the material of the Hard mask layer 20 is selective with respect to other materials that are exposed to the etchant during etching of the hard mask layer 20. In some embodiments, fluorine-containing etchants are used to remove portions of the hard mask layer 20. Examples of fluorine-containing etching agents are fluorine-containing gases such as CF 4 , CHF 3 , C 2 F 6 , CH 2 F 2 , SF 6 or combinations thereof. In some embodiments, chlorine-containing etchants are used in removing portions of the hardmask layer 20 made of, for example, a Cr-based material. Examples of chlorine-containing etching agents are chlorine-containing gases, such as Cl 2 , CCl 4 , BCl 3 or combinations thereof. In some embodiments, one or more additional gases are added to the etching gas, such as O 2 , Ar, N 2 or H 2 . After etching the hard mask layer 20, the intermediate layer 30 is removed using one or more dry and/or wet etching operations and/or a chemical mechanical polishing (CMP) operation, as shown in 2D is shown.

Dann wird die Struktur der Hartmaskenschicht 20 durch Ätzen der Phasenverschiebungsmaterialschicht 15 durch die Öffnungen in der strukturierten Hartmaskenschicht 20 auf die Phasenverschiebungsmaterialschicht 15 übertragen, wie in 2E gezeigt ist. Durch das Strukturieren der Phasenverschiebungsmaterialschicht 15 werden Teile der Ätzstoppschicht 12 durch Öffnungen in der Phasenverschiebungsmaterialschicht 15 freigelegt. Die Ätzung der Phasenverschiebungsmaterialschicht 15 wird dadurch realisiert, dass Teile der Phasenverschiebungsmaterialschicht 15, die durch die Öffnungen in der strukturierten Hartmaskenschicht 20 freigelegt worden sind, mit einem Ätzmittel behandelt werden, das für das Material der Phasenverschiebungsmaterialschicht 15 in Bezug auf das Material der Hartmaskenschicht 20 und das Material der Ätzstoppschicht 12 selektiv ist. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess oder eine Kombination davon sein. Der Trocken- und der Nassätzprozess haben Ätzparameter, die angepasst werden können, wie etwa verwendete Ätzmittel, Ätztemperatur, Konzentration der Ätzlösung, Ätzdruck, Versorgungsspannung, HF-Vorspannung, HF-Vorspannungsleistung, Ätzmitteldurchsatz und andere geeignete Parameter, sodass der Prozess für das Material der Phasenverschiebungsmaterialschicht 15 in Bezug auf andere Materialien selektiv ist, die während der Ätzung der Phasenverschiebungsmaterialschicht 15 dem Ätzmittel ausgesetzt sind, wie etwa die strukturierte Hartmaskenschicht 20 und die Ätzstoppschicht 12. Bei einigen Ausführungsformen werden bei dem Entfernen von Teilen der Phasenverschiebungsmaterialschicht 15 fluorhaltige Ätzmittel verwendet. Beispiele für fluorhaltige Ätzmittel sind fluorhaltige Gase wie CF4, CHF3, C2F6, CH2F2, SF6 oder Kombinationen davon. Bei einigen Ausführungsformen werden chlorhaltige Ätzmittel beim Entfernen von Teilen der Phasenverschiebungsschicht 15 verwendet. Beispiele für chlorhaltige Ätzmittel sind chlorhaltige Gase, wie etwa Cl2, CCl4, BCl3 oder Kombinationen davon. Bei einigen Ausführungsformen wird das Ätzgas mit einem oder mehreren weiteren Gasen versetzt, wie etwa O2, Ar, N2 oder H2. Nach Beendigung der Übertragung der Struktur der Hartmaskenschicht 20 auf die Phasenverschiebungsmaterialschicht 15 wird die strukturierte Hartmaskenschicht 20 entfernt, um die Maskenstruktur zu erhalten, die 1C entspricht.Then, the pattern of the hard mask layer 20 is transferred to the phase shift material layer 15 by etching the phase shift material layer 15 through the openings in the patterned hard mask layer 20 to the phase shift material layer 15, as shown in FIG 2E is shown. By structuring the phase shift material layer 15, parts of the etch stop layer 12 are exposed through openings in the phase shift material layer 15. The etching of the phase shift material layer 15 is realized by treating parts of the phase shift material layer 15 that have been exposed through the openings in the patterned hard mask layer 20 with an etchant that is suitable for the material of the phase shift material layer 15 with respect to the material of the hard mask layer 20 and the material of the etch stop layer 12 is selective. The etching process may be a dry etching process, a wet etching process, or a combination thereof. The dry and wet etching processes have etching parameters that can be adjusted, such as etchants used, etching temperature, concentration of etching solution, etching pressure, supply voltage, RF bias voltage, RF bias power, etchant flow rate and other appropriate parameters, so that the process is suitable for the material of the Phase shift material layer 15 is selective with respect to other materials that are exposed to the etchant during etching of the phase shift material layer 15, such as the patterned hard mask layer 20 and the etch stop layer 12. In some embodiments, when removing portions of the phase shift material layer 15 fluorine-containing etching agents used. Examples of fluorine-containing etching agents are fluorine-containing gases such as CF 4 , CHF 3 , C 2 F 6 , CH 2 F 2 , SF 6 or combinations thereof. In some embodiments, chlorine-containing etchants are used in removing portions of the phase shift layer 15. Examples of chlorine-containing etching agents are chlorine-containing gases, such as Cl 2 , CCl 4 , BCl 3 or combinations thereof. In some embodiments, one or more additional gases are added to the etching gas, such as O 2 , Ar, N 2 or H 2 . After completing the transfer of the pattern of the hard mask layer 20 to the phase shift material layer 15, the patterned hard mask layer 20 is removed to obtain the mask pattern 1C corresponds.

Bei einigen Ausführungsformen wird, wie in 2F gezeigt ist, die Struktur der Hartmaskenschicht 20 und der Phasenverschiebungsmaterialschicht 15 auf die Ätzstoppschicht 12 übertragen, ohne die Hartmaskenschicht 20 zu entfernen. Bei einigen Ausführungsformen wird die Ätzung der Ätzstoppschicht 12 durchgeführt, wenn diese eine Lichtdurchlässigkeit hat, die kleiner als etwa 95 % ist.In some embodiments, as in 2F As shown, the structure of the hard mask layer 20 and the phase shift material layer 15 is transferred to the etch stop layer 12 without removing the hard mask layer 20. In some embodiments, etching of the etch stop layer 12 is performed when it has a light transmittance that is less than about 95%.

Die Übertragung der Struktur der Hartmaskenschicht 20 und der Phasenverschiebungsmaterialschicht 15 erfolgt durch Ätzen der Ätzstoppschicht 12 durch Öffnungen in der Hartmaskenschicht 20 und der Phasenverschiebungsmaterialschicht 15. Bei einigen Ausführungsformen werden für die Ätzung der Ätzstoppschicht 12 ein chlorhaltiges Gas (wie etwa Cl2, SiClt, HCl, CCl4, CHCl3, ein anderes chlorhaltiges Gas oder Kombinationen davon) und ein sauerstoffhaltiges Gas (wie etwa O2, ein anderes sauerstoffhaltiges Gas oder Kombinationen davon) verwendet. Bei anderen Ausführungsformen kann die Ätzstoppschicht 12 unter Verwendung eines anderen Ätzmittels als eines chlorhaltigen Gases und eines sauerstoffhaltigen Gases geätzt werden. Zum Beispiel kann die Ätzstoppschicht 12 unter Verwendung eines Ätzmittels geätzt werden, das für das Material der Ätzstoppschicht 12 in Bezug auf das Material der Phasenverschiebungsmaterialschicht 15 selektiv ist und für das Material der Ätzstoppschicht 12 in Bezug auf das Material des Substrats 10 selektiv ist. Gemäß einigen Ausführungsformen kann, wenn die Hartmaskenschicht 20 und die Ätzstoppschicht 12 eine ähnliche Selektivität für die Ätzmittel haben, die strukturierte Hartmaskenschicht 20 in demselben Schritt entfernt werden, in dem die Ätzstoppschicht 12 strukturiert wird. Wenn zum Beispiel die Ätzstoppschicht 12 unter Verwendung eines chlorhaltigen Ätzmittels strukturiert wird, kann die strukturierte Hartmaskenschicht 20 durch Behandeln mit dem chlorhaltigen Ätzmittel entfernt werden.The transfer of the structure of the hard mask layer 20 and the phase shift material layer 15 occurs by etching the etch stop layer 12 through openings in the hard mask layer 20 and the phase shift material layer 15. In some embodiments, a chlorine-containing gas (such as Cl 2 , SiCl t , HCl, CCl 4 , CHCl 3 , another chlorine-containing gas, or combinations thereof) and an oxygen-containing gas (such as O2, another oxygen-containing gas, or combinations thereof). In other embodiments, the etch stop layer 12 may be etched using an etchant other than a chlorine-containing gas and an oxygen-containing gas. For example, the etch stop layer 12 may be etched using an etchant that is selective for the material of the etch stop layer 12 with respect to the material of the phase shift material layer 15 and is selective for the material of the etch stop layer 12 with respect to the material of the substrate 10. According to some embodiments, if the hard mask layer 20 and the etch stop layer 12 have similar selectivity for the etchants, the patterned hard mask layer 20 may be removed in the same step in which the etch stop layer 12 is patterned. For example, if the etch stop layer 12 is patterned using a chlorine-containing etchant, the patterned hardmask layer 20 may be removed by treating with the chlorine-containing etchant.

Wie in 2F gezeigt ist, werden Öffnungen in der Ätzstoppschicht 12 erzeugt, durch die Teile des Substrats 10 freigelegt werden. Gemäß Ausführungsformen der vorliegenden Offenbarung erfolgt die Ätzung des Substrats 10 nicht während der Ätzung der Ätzstoppschicht 12. Nach der Ätzung der Ätzstoppschicht 12 wird die Hartmaskenschicht 20 entfernt, wie in 2G gezeigt ist. Wie vorstehend dargelegt worden ist, wird die Hartmaskenschicht 20 vollständig entfernt, wenn die Ätzstoppschicht 12 strukturiert wird, oder sie wird getrennt von der Ätzung der Ätzstoppschicht 12 entfernt.As in 2F As shown, openings are created in the etch stop layer 12 through which portions of the substrate 10 are exposed. According to embodiments of the present disclosure, the etching of the substrate 10 does not occur during the etching of the etch stop layer 12. After the etching of the etch stop layer 12, the hard mask layer 20 is removed, as shown in FIG 2G is shown. As discussed above, the hard mask layer 20 is completely removed when the etch stop layer 12 is patterned or is removed separately from the etching of the etch stop layer 12.

Wie in 2H gezeigt ist, wird bei einigen Ausführungsformen nach dem Ätzen der Ätzstoppschicht 12 das Substrat 10 geätzt, ohne Teile der strukturierten Ätzstoppschicht 12 oder der strukturierten Phasenverschiebungsmaterialschicht 15 zu ätzen oder zu entfernen. Bei diesen Ausführungsformen werden durch das Ätzen des Substrats 10 Teile des Substrats entfernt, sodass Gräben oder Aussparungen entstehen, wie in 2H gezeigt ist. Das Substrat 10 wird mit einem Ätzmittel geätzt, das nicht die Ätzstoppschicht 12 oder die Phasenverschiebungsmaterialschicht 15 entfernt.As in 2H As shown, in some embodiments, after etching the etch stop layer 12, the substrate 10 is etched without etching or removing portions of the patterned etch stop layer 12 or the patterned phase shift material layer 15. In these embodiments, etching the substrate removes 10 portions of the substrate, forming trenches or recesses, as shown in 2H is shown. The substrate 10 is etched with an etchant that does not remove the etch stop layer 12 or the phase shift material layer 15.

Wie in 2I gezeigt ist, wird bei einigen Ausführungsformen die Ätzstoppschicht 12 nur teilweise entfernt. Bei einigen Ausführungsformen beträgt die Restdicke der teilweise geätzten Ätzstoppschicht 12 etwa 30 % bis etwa 80 % des ungeätzten Teils der Ätzstoppschicht 12.As in 2I As shown, in some embodiments the etch stop layer 12 is only partially removed. In some embodiments, the remaining thickness of the partially etched etch stop layer 12 is about 30% to about 80% of the unetched portion of the etch stop layer 12.

Nach der Beendigung der Ätzung der Ätzstoppschicht 12 oder nachdem das Substrat 10 bei einigen Ausführungsformen geätzt worden ist, wird die Fotomaske gereinigt, um Verunreinigungen von der Fotomaske zu entfernen. Bei einigen Ausführungsformen wird die Maske durch Eintauchen in eine Ammoniakhydratlösung (NH4OH-Lösung) gereinigt.After the etching of the etch stop layer 12 is completed, or after the substrate 10 has been etched in some embodiments, the photomask is cleaned to remove contaminants from the photomask. In some embodiments, the mask is cleaned by immersing it in an ammonia hydrate solution (NH 4 OH solution).

Bei einigen Ausführungsformen wird die Hartmaskenschicht 20 aus den Schaltungsbereichen, jedoch nicht aus dem Grenzbereich entfernt, wodurch dieser als das Bildrandelement 20B (siehe 1A bis 1D) zurückbleibt.In some embodiments, the hard mask layer 20 is removed from the circuit regions but not from the boundary region, thereby acting as the image edge element 20B (see Fig 1A to 1D ) remains behind.

Die 3A bis 3F zeigen schematisch ein sequentielles Herstellungsverfahren für eine APSM gemäß Ausführungsformen der vorliegenden Offenbarung. Es versteht sich, dass weitere Operationen vor, während und nach den in den 3A bis 3F gezeigten Prozessen ausgeführt werden können und einige der nachstehend beschriebenen Operationen bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Operationen/Prozesse kann austauschbar sein. Materialien, Prozesse, Konfigurationen und/oder Abmessungen, die vorstehend erläutert worden sind, können auch für die folgenden Ausführungsformen verwendet werden, und die detaillierte Erläuterung kann entfallen.The 3A to 3F schematically show a sequential manufacturing method for an APSM according to embodiments of the present disclosure. It is understood that further operations before, during and after the in the 3A to 3F Processes shown can be carried out and some of the operations described below can be replaced or omitted in further embodiments of the method. The order of operations/processes can be interchangeable. Materials, processes, configurations and/or dimensions explained above may also be used for the following embodiments and the detailed explanation may be omitted.

Wie in 3A gezeigt ist, weist bei einigen Ausführungsformen der Maskenrohling keine Ätzstoppschicht zwischen dem Substrat 10 und der Phasenverschiebungsschicht 15 auf. Wie in 3A gezeigt ist, wird ein Multischicht-Resistsystem mit der Zwischenschicht 30 und der Fotoresistschicht 40 über der Hartmaskenschicht 20 hergestellt. Wie in 3B gezeigt ist, wird die Fotoresistschicht 40 zum Beispiel durch Elektronenstrahllithografie strukturiert. Dann wird, wie in 3C gezeigt ist, die Zwischenschicht 30 unter Verwendung der Fotoresiststruktur als eine Ätzmaske strukturiert, und die Fotoresistschicht 40 wird entfernt. Außerdem wird die Hartmaskenschicht 20 unter Verwendung der strukturierten Zwischenschicht 30 als eine Ätzmaske strukturiert, und die Zwischenschicht 30 wird entfernt. Dann wird die Phasenverschiebungsschicht 15 unter Verwendung der strukturierten Hartmaskenschicht 20 als eine Ätzmaske strukturiert, wie in 3E gezeigt ist. Bei einigen Ausführungsformen endet die Ätzung im Wesentlichen auf der Oberfläche des Substrats 10. Anschließend wird die gesamte Hartmaskenschicht 20 oder ein Teil davon entfernt, wie in 3F gezeigt ist.As in 3A As shown, in some embodiments the mask blank does not have an etch stop layer between the substrate 10 and the phase shift layer 15. As in 3A As shown, a multilayer resist system is fabricated with the intermediate layer 30 and the photoresist layer 40 over the hard mask layer 20. As in 3B As shown, the photoresist layer 40 is patterned, for example, by electron beam lithography. Then, as in 3C As shown, the intermediate layer 30 is patterned using the photoresist pattern as an etch mask, and the photoresist layer 40 is removed. Additionally, the hard mask layer 20 is patterned using the patterned intermediate layer 30 as an etch mask, and the intermediate layer 30 is removed. Then, the phase shift layer 15 is patterned using the patterned hard mask layer 20 as an etch mask, as shown in 3E is shown. In some embodiments, the etching ends substantially on the surface of the substrate 10. All or a portion of the hardmask layer 20 is then removed, as shown in FIG 3F is shown.

Die 4A bis 4E zeigen schematisch ein sequentielles Herstellungsverfahren für eine APSM gemäß Ausführungsformen der vorliegenden Offenbarung. Es versteht sich, dass weitere Operationen vor, während und nach den in den 4A bis 4E gezeigten Prozessen ausgeführt werden können und einige der nachstehend beschriebenen Operationen bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Operationen/Prozesse kann austauschbar sein. Materialien, Prozesse, Konfigurationen und/oder Abmessungen, die vorstehend erläutert worden sind, können auch für die folgenden Ausführungsformen verwendet werden, und die detaillierte Erläuterung kann entfallen.The 4A to 4E schematically show a sequential manufacturing method for an APSM according to embodiments of the present disclosure. It is understood that further operations before, during and after the in the 4A to 4E Processes shown can be carried out and some of the operations described below can be replaced or omitted in further embodiments of the method. The order of operations/processes can be interchangeable. Materials, processes, configurations and/or dimensions explained above may also be used for the following embodiments and the detailed explanation may be omitted.

Wie in 4A gezeigt ist, weist bei einigen Ausführungsformen das Multischicht-Resistsystem eine Zwischenschicht 30, eine zweite Hartmaskenschicht 22 und eine Fotoresistschicht 40 über der ersten Hartmaskenschicht 20 auf. Die Materialien und Konfigurationen der ersten und der zweiten Hartmaskenschicht von 4A sind dieselben wie bei der Hartmaskenschicht 20, die unter Bezugnahme auf die 1A bis 1D, 2A bis 2H und 3A bis 3F beschrieben worden ist. Bei einigen Ausführungsformen ist mindestens eine(s) der Materialien oder Konfigurationen (z. B. die Dicke) der zweiten Hartmaskenschicht 22 von denen der ersten Hartmaskenschicht 20 verschieden. Bei einigen Ausführungsformen sind die Materialien und Konfigurationen der ersten und der zweiten Hartmaskenschicht gleich.As in 4A As shown, in some embodiments, the multilayer resist system includes an intermediate layer 30, a second hardmask layer 22, and a photoresist layer 40 over the first hardmask layer 20. The materials and configurations of the first and second hardmask layers of 4A are the same as the hard mask layer 20 described with reference to 1A to 1D, 2A to 2H and 3A to 3F has been described. In some embodiments, at least one of the materials or configurations (e.g., thickness) of the second hard mask layer 22 is different from those of the first hard mask layer 20. In some embodiments, the materials and configurations of the first and second hardmask layers are the same.

Wie in 4B gezeigt ist, wird die Fotoresistschicht 40 zum Beispiel durch Elektronenstrahllithografie strukturiert, und die zweite Hartmaskenschicht 22 wird strukturiert. Dann wird, wie in 4C gezeigt ist, die Zwischenschicht 30 unter Verwendung der zweiten strukturierten Hartmaskenschicht 22 als eine Ätzmaske strukturiert. Nachdem die zweite Hartmaskenschicht 22 entfernt worden ist, wird die erste Hartmaskenschicht 20 unter Verwendung der strukturierten Zwischenschicht 30 als eine Ätzmaske strukturiert, wie in 4D gezeigt ist. Nachdem die Zwischenschicht 30 entfernt worden ist, wird die Phasenverschiebungsschicht 15 unter Verwendung der strukturierten ersten Hartmaskenschicht 20 als eine Ätzmaske strukturiert, wie in 4E gezeigt ist. Dann werden die Operationen ausgeführt, die unter Bezugnahme auf die 2E bis 2I beschrieben worden sind. Bei einigen Ausführungsformen ist ähnlich wie bei der Ausführungsform der 3A bis 3F die Ätzstoppschicht 12 nicht enthalten, und nach dem Strukturieren der Phasenverschiebungsschicht 15 wird die Struktur erhalten, die 3E entspricht.As in 4B As shown, the photoresist layer 40 is patterned, for example, by electron beam lithography, and the second hardmask layer 22 is patterned. Then, as in 4C As shown, the intermediate layer 30 is patterned using the second patterned hard mask layer 22 as an etch mask. After the second hard mask layer 22 is removed, the first hard mask layer 20 is patterned using the patterned intermediate layer 30 as an etch mask, as shown in FIG 4D is shown. After the intermediate layer 30 is removed, the phase shift layer 15 is patterned using the patterned first hard mask layer 20 as an etch mask, as shown in FIG 4E is shown. Then the operations are carried out with reference to the 2E to 2I have been described. In some embodiments, similar to the embodiment 3A to 3F the etch stop layer 12 is not included, and after patterning the phase shift layer 15, the structure is obtained 3E corresponds.

Die 5A bis 5F zeigen schematisch ein sequentielles Herstellungsverfahren für eine APSM gemäß Ausführungsformen der vorliegenden Offenbarung. Es versteht sich, dass weitere Operationen vor, während und nach den in den 5A bis 5F gezeigten Prozessen ausgeführt werden können und einige der nachstehend beschriebenen Operationen bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Operationen/Prozesse kann austauschbar sein. Materialien, Prozesse, Konfigurationen und/oder Abmessungen, die vorstehend erläutert worden sind, können auch für die folgenden Ausführungsformen verwendet werden, und die detaillierte Erläuterung kann entfallen.The 5A to 5F schematically show a sequential manufacturing method for an APSM according to embodiments of the present disclosure. It is understood that further operations before, during and after the in the 5A to 5F Processes shown can be carried out and some of the operations described below can be replaced or omitted in further embodiments of the method. The order of operations/processes can be interchangeable. Materials, processes, configurations and/or dimensions explained above may also be used for the following embodiments and the detailed explanation may be omitted.

Wie in 5A gezeigt ist, weist bei einigen Ausführungsformen das Multischicht-Resistsystem die folgenden vier Schichten auf: eine erste Zwischenschicht 30, eine zweite Hartmaskenschicht 22, eine zweite Zwischenschicht 32 und eine Fotoresistschicht 40, die über der ersten Hartmaskenschicht 20 angeordnet sind. Die Materialien und Konfigurationen der ersten und der zweiten Hartmaskenschicht von 5A sind dieselben wie bei der Hartmaskenschicht 20, die unter Bezugnahme auf die 1A bis 1D, 2A bis 2H und 3A bis 3F beschrieben worden ist, und die Materialien und Konfigurationen der ersten und der zweiten Zwischenschicht von 5A sind dieselben wie bei der Zwischenschicht 30, die unter Bezugnahme auf die 1A bis 1D, 2A bis 2H und 3A bis 3F beschrieben worden ist. Bei einigen Ausführungsformen ist mindestens eine(s) der Materialien oder Konfigurationen (z. B. die Dicke) der zweiten Hartmaskenschicht 22 von denen der ersten Hartmaskenschicht 20 verschieden. Bei einigen Ausführungsformen sind die Materialien und Konfigurationen der ersten und der zweiten Hartmaskenschicht gleich. Bei einigen Ausführungsformen ist mindestens eine(s) der Materialien oder Konfigurationen (z. B. die Dicke) der zweiten Zwischenschicht 32 von denen der ersten Zwischenschicht 30 verschieden. Bei einigen Ausführungsformen sind die Materialien und Konfigurationen der ersten und der zweiten Zwischenschicht gleich.As in 5A As shown, in some embodiments, the multilayer resist system includes the following four layers: a first intermediate layer 30, a second hard mask layer 22, a second intermediate layer 32, and a photoresist layer 40 disposed over the first hard mask layer 20. The materials and configurations of the first and second hardmask layers of 5A are the same as the hard mask layer 20 described with reference to 1A to 1D, 2A to 2H and 3A to 3F has been described, and the materials and configurations of the first and second intermediate layers of 5A are the same as the intermediate layer 30, which is described with reference to 1A to 1D, 2A to 2H and 3A to 3F has been described. In some embodiments, at least one of the materials or configurations (e.g., thickness) of the second hard mask layer 22 is different from those of the first hard mask layer 20. In some embodiments, the Materials and configurations of the first and second hardmask layers are the same. In some embodiments, at least one of the materials or configurations (e.g., thickness) of the second intermediate layer 32 is different from those of the first intermediate layer 30. In some embodiments, the materials and configurations of the first and second intermediate layers are the same.

Wie in 5B gezeigt ist, wird die Fotoresistschicht 40 zum Beispiel durch Elektronenstrahllithografie strukturiert, und die zweite Zwischenschicht 32 wird ebenfalls strukturiert. Nachdem die Fotoresistschicht 40 entfernt worden ist, wird die zweite Hartmaskenschicht 22 unter Verwendung der zweiten strukturierten Zwischenschicht 32 als eine Ätzmaske strukturiert, wie in 5C gezeigt ist. Nachdem die zweite Zwischenschicht 32 entfernt worden ist, wird die erste Zwischenschicht 30 unter Verwendung der strukturierten zweiten Hartmaskenschicht 22 als eine Ätzmaske strukturiert, wie in 5D gezeigt ist. Nachdem die zweite Hartmaskenschicht 22 entfernt worden ist, wird die erste Hartmaskenschicht 20 unter Verwendung der strukturierten ersten Zwischenschicht 30 als eine Ätzmaske strukturiert, wie in 5E gezeigt ist. Nachdem die erste Zwischenschicht 30 entfernt worden ist, wird die Phasenverschiebungsschicht 15 unter Verwendung der strukturierten ersten Hartmaskenschicht 20 als eine Ätzmaske strukturiert, wie in 5F gezeigt ist. Dann werden die Operationen ausgeführt, die unter Bezugnahme auf die 2E bis 2I beschrieben worden sind. Bei einigen Ausführungsformen ist ähnlich wie bei der Ausführungsform der 3A bis 3F die Ätzstoppschicht 12 nicht enthalten, und nach dem Strukturieren der Phasenverschiebungsschicht 15 wird die Struktur erhalten, die 3E entspricht.As in 5B As shown, the photoresist layer 40 is patterned, for example, by electron beam lithography, and the second intermediate layer 32 is also patterned. After the photoresist layer 40 is removed, the second hard mask layer 22 is patterned using the second patterned intermediate layer 32 as an etch mask, as shown in FIG 5C is shown. After the second intermediate layer 32 is removed, the first intermediate layer 30 is patterned using the patterned second hard mask layer 22 as an etch mask, as shown in FIG 5D is shown. After the second hard mask layer 22 is removed, the first hard mask layer 20 is patterned using the patterned first intermediate layer 30 as an etch mask, as shown in FIG 5E is shown. After the first intermediate layer 30 is removed, the phase shift layer 15 is patterned using the patterned first hard mask layer 20 as an etch mask, as shown in FIG 5F is shown. Then the operations are carried out with reference to the 2E to 2I have been described. In some embodiments, similar to the embodiment 3A to 3F the etch stop layer 12 is not included, and after patterning the phase shift layer 15, the structure is obtained 3E corresponds.

Bei einigen Ausführungsformen weist das Multischicht-Resistsystem drei oder mehr Zwischenschichten und zwei oder mehr Hartmaskenschichten unter der Fotoresistschicht auf. Bei einigen Ausführungsformen wird die untere Hartmaskenschicht, die in Kontakt mit der Phasenverschiebungsschicht 15 ist, als ein Teil des Multischicht-Resistsystems angesehen.In some embodiments, the multilayer resist system includes three or more intermediate layers and two or more hardmask layers beneath the photoresist layer. In some embodiments, the lower hard mask layer in contact with the phase shift layer 15 is considered part of the multilayer resist system.

Wie in den 6A und 6B gezeigt ist, weist bei einigen Ausführungsformen das Multischicht-Resistsystem N Paare aus einer Hartmaskenschicht und einer Zwischenschicht auf der Hartmaskenschicht auf, und zwar eine erste bis N-te Zwischenschicht 30-1 bis 30-N und eine erste bis N-te Hartmaskenschicht 20-1 bis 20-N, die abwechselnd über der Phasenverschiebungsschicht 15 aufeinandergestapelt sind. Bei einigen Ausführungsformen ist N gleich 2, 3, 4 oder 5. Bei einigen Ausführungsformen wird die oberste Zwischenschicht 30-N nicht verwendet, und die Fotoresistschicht 40 ist über der N-ten Hartmaskenschicht 20-N angeordnet. In 6A wird die Ätzstoppschicht 12 verwendet, und in 6B wird keine Ätzstoppschicht verwendet.Like in the 6A and 6B In some embodiments, the multilayer resist system includes N pairs of a hard mask layer and an intermediate layer on the hard mask layer, namely first to Nth intermediate layers 30-1 to 30-N and first to Nth hard mask layers 20- 1 to 20-N, which are alternately stacked above the phase shift layer 15. In some embodiments, N is 2, 3, 4, or 5. In some embodiments, the top interlayer 30-N is not used and the photoresist layer 40 is disposed over the Nth hardmask layer 20-N. In 6A the etch stop layer 12 is used, and in 6B no etch stop layer is used.

Bei einigen Ausführungsformen ist mindestens eine(s) der Materialien oder Konfigurationen (z. B. die Dicke) mindestens einer der ersten bis N-ten Hartmaskenschicht 20 von denen mindestens einer der übrigen Hartmaskenschichten verschieden. Bei einigen Ausführungsformen sind die Materialien und Konfigurationen der ersten bis N-ten Hartmaskenschicht gleich. Bei einigen Ausführungsformen ist mindestens eine(s) der Materialien oder Konfigurationen (z. B. die Dicke) der ersten bis N-ten Zwischenschicht von denen mindestens einer der übrigen Zwischenschichten verschieden. Bei einigen Ausführungsformen sind die Materialien und Konfigurationen der ersten und der N-ten Zwischenschicht gleich.In some embodiments, at least one of the materials or configurations (e.g., thickness) of at least one of the first through Nth hardmask layers 20 is different from those of at least one of the remaining hardmask layers. In some embodiments, the materials and configurations of the first through Nth hardmask layers are the same. In some embodiments, at least one of the materials or configurations (e.g., thickness) of the first through Nth intermediate layers is different from those of at least one of the remaining intermediate layers. In some embodiments, the materials and configurations of the first and Nth intermediate layers are the same.

Ähnlich wie bei den vorhergehenden Ausführungsformen wird jede der Zwischenschichten und der Hartmaskenschichten schrittweise strukturiert.Similar to the previous embodiments, each of the intermediate layers and the hardmask layers is patterned step by step.

Wie in 6C gezeigt ist, weisen bei einigen Ausführungsformen eine oder mehrere der Zwischenschichten jeweils zwei oder mehr Teilschichten auf, die aus voneinander verschiedenen Materialien hergestellt sind. Bei einigen Ausführungsformen weist eine untere der Zwischenschichten eine untere Schicht 30A und eine obere Schicht 30B auf, die aus einem anderen Material als die untere Schicht 30A hergestellt ist, und eine obere der Zwischenschichten weist eine untere Schicht 32A und eine obere Schicht 32B auf, die aus einem anderen Material als die untere Schicht 32A hergestellt ist. Bei einigen Ausführungsformen ist die untere Schicht 30A aus demselben Material wie die untere Schicht 32A hergestellt, und bei anderen Ausführungsformen ist die untere Schicht 30A aus einem anderen Material als die untere Schicht 32A hergestellt. In ähnlicher Weise ist die obere Schicht 30B aus demselben Material wie die obere Schicht 32B hergestellt, und bei anderen Ausführungsformen ist die obere Schicht 30B aus einem anderen Material als die obere Schicht 32B hergestellt. Ähnlich wie bei den vorhergehenden Ausführungsformen wird jede der Zwischenschichten und der Hartmaskenschichten schrittweise strukturiert. Bei anderen Ausführungsformen werden die Multischicht-Zwischenschichten unter Verwendung der strukturierten Hartmaskenschicht oder der Fotoresiststruktur als eine Ätzmaske gleichzeitig geätzt oder strukturiert.As in 6C is shown, in some embodiments one or more of the intermediate layers each have two or more sub-layers that are made from different materials. In some embodiments, a lower one of the intermediate layers includes a lower layer 30A and an upper layer 30B made of a different material than the lower layer 30A, and an upper one of the intermediate layers includes a lower layer 32A and an upper layer 32B made of is made of a different material than the lower layer 32A. In some embodiments, the bottom layer 30A is made of the same material as the bottom layer 32A, and in other embodiments, the bottom layer 30A is made of a different material than the bottom layer 32A. Similarly, top layer 30B is made of the same material as top layer 32B, and in other embodiments, top layer 30B is made of a different material than top layer 32B. Similar to the previous embodiments, each of the intermediate layers and the hardmask layers is patterned step by step. In other embodiments, the multilayer interlayers are simultaneously etched or patterned using the patterned hardmask layer or the photoresist pattern as an etch mask.

Wie in 6D gezeigt ist, ist bei einigen Ausführungsformen eine Ätzhilfsschicht 18 zwischen der Phasenverschiebungsschicht 15 und der Hartmaskenschicht 20 angeordnet. Bei einigen Ausführungsformen wird die Ätzhilfsschicht 18 strukturiert und als eine Ätzmaske zum Strukturieren der Phasenverschiebungsschicht 15 verwendet. Bei einigen Ausführungsformen wird die Ätzhilfsschicht 18 aus einem anderen Material als die Hartmaskenschicht 20 und die Phasenverschiebungsschicht 15 hergestellt, und sie enthält Metalle, Metalloxide oder andere geeignete Materialien. Bei einigen Ausführungsformen enthält die Ätzhilfsschicht 18 ein tantalhaltiges Material (zum Beispiel Ta, TaN, TaNH, TaHF, TaHfN, TaBSi, TaB SiN, TaB, TaBN, TaSi, TaSiN, TaGe, TaGeN, TaZr, TaZrN, ein anderes tantalhaltiges Material oder Kombinationen davon), ein chromhaltiges Material (zum Beispiel Cr, CrN, CrO, CrC, CrON, CrCN, CrOC, CrOCN, ein anderes chromhaltiges Material oder Kombinationen davon), ein titanhaltiges Material (zum Beispiel Ti, TiN, ein anderes titanhaltiges Material oder Kombinationen davon), ein anderes geeignetes Material oder Kombinationen davon. Bei einigen Ausführungsformen wird die Ätzhilfsschicht 18 aus einem opaken Material hergestellt. Ähnlich wie bei den vorhergehenden Ausführungsformen wird die Ätzhilfsschicht 18 unter Verwendung der Hartmaskenschicht 20 als eine Ätzmaske strukturiert, und nach dem Entfernen der Hartmaskenschicht 20 wird die Phasenverschiebungsschicht 15 unter Verwendung der strukturierten Ätzhilfsschicht 18 strukturiert. Bei einigen Ausführungsformen wird die Ätzhilfsschicht 18 über dem Schaltungsbereich entfernt, und ein Teil der Ätzhilfsschicht 18 bleibt als ein Bildrandelement 20B bestehen.As in 6D As shown, in some embodiments an etch auxiliary layer 18 is arranged between the phase shift layer 15 and the hard mask layer 20. In some embodiments, the etch assist layer 18 is patterned and used as an etch mask for patterning the phase changes Shift layer 15 used. In some embodiments, the etch assist layer 18 is made of a different material than the hard mask layer 20 and the phase shift layer 15 and includes metals, metal oxides, or other suitable materials. In some embodiments, the etch assist layer 18 includes a tantalum-containing material (e.g., Ta, TaN, TaNH, TaHF, TaHfN, TaBSi, TaB SiN, TaB, TaBN, TaSi, TaSiN, TaGe, TaGeN, TaZr, TaZrN, another tantalum-containing material, or combinations thereof), a chromium-containing material (for example Cr, CrN, CrO, CrC, CrON, CrCN, CrOC, CrOCN, another chromium-containing material or combinations thereof), a titanium-containing material (for example Ti, TiN, another titanium-containing material or combinations thereof). thereof), another suitable material or combinations thereof. In some embodiments, the etch assist layer 18 is made of an opaque material. Similar to the previous embodiments, the etch assist layer 18 is patterned using the hard mask layer 20 as an etch mask, and after removing the hard mask layer 20, the phase shift layer 15 is patterned using the patterned etch assist layer 18. In some embodiments, the etch assist layer 18 over the circuit region is removed and a portion of the etch assist layer 18 remains as an image edge element 20B.

7A zeigt ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung, und die 7B bis 7E zeigen sequentielle Operationen eines Herstellungsverfahrens für eine Halbleitervorrichtung gemäß Ausführungsformen der vorliegenden Offenbarung. 7A shows a flowchart of a method for manufacturing a semiconductor device, and the 7B to 7E show sequential operations of a manufacturing method for a semiconductor device according to embodiments of the present disclosure.

Es wird ein Halbleitersubstrat oder ein anderes geeignetes Substrat bereitgestellt, das strukturiert werden soll, um darauf einen integrierten Schaltkreis herzustellen. Bei einigen Ausführungsformen enthält das Halbleitersubstrat Silizium. Alternativ oder zusätzlich enthält das Halbleitersubstrat Germanium, Siliziumgermanium oder ein anderes geeignetes Halbleitermaterial, wie etwa ein III-V-Halbleitermaterial. In einem Schritt S101 von 7A wird eine zu strukturierende Targetschicht über dem Halbleitersubstrat hergestellt. Bei bestimmten Ausführungsformen ist die Targetschicht das Halbleitersubstrat. Bei einigen Ausführungsformen ist die Targetschicht eine leitfähige Schicht, wie etwa eine metallische Schicht oder eine Polysiliziumschicht; eine dielektrische Schicht, wie etwa Siliziumoxid, Siliziumnitrid, SiON, SiOC, SiOCN, SiCN, Hafniumoxid oder Aluminiumoxid; oder eine Halbleiterschicht, wie etwa eine epitaxial hergestellte Halbleiterschicht. Bei einigen Ausführungsformen wird die Targetschicht über einer tieferliegenden Struktur hergestellt, wie etwa Isolationsstrukturen, Transistoren oder Leitungen. In einem Schritt S102 von 7A wird über der Targetschicht eine Fotoresistschicht hergestellt, wie in 7B gezeigt ist. Die Fotoresistschicht ist für Strahlung von einer Belichtungsquelle während eines späteren fotolithografischen Belichtungsprozesses empfindlich. Bei der vorliegenden Ausführungsform ist die Fotoresistschicht für UV- oder DUV-Licht empfindlich, das in dem fotolithografischen Belichtungsprozess verwendet wird. Die Fotoresistschicht kann durch Schleuderbeschichtung oder mit einem anderen geeigneten Verfahren über der Targetschicht hergestellt werden. Die aufgebrachte Fotoresistschicht kann dann gehärtet werden, um darin enthaltenes Lösungsmittel auszutreiben. In einem Schritt S103 von 7A wird die Fotoresistschicht unter Verwendung einer der vorstehend beschriebenen Fotomasken strukturiert, wie in 7C gezeigt ist. Das Strukturieren der Fotoresistschicht erfolgt durch Durchführen eines fotolithografischen Belichtungsprozesses mittels eines DUV- oder UV-Belichtungssystems (Scanner oder Stepper). Während des Belichtungsprozesses wird die auf der Fotomaske definierte Struktur des IC-Designs auf die Fotoresistschicht abgebildet, um darauf eine latente Struktur zu erzeugen. Das Strukturieren der Fotoresistschicht erfolgt durch Entwickeln der Fotoresistschicht, um eine strukturierte Fotoresistschicht mit einer oder mehreren Öffnungen herzustellen. Bei einer Ausführungsform, bei der die Fotoresistschicht eine Positivton-Fotoresistschicht ist, werden die freiliegenden Teile der Fotoresistschicht während des Entwicklungsprozesses entfernt. Das Strukturieren der Fotoresistschicht kann außerdem weitere Prozessschritte umfassen, wie etwa verschiedene Härtungsschritte auf unterschiedlichen Stufen. Zum Beispiel kann ein Nachhärtungsprozess (PEB-Prozess) nach dem fotolithografischen Belichtungsprozess und vor dem Entwicklungsprozess implementiert werden.A semiconductor substrate or other suitable substrate is provided to be patterned to fabricate an integrated circuit thereon. In some embodiments, the semiconductor substrate includes silicon. Alternatively or additionally, the semiconductor substrate contains germanium, silicon germanium or another suitable semiconductor material, such as a III-V semiconductor material. In a step S101 of 7A A target layer to be structured is produced over the semiconductor substrate. In certain embodiments, the target layer is the semiconductor substrate. In some embodiments, the target layer is a conductive layer, such as a metallic layer or a polysilicon layer; a dielectric layer such as silicon oxide, silicon nitride, SiON, SiOC, SiOCN, SiCN, hafnium oxide or aluminum oxide; or a semiconductor layer, such as an epitaxially fabricated semiconductor layer. In some embodiments, the target layer is fabricated over a deeper structure, such as isolation structures, transistors, or lines. In a step S102 of 7A A photoresist layer is produced over the target layer, as in 7B is shown. The photoresist layer is sensitive to radiation from an exposure source during a subsequent photolithographic exposure process. In the present embodiment, the photoresist layer is sensitive to UV or DUV light used in the photolithographic exposure process. The photoresist layer may be formed over the target layer by spin coating or other suitable method. The applied photoresist layer can then be cured to drive off any solvent contained therein. In a step S103 of 7A the photoresist layer is patterned using one of the photomasks described above, as in 7C is shown. Structuring the photoresist layer is done by performing a photolithographic exposure process using a DUV or UV exposure system (scanner or stepper). During the exposure process, the structure of the IC design defined on the photomask is imaged onto the photoresist layer to create a latent structure thereon. Patterning the photoresist layer is accomplished by developing the photoresist layer to produce a patterned photoresist layer with one or more openings. In one embodiment, where the photoresist layer is a positive tone photoresist layer, the exposed portions of the photoresist layer are removed during the development process. Structuring the photoresist layer may also include further process steps, such as various curing steps at different stages. For example, a post-cure process (PEB process) can be implemented after the photolithographic exposure process and before the development process.

In einem Schritt S104 von 7A wird die Targetschicht unter Verwendung der strukturierten Fotoresistschicht als eine Ätzmaske strukturiert, wie in 7D gezeigt ist. Bei einigen Ausführungsformen umfasst das Strukturieren der Targetschicht ein Durchführen eines Ätzprozesses an der Targetschicht unter Verwendung der strukturierten Fotoresistschicht als eine Ätzmaske. Die Teile der Targetschicht, die in den Öffnungen der strukturierten Fotoresistschicht freiliegen, werden geätzt, während die übrigen Teile gegen die Ätzung geschützt sind. Dann kann die strukturierte Fotoresistschicht durch Nass-Strippen oder Ablösen durch Plasmaeinwirkung entfernt werden, wie in 7E gezeigt ist.In a step S104 of 7A the target layer is patterned using the patterned photoresist layer as an etch mask, as in 7D is shown. In some embodiments, patterning the target layer includes performing an etch process on the target layer using the patterned photoresist layer as an etch mask. The parts of the target layer that are exposed in the openings of the patterned photoresist layer are etched, while the remaining parts are protected against the etching. Then the patterned photoresist layer can be removed by wet stripping or plasma stripping, as in 7E is shown.

Bei den Ausführungsformen der vorliegenden Offenbarung wird ein Multischicht-Resistsystem über einer Hartmaskenschicht auf einer Phasenverschiebungsschicht hergestellt, und dadurch kann die Struktur-Formtreue der strukturierten Phasenverschiebungsschicht verbessert werden. Insbesondere kann die Eckenrundung der Strukturen der Phasenverschiebungsschicht unterdrückt werden.In embodiments of the present disclosure, a multilayer resist system is fabricated over a hard mask layer on a phase shift layer, and thereby the pattern shape fidelity of the patterned phase shift can be improved Shift layer can be improved. In particular, the corner rounding of the structures of the phase shift layer can be suppressed.

Es versteht sich, dass hier nicht unbedingt alle Vorzüge erörtert worden sind, kein spezieller Vorzug für alle Ausführungsformen oder Beispiele erforderlich ist und andere Ausführungsformen oder Beispiele andere Vorzüge bieten können.It is to be understood that not all benefits have necessarily been discussed here, no specific benefit is required for all embodiments or examples, and other embodiments or examples may provide other benefits.

Gemäß einem Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer abgeschwächten Phasenverschiebungsmaske eine Fotoresiststruktur über einem Maskenrohling hergestellt. Der Maskenrohling weist ein transparentes Substrat, eine Ätzstoppschicht auf dem transparenten Substrat, eine Phasenverschiebungsmaterialschicht auf der Ätzstoppschicht, eine Hartmaskenschicht auf der Phasenverschiebungsmaterialschicht und eine Zwischenschicht auf der Hartmaskenschicht auf. Die Zwischenschicht wird unter Verwendung der Fotoresiststruktur als eine Ätzmaske strukturiert, die Hartmaskenschicht wird unter Verwendung der strukturierten Zwischenschicht als eine Ätzmaske strukturiert, und die Phasenverschiebungsmaterialschicht wird unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske strukturiert. Die Zwischenschicht enthält mindestens ein Material, das aus einer Gruppe gewählt ist, die aus einem Übergangsmetall, einer Übergangsmetalllegierung und einem siliziumhaltigen Material besteht, und die Hartmaskenschicht wird aus einem anderen Material als die Zwischenschicht hergestellt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Zwischenschicht mindestens ein Material, das aus der Gruppe Mo, Ta, Pd, Ir, Ni, Sn, Ru und Au gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Zwischenschicht eine Legierung aus mindestens einem Material, das aus der Gruppe Mo, Ta, Pd, Ir, Ni, Sn, Ru und Au gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Zwischenschicht mindestens ein Material, das aus der Gruppe Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC und SiBCN gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weist die Zwischenschicht ein Polysiloxan oder ein organisches Polymer auf, das Si- oder Metallteilchen enthält. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Hartmaskenschicht mindestens ein Material, das aus der Gruppe Cr, CrN, CrO, CrC, CrON, CrCN, CrOC und CrOCN gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Ätzstoppschicht mindestens ein Material, das aus der Gruppe Al, Ru, Ru-Nb, Ru-Zr, Ru-Ti, Ru-Y, Ru-B und Ru-P gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen beträgt eine Tiefes-Ultraviolett-Durchlässigkeit der Ätzstoppschicht 95 % oder mehr. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen beträgt eine Dicke der Zwischenschicht 2 nm bis 200 nm. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Phasenverschiebungsmaterialschicht mindestens ein Material, das aus der Gruppe MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC und MoSiN gewählt ist.According to one aspect of the present disclosure, in a method of fabricating an attenuated phase shift mask, a photoresist pattern is fabricated over a mask blank. The mask blank includes a transparent substrate, an etch stop layer on the transparent substrate, a phase shift material layer on the etch stop layer, a hard mask layer on the phase shift material layer, and an intermediate layer on the hard mask layer. The intermediate layer is patterned using the photoresist pattern as an etch mask, the hard mask layer is patterned using the patterned intermediate layer as an etch mask, and the phase shift material layer is patterned using the patterned hard mask layer as an etch mask. The intermediate layer includes at least one material selected from a group consisting of a transition metal, a transition metal alloy, and a silicon-containing material, and the hardmask layer is made of a different material than the intermediate layer. In one or more of the preceding and subsequent embodiments, the intermediate layer contains at least one material selected from the group consisting of Mo, Ta, Pd, Ir, Ni, Sn, Ru and Au. In one or more of the preceding and subsequent embodiments, the intermediate layer contains an alloy of at least one material selected from the group consisting of Mo, Ta, Pd, Ir, Ni, Sn, Ru and Au. In one or more of the preceding and subsequent embodiments, the intermediate layer contains at least one material selected from the group consisting of silicon nitride, silicon oxide, silicon oxide nitride, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC and SiBCN. In one or more of the preceding and subsequent embodiments, the intermediate layer comprises a polysiloxane or an organic polymer containing Si or metal particles. In one or more of the preceding and subsequent embodiments, the hard mask layer contains at least one material selected from the group consisting of Cr, CrN, CrO, CrC, CrON, CrCN, CrOC and CrOCN. In one or more of the preceding and subsequent embodiments, the etch stop layer contains at least one material selected from the group consisting of Al, Ru, Ru-Nb, Ru-Zr, Ru-Ti, Ru-Y, Ru-B and Ru-P. In one or more of the preceding and subsequent embodiments, a deep ultraviolet transmittance of the etch stop layer is 95% or more. In one or more of the preceding and subsequent embodiments, a thickness of the intermediate layer is 2 nm to 200 nm. In one or more of the preceding and subsequent embodiments, the phase shift material layer contains at least one material selected from the group MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC and MoSiN is selected.

Gemäß einem weiteren Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer abgeschwächten Phasenverschiebungsmaske eine Fotoresiststruktur über einem Maskenrohling hergestellt. Der Maskenrohling weist Folgendes auf: ein transparentes Substrat, eine Ätzstoppschicht auf dem transparenten Substrat, eine Phasenverschiebungsmaterialschicht auf der Ätzstoppschicht, eine erste Hartmaskenschicht auf der Phasenverschiebungsmaterialschicht, eine erste Zwischenschicht auf der ersten Hartmaskenschicht, eine zweite Hartmaskenschicht auf der ersten Zwischenschicht und eine zweite Zwischenschicht auf der zweiten Hartmaskenschicht. Die zweite Zwischenschicht wird unter Verwendung der Fotoresiststruktur als eine Ätzmaske strukturiert, die zweite Hartmaskenschicht wird unter Verwendung der strukturierten zweiten Zwischenschicht als eine Ätzmaske strukturiert, die erste Zwischenschicht wird unter Verwendung der strukturierten zweiten Hartmaskenschicht als eine Ätzmaske strukturiert, die erste Hartmaskenschicht wird unter Verwendung der strukturierten ersten Zwischenschicht als eine Ätzmaske strukturiert, und die Phasenverschiebungsmaterialschicht wird unter Verwendung der strukturierten ersten Hartmaskenschicht als eine Ätzmaske strukturiert. Die erste und die zweite Zwischenschicht enthalten jeweils mindestens ein Material, das aus einer Gruppe gewählt ist, die aus einem Übergangsmetall, einer Übergangsmetalllegierung und einem siliziumhaltigen Material besteht, und die erste und die zweite Hartmaskenschicht werden jeweils aus einem anderen Material als die erste und die zweite Zwischenschicht hergestellt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthalten die erste und die zweite Hartmaskenschicht jeweils mindestens ein Material, das aus der Gruppe Cr, CrN, CrO, CrC, CrON, CrCN, CrOC und CrOCN gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthalten die erste und die zweite Zwischenschicht jeweils mindestens ein Material, das aus der Gruppe Mo, Ta, Pd, Ir, Ni, Sn, Ru und Au gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthalten die erste und die zweite Zwischenschicht jeweils mindestens ein Material, das aus der Gruppe Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC und SiBCN gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen weisen die erste und die zweite Zwischenschicht jeweils ein Polysiloxan oder ein organisches Polymer auf, das Si- oder Metallteilchen enthält. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Ätzstoppschicht mindestens ein Material, das aus der Gruppe Al, Ru und Legierungen davon gewählt ist. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen enthält die Phasenverschiebungsmaterialschicht mindestens ein Material, das aus der Gruppe MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC und MoSiN gewählt ist.According to another aspect of the present disclosure, in a method of making an attenuated phase shift mask, a photoresist pattern is formed over a mask blank. The mask blank includes: a transparent substrate, an etch stop layer on the transparent substrate, a phase shift material layer on the etch stop layer, a first hard mask layer on the phase shift material layer, a first intermediate layer on the first hard mask layer, a second hard mask layer on the first intermediate layer, and a second intermediate layer the second hard mask layer. The second interlayer is patterned using the photoresist pattern as an etch mask, the second hard mask layer is patterned using the patterned second interlayer as an etch mask, the first interlayer is patterned using the patterned second hard mask layer as an etch mask, the first hard mask layer is patterned using the patterned first intermediate layer as an etch mask, and the phase shift material layer is patterned using the patterned first hard mask layer as an etch mask. The first and second intermediate layers each contain at least one material selected from the group consisting of a transition metal, a transition metal alloy and a silicon-containing material, and the first and second hardmask layers are each made of a different material than the first and second second intermediate layer made. In one or more of the preceding and subsequent embodiments, the first and second hardmask layers each contain at least one material selected from the group consisting of Cr, CrN, CrO, CrC, CrON, CrCN, CrOC and CrOCN. In one or more of the preceding and subsequent embodiments, the first and second intermediate layers each contain at least one material selected from the group consisting of Mo, Ta, Pd, Ir, Ni, Sn, Ru and Au. In one or more of the preceding and subsequent embodiments, the first and second intermediate layers each contain at least one material that is selected from the group of silicon nitride, silicon oxide, silicon oxide nitride, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC and SiBCN. In one or more of the preceding and subsequent embodiments, the first and second intermediate layers each comprise a polysiloxane or an organic polymer containing Si or metal particles. In one or more of the preceding and subsequent embodiments, the etch stop layer contains at least one material selected from the group consisting of Al, Ru, and alloys thereof. In one or more of the preceding and subsequent embodiments, the phase shift material layer contains at least one material selected from the group MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC and MoSiN.

Gemäß einem weiteren Aspekt der vorliegenden Offenbarung wird in einem Verfahren zum Herstellen einer abgeschwächten Phasenverschiebungsmaske eine Fotoresiststruktur über einem Maskenrohling hergestellt. Der Maskenrohling weist Folgendes auf: ein transparentes Substrat, eine Ätzstoppschicht auf dem transparenten Substrat, eine Phasenverschiebungsmaterialschicht auf der Ätzstoppschicht und eine Mehrschichtstruktur, die N Paare aus einer Hartmaskenschicht und einer Zwischenschicht in der Hartmaskenschicht aufweist. Durch schrittweises Strukturieren jedes der N Paare der Mehrschichtstrukturen wird eine strukturierte Hartmaskenschicht aus einer untersten Hartmaskenschicht in den Mehrschichtstrukturen hergestellt, und die Phasenverschiebungsmaterialschicht wird unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske strukturiert. N ist eine natürliche Zahl bis fünf, die Zwischenschicht enthält jeweils mindestens ein Material aus einer Gruppe, die aus einem Übergangsmetall, einer Übergangsmetalllegierung und einem siliziumhaltigen Material besteht, und die Hartmaskenschicht wird aus einem anderen Material als die Zwischenschicht hergestellt. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen ist N gleich 3, 4 oder 5. Bei einer oder mehreren der vorhergehenden und nachfolgenden Ausführungsformen wird weiterhin die Ätzstoppschicht strukturiert.According to another aspect of the present disclosure, in a method of making an attenuated phase shift mask, a photoresist pattern is formed over a mask blank. The mask blank includes: a transparent substrate, an etch stop layer on the transparent substrate, a phase shift material layer on the etch stop layer, and a multilayer structure having N pairs of a hard mask layer and an intermediate layer in the hard mask layer. By successively patterning each of the N pairs of the multilayer structures, a patterned hardmask layer is formed from a bottom hardmask layer in the multilayer structures, and the phase shift material layer is patterned using the patterned hardmask layer as an etch mask. N is a natural number up to five, the intermediate layer contains at least one material selected from a group consisting of a transition metal, a transition metal alloy and a silicon-containing material, and the hard mask layer is made of a different material than the intermediate layer. In one or more of the preceding and subsequent embodiments, N is 3, 4, or 5. In one or more of the preceding and subsequent embodiments, the etch stop layer is further patterned.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Offenbarung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Offenbarung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Offenbarung abzuweichen.Features of various embodiments have been described above so that those skilled in the art may better understand aspects of the present disclosure. It will be apparent to those skilled in the art that they may readily use the present disclosure as a basis for designing or modifying other methods and structures to achieve the same objectives and/or to achieve the same advantages as the embodiments presented herein. Those skilled in the art will also recognize that such equivalent interpretations do not depart from the spirit and scope of the present disclosure and that they may make various changes, substitutions and modifications herein without departing from the spirit and scope of the present disclosure.

Claims (20)

Verfahren zum Herstellen einer abgeschwächten Phasenverschiebungsmaske, wobei das Verfahren Folgendes umfasst: Herstellen einer Fotoresiststruktur über einem Maskenrohling, wobei der Maskenrohling ein transparentes Substrat, eine Ätzstoppschicht auf dem transparenten Substrat, eine Phasenverschiebungsmaterialschicht auf der Ätzstoppschicht, eine Hartmaskenschicht auf der Phasenverschiebungsmaterialschicht und eine Zwischenschicht auf der Hartmaskenschicht aufweist; Strukturieren der Zwischenschicht unter Verwendung der Fotoresiststruktur als eine Ätzmaske; Strukturieren der Hartmaskenschicht unter Verwendung der strukturierten Zwischenschicht als eine Ätzmaske; und Strukturieren der Phasenverschiebungsmaterialschicht unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske, wobei die Zwischenschicht mindestens ein Material enthält, das aus einer Gruppe gewählt ist, die aus einem Übergangsmetall, einer Übergangsmetalllegierung und einem siliziumhaltigen Material besteht, und die Hartmaskenschicht aus einem anderen Material als die Zwischenschicht hergestellt wird.A method of producing an attenuated phase shift mask, the method comprising: producing a photoresist pattern over a mask blank, the mask blank comprising a transparent substrate, an etch stop layer on the transparent substrate, a phase shift material layer on the etch stop layer, a hard mask layer on the phase shift material layer, and an intermediate layer on the hard mask layer; patterning the intermediate layer using the photoresist pattern as an etch mask; patterning the hardmask layer using the patterned intermediate layer as an etch mask; and Patterning the phase shift material layer using the patterned hard mask layer as an etch mask, wherein the intermediate layer contains at least one material selected from a group consisting of a transition metal, a transition metal alloy and a silicon-containing material, and the hard mask layer is made of a different material than the intermediate layer. Verfahren nach Anspruch 1, wobei die Zwischenschicht mindestens ein Material enthält, das aus der Gruppe Mo, Ta, Pd, Ir, Ni, Sn, Ru und Au gewählt ist.Procedure according to Claim 1 , wherein the intermediate layer contains at least one material selected from the group Mo, Ta, Pd, Ir, Ni, Sn, Ru and Au. Verfahren nach Anspruch 1 oder 2, wobei die Zwischenschicht eine Legierung aus mindestens einem Material enthält, das aus der Gruppe Mo, Ta, Pd, Ir, Ni, Sn, Ru und Au gewählt ist.Procedure according to Claim 1 or 2 , wherein the intermediate layer contains an alloy of at least one material selected from the group consisting of Mo, Ta, Pd, Ir, Ni, Sn, Ru and Au. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Zwischenschicht mindestens ein Material enthält, das aus der Gruppe Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC und SiBCN gewählt ist.Method according to one of the preceding claims, wherein the intermediate layer contains at least one material selected from the group consisting of silicon nitride, silicon oxide, silicon oxide nitride, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC and SiBCN. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Zwischenschicht ein Polysiloxan oder ein organisches Polymer aufweist, das Si- oder Metallteilchen enthält.A method according to any one of the preceding claims, wherein the intermediate layer comprises a polysiloxane or an organic polymer containing Si or metal particles. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Hartmaskenschicht mindestens ein Material enthält, das aus der Gruppe Cr, CrN, CrO, CrC, CrON, CrCN, CrOC und CrOCN gewählt ist.A method according to any one of the preceding claims, wherein the hard mask layer contains at least one material selected from the group consisting of Cr, CrN, CrO, CrC, CrON, CrCN, CrOC and CrOCN. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Ätzstoppschicht mindestens ein Material enthält, das aus der Gruppe Al, Ru, Ru-Nb, Ru-Zr, Ru-Ti, Ru-Y, Ru-B und Ru-P gewählt ist.A method according to any one of the preceding claims, wherein the etch stop layer contains at least one material selected from the group consisting of Al, Ru, Ru-Nb, Ru-Zr, Ru-Ti, Ru-Y, Ru-B and Ru-P. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Tiefes-Ultraviolett-Durchlässigkeit der Ätzstoppschicht 95 % oder mehr beträgt.A method according to any preceding claim, wherein a deep ultraviolet transmittance of the etch stop layer is 95% or more. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Dicke der Zwischenschicht 2 nm bis 200 nm beträgt.Method according to one of the preceding claims, wherein a thickness of the intermediate layer is 2 nm to 200 nm. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Phasenverschiebungsmaterialschicht mindestens ein Material enthält, das aus der Gruppe MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC und MoSiN gewählt ist.Method according to one of the preceding claims, wherein the phase shift material layer contains at least one material selected from the group MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC and MoSiN. Verfahren zum Herstellen einer abgeschwächten Phasenverschiebungsmaske, wobei das Verfahren Folgendes umfasst: Herstellen einer Fotoresiststruktur über einem Maskenrohling, wobei der Maskenrohling Folgendes aufweist: ein transparentes Substrat, eine Ätzstoppschicht auf dem transparenten Substrat, eine Phasenverschiebungsmaterialschicht auf der Ätzstoppschicht, eine erste Hartmaskenschicht auf der Phasenverschiebungsmaterialschicht, eine erste Zwischenschicht auf der ersten Hartmaskenschicht, eine zweite Hartmaskenschicht auf der ersten Zwischenschicht und eine zweite Zwischenschicht auf der zweiten Hartmaskenschicht; Strukturieren der zweiten Zwischenschicht unter Verwendung der Fotoresiststruktur als eine Ätzmaske; Strukturieren der zweiten Hartmaskenschicht unter Verwendung der strukturierten zweiten Zwischenschicht als eine Ätzmaske; Strukturieren der ersten Zwischenschicht unter Verwendung der strukturierten zweiten Hartmaskenschicht als eine Ätzmaske; Strukturieren der ersten Hartmaskenschicht unter Verwendung der strukturierten ersten Zwischenschicht als eine Ätzmaske; und Strukturieren der Phasenverschiebungsmaterialschicht unter Verwendung der strukturierten ersten Hartmaskenschicht als eine Ätzmaske, wobei die erste und die zweite Zwischenschicht jeweils mindestens ein Material enthalten, das aus einer Gruppe gewählt ist, die aus einem Übergangsmetall, einer Übergangsmetalllegierung und einem siliziumhaltigen Material besteht, und die erste und die zweite Hartmaskenschicht jeweils aus einem anderen Material als die erste und die zweite Zwischenschicht hergestellt werden.A method of producing an attenuated phase shift mask, the method comprising: Fabricating a photoresist structure over a mask blank, the mask blank comprising: a transparent substrate, an etch stop layer on the transparent substrate, a phase shift material layer on the etch stop layer, a first hard mask layer on the phase shift material layer, a first intermediate layer on the first hard mask layer, a second hard mask layer on the first interlayer and a second interlayer on the second hardmask layer; patterning the second intermediate layer using the photoresist pattern as an etch mask; patterning the second hardmask layer using the patterned second intermediate layer as an etch mask; patterning the first intermediate layer using the patterned second hardmask layer as an etch mask; patterning the first hardmask layer using the patterned first intermediate layer as an etch mask; and Patterning the phase shift material layer using the patterned first hardmask layer as an etch mask, wherein the first and second intermediate layers each contain at least one material selected from a group consisting of a transition metal, a transition metal alloy and a silicon-containing material, and the first and second hard mask layers are each made of a different material than the first and second intermediate layers. Verfahren nach Anspruch 11, wobei die erste und die zweite Hartmaskenschicht jeweils mindestens ein Material enthalten, das aus der Gruppe Cr, CrN, CrO, CrC, CrON, CrCN, CrOC und CrOCN gewählt ist.Procedure according to Claim 11 , wherein the first and second hard mask layers each contain at least one material selected from the group Cr, CrN, CrO, CrC, CrON, CrCN, CrOC and CrOCN. Verfahren nach Anspruch 11 oder 12, wobei die erste und die zweite Zwischenschicht jeweils mindestens ein Material enthalten, das aus der Gruppe Mo, Ta, Pd, Ir, Ni, Sn, Ru und Au gewählt ist.Procedure according to Claim 11 or 12 , wherein the first and second intermediate layers each contain at least one material selected from the group Mo, Ta, Pd, Ir, Ni, Sn, Ru and Au. Verfahren nach Anspruch 11 oder 12, wobei die erste und die zweite Zwischenschicht jeweils mindestens ein Material enthalten, das aus der Gruppe Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC und SiBCN gewählt ist.Procedure according to Claim 11 or 12 , wherein the first and second intermediate layers each contain at least one material selected from the group consisting of silicon nitride, silicon oxide, silicon oxide nitride, SiOC, SiOCN, SiCN, SiC, SiBN, SiBC and SiBCN. Verfahren nach Anspruch 11 oder 12, wobei die erste und die zweite Zwischenschicht jeweils ein Polysiloxan oder ein organisches Polymer aufweisen, das Si- oder Metallteilchen enthält.Procedure according to Claim 11 or 12 , wherein the first and second intermediate layers each comprise a polysiloxane or an organic polymer containing Si or metal particles. Verfahren nach einem der Ansprüche 11 bis 15, wobei die Ätzstoppschicht mindestens ein Material enthält, das aus der Gruppe Al, Ru und Legierungen davon gewählt ist.Procedure according to one of the Claims 11 until 15 , wherein the etch stop layer contains at least one material selected from the group consisting of Al, Ru and alloys thereof. Verfahren nach einem der Ansprüche 11 bis 16, wobei die Phasenverschiebungsmaterialschicht mindestens ein Material enthält, das aus der Gruppe MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC und MoSiN gewählt ist.Procedure according to one of the Claims 11 until 16 , wherein the phase shift material layer contains at least one material selected from the group MoSi, MoSiCON, MoSiON, MoSiCN, MoSiCO, MoSiO, MoSiC and MoSiN. Verfahren zum Herstellen einer abgeschwächten Phasenverschiebungsmaske, wobei das Verfahren Folgendes umfasst: Herstellen einer Fotoresiststruktur über einem Maskenrohling, wobei der Maskenrohling Folgendes aufweist: ein transparentes Substrat, eine Ätzstoppschicht auf dem transparenten Substrat, eine Phasenverschiebungsmaterialschicht auf der Ätzstoppschicht und eine Mehrschichtstruktur, die N Paare aus einer Hartmaskenschicht und einer Zwischenschicht in der Hartmaskenschicht aufweist; Herstellen einer strukturierten Hartmaskenschicht aus einer untersten Hartmaskenschicht in den Mehrschichtstrukturen durch schrittweises Strukturieren jedes der N Paare der Mehrschichtstrukturen; und Strukturieren der Phasenverschiebungsmaterialschicht unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske, wobei N eine natürliche Zahl bis fünf ist, die Zwischenschicht jeweils mindestens ein Material aus einer Gruppe aufweist, die aus einem Übergangsmetall, einer Übergangsmetalllegierung und einem siliziumhaltigen Material besteht, und die Hartmaskenschicht aus einem anderen Material als die Zwischenschicht hergestellt wird.A method of producing an attenuated phase shift mask, the method comprising: Fabricating a photoresist pattern over a mask blank, the mask blank comprising: a transparent substrate, an etch stop layer on the transparent substrate, a phase shift material layer on the etch stop layer, and a multilayer structure having N pairs of a hard mask layer and an intermediate layer in the hard mask layer; producing a patterned hardmask layer from a bottom hardmask layer in the multilayer structures by stepwise patterning each of the N pairs of the multilayer structures; and Patterning the phase shift material layer using the patterned hard mask layer as an etch mask, wherein N is a natural number up to five, the intermediate layer each has at least one material from a group consisting of a transition metal, a transition metal alloy and a silicon-containing material, and the hard mask layer is made of a different material than the intermediate layer. Verfahren nach Anspruch 18, wobei N gleich 3, 4 oder 5 ist.Procedure according to Claim 18 , where N is 3, 4 or 5. Verfahren nach Anspruch 18 oder 19, das weiterhin ein Strukturieren der Ätzstoppschicht umfasst.Procedure according to Claim 18 or 19 , which further includes structuring the etch stop layer.
DE102023112057.3A 2022-08-31 2023-05-09 METHOD FOR PRODUCING PHOTOMASKS Pending DE102023112057A1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202263402853P 2022-08-31 2022-08-31
US63/402,853 2022-08-31
US202263428337P 2022-11-28 2022-11-28
US63/428,337 2022-11-28
US18/110,838 2023-02-16
US18/110,838 US20240069431A1 (en) 2022-08-31 2023-02-16 Method of manufacturing photo masks

Publications (1)

Publication Number Publication Date
DE102023112057A1 true DE102023112057A1 (en) 2024-02-29

Family

ID=89844486

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023112057.3A Pending DE102023112057A1 (en) 2022-08-31 2023-05-09 METHOD FOR PRODUCING PHOTOMASKS

Country Status (2)

Country Link
KR (1) KR20240031182A (en)
DE (1) DE102023112057A1 (en)

Also Published As

Publication number Publication date
KR20240031182A (en) 2024-03-07

Similar Documents

Publication Publication Date Title
DE102013104390B4 (en) Process for the production of a lithographic mask
DE102009014610A1 (en) Photomask blank, photomask and method of making the same
CN102834773B (en) Phase shift mask blank and manufacture method thereof and phase shifting mask
DE102009043145B4 (en) Mask blank and method of making a transfer mask
DE102004013459B4 (en) Method for producing a reflective mask and method for producing a semiconductor component
DE102009014609A1 (en) Photomask blank, photomask and method of making the same
TW201040661A (en) Photomask and producing method of photomask, and correcting method of photomask and corrected photomask
DE112007002165T5 (en) Mask blank and method of making a transfer mask
TWI534527B (en) Photomask blank and manufacturing method thereof
DE102021114398A1 (en) EUV MASK ABSORBER WITH TANTALUM-BASED ALLOY
US8563227B2 (en) Method and system for exposure of a phase shift mask
DE102013108872B4 (en) Ultraviolet light photovoltaic (EUV) photomasks and their manufacturing processes
DE102020114852A1 (en) LITHOGRAPHY MASK WITH AMORPHERIC TOP LAYER
DE102015104473A1 (en) RETICLES AND METHOD FOR THE PRODUCTION THEREOF
US20150177612A1 (en) Mask and method for forming the same
JP4054951B2 (en) Method for manufacturing phase shift mask blank and method for manufacturing phase shift mask
CN1442884A (en) Manufacturing method of electron device
DE60304335T2 (en) METHOD FOR THE PRODUCTION OF A PHOTOMASK USING AN AMORPHOUS CARBON LAYER
US20240069431A1 (en) Method of manufacturing photo masks
DE102020103552A1 (en) EUV MASKS TO PREVENT CARBON POLLUTION
DE102022100087A1 (en) EXTREME ULTRAVIOLET MASK WITH ALLOY-BASED ABSORBERS
DE102023112057A1 (en) METHOD FOR PRODUCING PHOTOMASKS
DE102012107757B4 (en) Method of making a lithographic mask
DE102020129846A1 (en) EUV PHOTOMASKS AND MANUFACTURING METHOD FOR THEM
US7930657B2 (en) Methods of forming photomasks

Legal Events

Date Code Title Description
R012 Request for examination validly filed