DE102022205531A1 - Optical element with photovoltaic cell and EUV lithography system - Google Patents

Optical element with photovoltaic cell and EUV lithography system Download PDF

Info

Publication number
DE102022205531A1
DE102022205531A1 DE102022205531.4A DE102022205531A DE102022205531A1 DE 102022205531 A1 DE102022205531 A1 DE 102022205531A1 DE 102022205531 A DE102022205531 A DE 102022205531A DE 102022205531 A1 DE102022205531 A1 DE 102022205531A1
Authority
DE
Germany
Prior art keywords
photovoltaic cell
substrate
optical element
radiation
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102022205531.4A
Other languages
German (de)
Inventor
Dirk Ehm
Fred Roozeboom
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102022205531.4A priority Critical patent/DE102022205531A1/en
Publication of DE102022205531A1 publication Critical patent/DE102022205531A1/en
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/02168Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells the coatings being antireflective or having enhancing optical properties for the solar cells
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B17/00Systems with reflecting surfaces, with or without refracting elements
    • G02B17/02Catoptric systems, e.g. image erecting and reversing system
    • G02B17/06Catoptric systems, e.g. image erecting and reversing system using mirrors only, i.e. having only one curved mirror
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0816Multilayer mirrors, i.e. having two or more reflecting layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70141Illumination system adjustment, e.g. adjustments during exposure or alignment during assembly of illumination system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • G03F7/70266Adaptive optics, e.g. deformable optical elements for wavefront control, e.g. for aberration adjustment or correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/032Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312
    • H01L31/0322Inorganic materials including, apart from doping materials or other impurities, only compounds not provided for in groups H01L31/0272 - H01L31/0312 comprising only AIBIIICVI chalcopyrite compounds, e.g. Cu In Se2, Cu Ga Se2, Cu In Ga Se2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03923Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate including AIBIIICVI compound materials, e.g. CIS, CIGS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • H01L31/0749Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type including a AIBIIICVI compound, e.g. CdS/CulnSe2 [CIS] heterojunction solar cells
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component

Abstract

Die Erfindung betrifft ein optisches Element (25) zur Reflexion von EUV-Strahlung, umfassend: ein Substrat (26) sowie eine auf eine Oberfläche (27) des Substrats (26) aufgebrachte reflektierende Beschichtung (29) zur Reflexion der EUV-Strahlung. Zwischen der reflektierenden Beschichtung (29) und der Oberfläche (27) des Substrats (26) ist eine photovoltaische Zelle (28) angeordnet. Die Erfindung betrifft auch ein EUV-Lithographiesystem mit mindestens einem solchen reflektierenden optischen Element (25).

Figure DE102022205531A1_0000
The invention relates to an optical element (25) for reflecting EUV radiation, comprising: a substrate (26) and a reflective coating (29) applied to a surface (27) of the substrate (26) for reflecting EUV radiation. A photovoltaic cell (28) is arranged between the reflective coating (29) and the surface (27) of the substrate (26). The invention also relates to an EUV lithography system with at least one such reflective optical element (25).
Figure DE102022205531A1_0000

Description

Hintergrund der ErfindungBackground of the Invention

Die Erfindung betrifft ein optisches Element zur Reflexion von EUV-Strahlung, umfassend: ein Substrat, sowie eine auf eine Oberfläche des Substrats aufgebrachte reflektierende Beschichtung zur Reflexion der EUV-Strahlung. Die Erfindung betrifft auch ein EUV-Lithographiesystem, das mindestens ein solches optisches Element aufweist.The invention relates to an optical element for reflecting EUV radiation, comprising: a substrate and a reflective coating applied to a surface of the substrate for reflecting EUV radiation. The invention also relates to an EUV lithography system which has at least one such optical element.

Bei dem EUV-Lithographiesystem kann es sich um eine EUV-Lithographieanlage zur Belichtung eines Wafers oder um eine andere optische Anordnung handeln, die EUV-Strahlung verwendet, beispielsweise um ein EUV-Inspektionssystem, z.B. zur Inspektion von in der EUV-Lithographie verwendeten Masken, Wafern oder dergleichen.The EUV lithography system can be an EUV lithography system for exposing a wafer or another optical arrangement that uses EUV radiation, for example an EUV inspection system, e.g. for inspecting masks used in EUV lithography, wafers or the like.

In EUV-Lithographiesystemen werden als reflektierende Beschichtungen von optischen Elementen, die zur Reflexion von EUV-Strahlung dienen, (nachfolgend auch als EUV-Spiegel bezeichnet) häufig Mehrlagen-Beschichtungen verwendet, die auf eine plane oder gekrümmte Oberfläche eines Substrats aufgebracht werden. Eine solche Mehrlagen-Beschichtung weist üblicherweise einen Schichtstapel mit einer Mehrzahl von Schichtpaaren (z.B. von 30 oder mehr Schichtpaaren) auf, die aus zwei Materialien mit unterschiedlichen Brechungsindizes bestehen. Bei einer Betriebswellenlänge von 13,5 nm werden als Materialien für ein Schichtpaar jeweils Si und Mo verwendet. Die Reflektivität einer solchen Mehrlagen-Beschichtung für die Betriebswellenlänge von 13,5 nm liegt bestenfalls bei 70%, sofern die Oberfläche der Mehrlagen-Beschichtung nicht mit kontaminierenden Ablagerungen bedeckt ist. Bei den Ablagerungen kann es sich beispielsweise um Zinn-Ablagerungen handeln, die von der EUV-Plasmaquelle stammen, oder um andere kontaminierende Stoffe (z.B. um C, Si, Zn, P, Mg oder dergleichen), die in dem Lithographiesystem vorhanden sind oder die aus dem Photolack (Resist) des Wafers ausgasen. Insbesondere an EUV-Spiegeln, die in der Nähe der Plasmquelle angeordnet sind, ist die Degradation aufgrund der Sn-Ablagerungen hoch, was für einen hohen Wafer-Durchsatz ungünstig ist.In EUV lithography systems, multilayer coatings, which are applied to a planar or curved surface of a substrate, are often used as reflective coatings for optical elements that are used to reflect EUV radiation (hereinafter also referred to as EUV mirrors). Such a multi-layer coating usually has a layer stack with a plurality of layer pairs (e.g. 30 or more layer pairs), which consist of two materials with different refractive indices. With an operating wavelength of 13.5 nm, Si and Mo are used as materials for a pair of layers, respectively. The reflectivity of such a multi-layer coating for the operating wavelength of 13.5 nm is at best 70%, provided that the surface of the multi-layer coating is not covered with contaminating deposits. The deposits can be, for example, tin deposits originating from the EUV plasma source or other contaminants (e.g. C, Si, Zn, P, Mg or the like) present in the lithography system or the outgas from the photoresist (resist) of the wafer. Degradation due to the Sn deposits is high, particularly on EUV mirrors that are arranged in the vicinity of the plasma source, which is unfavorable for a high wafer throughput.

Eine Zinn-Plasmaquelle erzeugt nicht nur monochromatische EUV-Strahlung bei der Betriebswellenlänge von 13,5 nm, sondern auch einen erheblichen Anteil von Strahlung, der sich über ein breites Spektrum vom DUV-Wellenlängenbereich (100 nm - 300 nm) bis zum IR-Wellenlängenbereich erstreckt, vgl. [1], insbesondere 1.4, und [3]. EUV-Spiegel haben zudem nicht nur einen hohen Reflexionsgrad im EUV-Wellenlängenbereich, sondern reflektieren auch Strahlung im DUV, VIS und IR-Wellenlängenbereich. Die spektralen Komponenten bei unerwünschten Wellenlängen, die von der Sn-Plasmaquelle erzeugt werden, sowie die gestreute Laserstrahlung im DUV-Wellenlängenbereich, die von der Laserquelle der Plasmaquelle erzeugt wird, propagieren daher gemeinsam mit der Nutzstrahlung, die typischerweise eine Betriebswellenlänge von 13,5 nm aufweist (und als in-band Strahlung bezeichnet wird), durch den gesamten Strahlengang des Lithographiesystems. Der DUV-Anteil erreicht hierbei die Wafer-Stage und beeinflusst den Strukturierungs-Prozess, da Resist-Materialien für diese Art von Strahlung sensitiv sind (vgl. [2]). Der gestreute IR-Anteil der Strahlung führt zu hohen Wärmelasten insbesondere in der Projektionsoptik, die während des Belichtungsprozesses zu Verzerrungen bei der Abbildung der Struktur von der Maske auf den Wafer führen.A tin plasma source produces not only monochromatic EUV radiation at the operating wavelength of 13.5 nm, but also a significant proportion of radiation that spans a broad spectrum from the DUV wavelength range (100 nm - 300 nm) to the IR wavelength range extends, cf. [1], in particular 1 .4, and [3]. In addition, EUV mirrors not only have a high degree of reflection in the EUV wavelength range, but also reflect radiation in the DUV, VIS and IR wavelength range. The spectral components at undesired wavelengths generated by the Sn plasma source, as well as the scattered laser radiation in the DUV wavelength range generated by the plasma source's laser source, therefore propagate together with the useful radiation, which typically has an operating wavelength of 13.5 nm (referred to as in-band radiation) throughout the optical path of the lithography system. The DUV portion reaches the wafer stage and influences the structuring process, since resist materials are sensitive to this type of radiation (cf. [2]). The scattered IR portion of the radiation leads to high thermal loads, especially in the projection optics, which lead to distortions in the imaging of the structure from the mask to the wafer during the exposure process.

Abhängig von der abzubildenden Struktur an der Maske und der Strahlform bzw. den Beleuchtungs-Einstellungen ist die Lichtverteilung über die Oberfläche eines jeweiligen EUV-Spiegels nicht uniform. Die Absorption der nicht reflektierten Strahlungsanteile variiert ortsabhängig über die Oberfläche, auf welche die reflektierende Beschichtung aufgebracht ist. Dies führt zu Temperaturgradienten im Substrat des Spiegels und aufgrund der lokal unterschiedlichen thermischen Ausdehnung zu Deformationen an der Oberfläche des Spiegels. Um den Durchsatz (Anzahl der Wafer pro Stunde) zu erhöhen, gibt es einen Bedarf, die Leistung der EUV-Strahlungsquelle weiter zu erhöhen, was eine weitere Vergrößerung der Deformationen zur Folge haben wird. Dies trifft insbesondere auf die Spiegel zu, die sich in der Nähe der Sn-Plasmaquelle befinden, beispielsweise auf den Kollektorspiegel.Depending on the structure to be imaged on the mask and the beam shape or the illumination settings, the light distribution over the surface of a respective EUV mirror is not uniform. The absorption of the non-reflected radiation components varies depending on the location over the surface to which the reflective coating is applied . This leads to temperature gradients in the substrate of the mirror and, due to the locally different thermal expansion, to deformations on the surface of the mirror. In order to increase the throughput (number of wafers per hour), there is a need to further increase the power of the EUV radiation source, which will result in a further increase in the deformations. This is particularly true of the mirrors that are close to the Sn plasma source, such as the collector mirror.

Zur Korrektur von im Betrieb entstehenden Deformationen der optisch wirksamen Oberfläche bzw. von Wellenfrontveränderungen können aktive Spiegel eingesetzt werden. Bei aktiven Spiegeln wird die optisch wirksame Oberfläche mit Hilfe von die optische Oberfläche verbiegenden bzw. deformierenden Aktuatoren, z.B. in Form von Piezoaktuatoren, verformt, die z.B. an einem deformierbaren Spiegelrahmen angebracht sein können. Eine weitere Möglichkeit zur Verringerung von Deformationen an der Oberfläche, an der die EUV-Strahlung reflektiert wird, stellen dedizierte Spiegel-Kühlungs- und/oder Heizungskonzepte dar.Active mirrors can be used to correct deformations of the optically active surface that occur during operation or wavefront changes. In the case of active mirrors, the optically effective surface is deformed with the aid of actuators that bend or deform the optical surface, e.g. in the form of piezo actuators, which can be attached to a deformable mirror frame, for example. Another way to reduce deformations on the surface where the EUV radiation is reflected is to use dedicated mirror cooling and/or heating concepts.

In dem Artikel „Lithography - Green and Getting Greener“ von H. J. Levinson, Japanese Journal of Applied Physics 50(6), Mai 2011, wird beschrieben, dass es für die Reduzierung der Kosten am effektivsten ist, wenn die Effizienz, insbesondere der Energieverbrauch, von EUV-Lithographieanlagen gesenkt wird.In the article "Lithography - Green and Getting Greener" by H.J. Levinson, Japanese Journal of Applied Physics 50(6), May 2011, it is described that the most effective way to reduce costs is when efficiency, especially energy consumption, of EUV lithography systems is reduced.

Aufgabe der Erfindungobject of the invention

Aufgabe der Erfindung ist es, ein optisches Element und ein EUV-Lithographiesystem mit erhöhter Energieeffizienz bereitzustellen.The object of the invention is to provide an optical element and an EUV lithography system with increased energy efficiency.

Gegenstand der Erfindungsubject of the invention

Diese Aufgabe wird gelöst durch ein optisches Element der eingangs genannten Art, bei dem zwischen der reflektierenden Beschichtung und der Oberfläche des Substrats eine photovoltaische Zelle angeordnet ist.This object is achieved by an optical element of the type mentioned at the outset, in which a photovoltaic cell is arranged between the reflective coating and the surface of the substrate.

Wie weiter oben beschrieben wurde, wird ein wesentlicher Anteil der Energie, der auf ein optisches Element in Form eines EUV-Spiegels eingestrahlt wird, nicht reflektiert, sondern über einen breiten Wellenlängenbereich von dem EUV-Spiegel absorbiert, was zu den weiter oben beschriebenen unerwünschten lokalen Heizeffekten und Deformationen an der Oberfläche des EUV-Spiegels führt.As described above, a significant portion of the energy incident on an optical element in the form of an EUV mirror is not reflected but is absorbed by the EUV mirror over a wide range of wavelengths, leading to the undesirable local Heating effects and deformations on the surface of the EUV mirror.

Erfindungsgemäß wird vorgeschlagen, zumindest einen Teil der nicht an dem EUV-Spiegel reflektierten Strahlungsenergie im EUV-DUV-VIS-NIR-Wellenlängenbereich, die sich schädigend auf alle EUV-Optiken auswirkt, in photovoltaisch erzeugte elektrische Energie umzuwandeln, wodurch der Energieverbrauch des EUV-Lithographiesystems reduziert wird. Zudem kann in einer synergetischen und selbstkonsistenten Weise die absorbierte Strahlungsenergie zur Verbesserung der optischen Lebensdauer der optischen Elemente verwendet werden, indem die EUV-DUV-VIS-NIR-induzierte thermische Aufheizung der optischen Elemente reduziert wird. Die Umwandlung der Strahlungsenergie in elektrische Energie erfolgt mit Hilfe einer photovoltaischen (Dünnschicht-)Zelle (bzw. Solarzelle), die zwischen der reflektierenden Beschichtung und dem Substrat angeordnet ist. Die elektrische Energie, die von der photovoltaischen Zelle erzeugt wird, kann für unterschiedliche Zwecke verwendet werden.According to the invention, it is proposed to convert at least part of the radiation energy in the EUV-DUV-VIS-NIR wavelength range, which is not reflected on the EUV mirror and has a damaging effect on all EUV optics, into photovoltaically generated electrical energy, thereby reducing the energy consumption of the EUV Lithography system is reduced. Additionally, in a synergetic and self-consistent manner, the absorbed radiant energy can be used to improve the optical lifetime of the optical elements by reducing the EUV-DUV-VIS-NIR induced thermal heating of the optical elements. The conversion of the radiant energy into electrical energy takes place with the help of a photovoltaic (thin film) cell (or solar cell), which is arranged between the reflective coating and the substrate. The electrical energy generated by the photovoltaic cell can be used for different purposes.

Bei einer Ausführungsform ist die photovoltaische Zelle in Form einer (funktionalen) Beschichtung auf die Oberfläche des Substrats aufgebracht. Die photovoltaische Zelle ist in diesem Fall als Dünnschichtzelle ausgebildet. Die photovoltaische Zelle in Form der Beschichtung kann auf einer planen oder einer gekrümmten Oberfläche des Substrats aufgebracht sein. Die photovoltaische Zelle in Form der Beschichtung weist typischerweise mehrere Schichten auf, von denen eine Schicht als Absorptionsschicht zur Absorption eines Teils der auftreffenden Strahlungsenergie dient. Zwei der Schichten der Dünnschichtzelle dienen als Elektroden und weisen jeweils einen elektrischen Kontakt auf. Zwischen den beiden elektrischen Kontakten fällt im Betrieb der photovoltaischen Zelle eine elektrische Spannung ab. Bei den beiden Schichten, welche die Elektroden bilden, handelt es sich typischerweise um eine oberste, der reflektierenden Beschichtung benachbarte Schicht und um eine unterste, der Oberfläche des Substrats benachbarte Schicht der photovoltaischen Zelle.In one embodiment, the photovoltaic cell is applied to the surface of the substrate in the form of a (functional) coating. In this case, the photovoltaic cell is designed as a thin-layer cell. The photovoltaic cell in the form of the coating can be applied to a flat or a curved surface of the substrate. The photovoltaic cell in the form of the coating typically has several layers, one layer of which serves as an absorption layer for absorbing part of the incident radiant energy. Two of the layers of the thin-film cell serve as electrodes and each have an electrical contact. During operation of the photovoltaic cell, an electrical voltage drops between the two electrical contacts. The two layers that form the electrodes are typically a top layer adjacent the reflective coating and a bottom layer adjacent the surface of the substrate of the photovoltaic cell.

Bei einer Weiterbildung ist mindestens eine Schicht der photovoltaischen Zelle durch Atomlagenabscheidung (atomic layer deposition), insbesondere durch räumliche Atomlagenabscheidung (spatial atomic layer deposition), auf die Oberfläche des Substrats aufgebracht. Insbesondere können alle Schichten der photovoltaischen Zelle durch (räumliche) Atomlagenabscheidung auf die Oberfläche des Substrats aufgebracht sein. Durch das Aufbringen der photovoltaischen Zelle mittels Atomlagenabscheidung können die Rauhigkeitsanforderungen auf atomarer Skala erfüllt werden, die für eine optimale Performance, genauer gesagt zur Erzeugung einer maximalen Reflektivität des EUV-Spiegels eingehalten werden müssen. Da manche der Schichten der photovoltaischen Zelle, z.B. die Absorberschicht, eine Dicke von beispielsweise ca. 0,5 µm oder mehr aufweisen können, ist die vorteilhafteste Art der Atomlagenabscheidung die räumliche Atomlagenabscheidung, die gegenüber herkömmlicher Atomlagenabscheidung um einen Faktor 10 höhere Schicht-Abscheidungsraten bei außergewöhnlich guter Dickenkontrolle auf atomarer Skala ermöglicht (vgl. [4], [5], [7], [9]).In one development, at least one layer of the photovoltaic cell is applied to the surface of the substrate by atomic layer deposition, in particular by spatial atomic layer deposition. In particular, all layers of the photovoltaic cell can be applied to the surface of the substrate by (spatial) atomic layer deposition. By applying the photovoltaic cell using atomic layer deposition, the roughness requirements can be met on an atomic scale, which must be met for optimal performance, more precisely for generating maximum reflectivity of the EUV mirror. Since some of the layers of the photovoltaic cell, e.g. the absorber layer, can have a thickness of, for example, approx. 0.5 µm or more, the most advantageous type of atomic layer deposition is spatial atomic layer deposition, which compared to conventional atomic layer deposition has higher layer deposition rates by a factor of 10 exceptionally good thickness control on the atomic scale (cf. [4], [5], [7], [9]).

Bei der räumlichen Atomlagenabscheidung wird typischerweise Gas bei Atmosphärendruck verwendet. Die räumliche Atomlagenabscheidung kann plasmaunterstützt erfolgen (Plasma Enhanced Atomic Layer Deposition, PEALD). Die ggf. gekrümmte Oberfläche des Substrats kann automatisch in einem geringen Abstand (typischerweise 200 µm oder weniger) von einem (Plasma-)Gas-Injektionskopf angeordnet sein, um eine effizientere Deposition als bei einem konventionellen ALD-Prozess sicherzustellen, bei dem das Substrat in einem voluminösen Reaktor in einem wesentlich weniger effizienten Prozess behandelt würde.Atomic layer spatial deposition typically uses atmospheric pressure gas. The spatial atomic layer deposition can be plasma-enhanced (Plasma Enhanced Atomic Layer Deposition, PEALD). The possibly curved surface of the substrate can be automatically arranged at a small distance (typically 200 µm or less) from a (plasma) gas injection head to ensure a more efficient deposition than in a conventional ALD process, in which the substrate is in would be treated in a bulky reactor in a much less efficient process.

Bei einer weiteren Weiterbildung ist mindestens eine Schicht der photovoltaischen Zelle durch Magnetron-Sputtern auf die Oberfläche des Substrats aufgebracht. Das Magnetron-Sputtern stellt eine alternative Möglichkeit zur Abscheidung von Schichten der photovoltaischen Zelle in Form eines trockenen Abscheidungsprozesses dar, der typischerweise in einer Vakuumkammer durchgeführt wird. Insbesondere kann die dicke (Absorber-)schicht der photovolatischen Zelle durch Magnetron-Sputtern in einer geeigneten Apparatur abgeschieden werden. Die Apparatur für das Magnetron-Sputtern kann mit einer Kaufmann-Ionenkanone mit Glühkathode ausgerüstet sein, die zur Ionenbehandlung (lokales Ausdünnen und Polieren) der abgeschiedenen Schicht(en) dient (vgl. [1]). Diese zusätzliche Nachbehandlung in einer FIB(Focused Ion Beam)-Anlage wird auch beim Abscheiden von herkömmlichen reflektierenden Mehrlagen-Beschichtungen, z.B. von Mo/Si-Beschichtungen, verwendet, um individuelle Schichten lokal dünner zu machen. Der FIB-Prozess, der nach dem Abscheiden oder intermittierend angewendet werden kann, um die Schichtdicken zu korrigieren, benötigt jedoch eine Feedback-Schleife, die auf einem Scannen und Re-Scannen der Schichtdicke beruht.In a further development, at least one layer of the photovoltaic cell is applied to the surface of the substrate by magnetron sputtering. Magnetron sputtering represents an alternative way of depositing layers of the photovoltaic cell in the form of a dry deposition process, which is typically carried out in a vacuum chamber. In particular, the thick (absorber) layer of the photovoltaic cell can be deposited by magnetron sputtering in a suitable apparatus. The magnetron sputtering apparatus can be equipped with a Kaufmann ion gun be equipped with a hot cathode, which is used for ion treatment (local thinning and polishing) of the deposited layer(s) (cf. [1]). This additional post-treatment in a FIB (Focused Ion Beam) system is also used when depositing conventional reflective multi-layer coatings, eg Mo/Si coatings, in order to make individual layers locally thinner. However, the FIB process, which can be applied post-deposition or intermittently to correct layer thicknesses, requires a feedback loop based on scanning and rescanning of the layer thickness.

Bei einer weiteren Ausführungsform ist die photovoltaische Zelle vom Kupfer-Indium-Gallium-Diselenid-Typ oder vom Kupfer-Zinn-Zinksulfid-Typ. Grundsätzlich kann nahezu jeder Typ von Dünnschichtzelle in Form einer Beschichtung auf die Oberfläche des Substrats aufgebracht werden. Ein Beispiel für eine solche Dünnschichtzelle stellt die CIGS („Copper Indium Gallium Selenide“)-Zelle (Cu(In,Ga)Se2-Klasse) dar.In another embodiment, the photovoltaic cell is of the copper-indium-gallium-diselenide type or of the copper-tin-zinc sulfide type. In principle, almost any type of thin-film cell can be applied to the surface of the substrate in the form of a coating. An example of such a thin-film cell is the CIGS ("Copper Indium Gallium Selenide") cell (Cu(In,Ga)Se 2 class).

Ein beispielhafter Aufbau einer solchen Dünnschichtzelle ist unter dem Link „https://www.thermofisher.com/blog/materials/exploring-a-better-way-to-makecigs-solar-cells/“ beschrieben. Der Schichtstapel der CIGS-Zelle kann beispielsweise von oben nach unten eine Schicht in Form einer transparenten Elektrode aus Al-dotiertem ZnO (AZO), eine ZnO-Halbleiterschicht vom n-Typ, eine CdS Pufferschicht, eine aktive (Absorber-)Schicht aus Cu((In,Ga)Se2),und eine unterste Schicht aus (reflektierendem) Molybdän aufweisen. Der Schichtstapel ist auf einem Glassubstrat aufgebracht. Die Absorberschicht weist in diesem Fall eine erhebliche Dicke in der Größenordnung zwischen ca. 1 µm und 2 µm auf. Die Quanten-Effizienz einer typischen CIGS-Zelle mit ihrem Absorptionsspektrum im Wellenlängenbereich zwischen 300 nm und 1200 nm ist in [6] beschrieben, vgl. dort 3, in der ein Vergleich zwischen einer mit ALD abgeschiedenen Pufferschicht und einer Referenz-Zelle mit einer CdS-Pufferschicht gezeigt ist.An exemplary structure of such a thin-film cell is described under the link "https://www.thermofisher.com/blog/materials/exploring-a-better-way-to-makecigs-solar-cells/". The layer stack of the CIGS cell can, for example, from top to bottom, be a layer in the form of a transparent electrode made of Al-doped ZnO (AZO), an n-type ZnO semiconductor layer, a CdS buffer layer, an active (absorber) layer made of Cu ((In,Ga)Se 2 ), and a bottom layer of (reflective) molybdenum. The layer stack is applied to a glass substrate. In this case, the absorber layer has a considerable thickness in the order of between approximately 1 μm and 2 μm. The quantum efficiency of a typical CIGS cell with its absorption spectrum in the wavelength range between 300 nm and 1200 nm is described in [6], see there 3 , in which a comparison between a buffer layer deposited with ALD and a reference cell with a CdS buffer layer is shown.

Die photovoltaische Zelle bzw. deren Schichtstapel kann auch ähnliche Materialien wie die CIGS-Zelle umfassen und beispielsweise vom CZTS(„copper zinc tin sufur“)-Typ sein, vom Cu(Zn,Sn)Se2-Typ, etc. Grundsätzlich können für die hier beschriebene photovoltaische Zelle auch die meisten Materialien verwendet werden, die in Zellen vom Perowskit(Calciumtitanat)-Typ vorkommen.The photovoltaic cell or its layer stack can also include materials similar to the CIGS cell and can be, for example, of the CZTS ("copper zinc tin sufur") type, of the Cu(Zn,Sn)Se 2 type, etc The photovoltaic cell described here can also use most of the materials found in perovskite (calcium titanate) type cells.

Bei einer weiteren Ausführungsform weist das optische Element mindestens einen bevorzugt in das Substrat integrierten Aktuator zur Deformation der Oberfläche des Substrats auf, auf welche die reflektierende Beschichtung aufgebracht ist, wobei die photovoltaische Zelle als Energiequelle für den mindestens einen Aktuator dient. Der Aktuator kann zum thermischen Aktuieren der Oberfläche ausgebildet sein und beispielsweise als Peltierelement bzw. als Peltier-Schichtstapel ausgebildet sein, um das Substrat zu kühlen, um auf diese Weise die thermische Ausdehnung zu verringern oder ggf. zum Heizen des Substrats, um an der Oberfläche eine möglichst gleichmäßige Temperaturverteilung zu erzeugen. In Bezug auf thermische Aktuatoren sei beispielhaft auf [13] verwiesen, wobei die dort beschriebenen Aktuatoren nicht in die Schicht bzw. in das Substrat integriert bzw. eingebettet sind und von externen Energiequellen gespeist werden.In a further embodiment, the optical element has at least one actuator, preferably integrated into the substrate, for deforming the surface of the substrate to which the reflective coating is applied, the photovoltaic cell serving as an energy source for the at least one actuator. The actuator can be designed for thermally actuating the surface and can be designed, for example, as a Peltier element or as a Peltier layer stack in order to cool the substrate in order to reduce thermal expansion in this way or, if necessary, to heat the substrate in order to at the surface to produce a temperature distribution that is as even as possible. With regard to thermal actuators, reference is made to [13] by way of example, the actuators described there not being integrated or embedded in the layer or in the substrate and fed by external energy sources.

Es ist nicht zwingend erforderlich, dass der Aktuator in das Substrat eingebettet ist, vielmehr kann der Aktuator auch an der Oberfläche des Substrats, beispielsweise auf der Rückseite des Substrats, angebracht sein. Dies ist häufig der Fall, wenn es sich bei dem bzw. den Aktuatoren um Piezoaktuatoren handelt. Es ist grundsätzlich auch möglich, dass der mindestens eine Aktuator von dem optischen Element beabstandet angeordnet ist.It is not absolutely necessary for the actuator to be embedded in the substrate; rather, the actuator can also be attached to the surface of the substrate, for example on the rear side of the substrate. This is often the case when the actuator or actuators are piezo actuators. In principle, it is also possible for the at least one actuator to be arranged at a distance from the optical element.

Bei einer weiteren Ausführungsform weist das optische Element mindestens einen bevorzugt in das Substrat integrierten Sensor auf, wobei die photovoltaische Zelle als Energiequelle für den mindestens einen Sensor dient. Der Sensor kann zur Messung von verschiedenen kritischen Parametern dienen, die beispielsweise die Positionierung bzw. die Form der Oberfläche des EUV-Spiegels betreffen, die mit einer Präzision im Sub-Nanometerbereich erfolgt. Bei dem (kritischen) Parameter kann es sich beispielsweise um die thermische Ausdehnung handeln, um den Druck, insbesondere um den Umgebungsdruck, etc. Auch kann der Sensor zur in-situ-Reflektometrie verwendet werden.In a further embodiment, the optical element has at least one sensor, which is preferably integrated into the substrate, with the photovoltaic cell serving as an energy source for the at least one sensor. The sensor can be used to measure various critical parameters, such as the positioning or the shape of the surface of the EUV mirror, which is carried out with a precision in the sub-nanometer range. The (critical) parameter can be, for example, the thermal expansion, the pressure, in particular the ambient pressure, etc. The sensor can also be used for in-situ reflectometry.

Bei einer Ausführungsform bildet die reflektierende Beschichtung eine Mehrlagen-Beschichtung mit alternierenden Schichten aus einem ersten Material und aus einem zweiten Material, die unterschiedliche Brechungsindizes aufweisen. Wie weiter oben beschrieben wurde, handelt es sich bei einer Betriebswellenlänge von 13,5 nm üblicherweise bei dem ersten Material um Si und bei dem zweiten Material um Mo, die als Interferenzschichtsystem zur Reflexion der EUV-Strahlung bei der Betriebswellenlänge dienen.In one embodiment, the reflective coating forms a multilayer coating with alternating layers of a first material and a second material having different indices of refraction. As described above, at an operating wavelength of 13.5 nm, the first material is usually Si and the second material is Mo, which serve as an interference layer system for reflecting the EUV radiation at the operating wavelength.

Die Schichten der reflektierenden Mehrlagen-Beschichtung können gemeinsam mit den Schichten der photovoltaischen Zelle in einem integrierten Prozess, beispielsweise mittels (räumlicher) Atomlagenabscheidung. auf die Oberfläche des Substrats abgeschieden werden. Wie weiter oben beschrieben wurde, kann die photovoltaische Zelle Strahlung im EUV-DUV-VIS-NIR-Wellenbereich, beispielsweise Strahlung im Wellenlängenbereich zwischen 300 nm und 1200 nm, absorbieren und in elektrische Energie umwandeln, die von der Mehrlagen-Beschichtung durchgelassen wird. Bei einer Mehrlagen-Beschichtung mit alternierenden Schichten aus Molybdän und Silizium wird im Wesentlichen sogenannte out-of-band Strahlung im Wellenlängenbereich zwischen ca. 10 nm und ca. 18 nm zur photovoltaischen Zelle durchgelassen. Es ist daher günstig, wenn die photovoltaische Zelle Strahlung in diesem Wellenlängenbereich oder im weichen Röntgenbereich absorbieren und in elektrische Energie umwandeln kann. Mögliche Materialien für die Absorberschicht einer solchen photovoltaischen Zelle sind beispielsweise Ge, Te, Sb, Se.The layers of the reflective multilayer coating can be formed together with the layers of the photovoltaic cell in an integrated process, for example by means of (spatial) atomic layer deposition. be deposited on the surface of the substrate. As described above, the photovoltaic cell can emit radiation in the EUV-DUV-VIS-NIR wavelength range For example, absorb radiation in the wavelength range between 300 nm and 1200 nm and convert it into electrical energy, which the multi-layer coating lets through. In the case of a multi-layer coating with alternating layers of molybdenum and silicon, so-called out-of-band radiation in the wavelength range between approx. 10 nm and approx. 18 nm is essentially allowed to pass through to the photovoltaic cell. It is therefore advantageous if the photovoltaic cell can absorb radiation in this wavelength range or in the soft X-ray range and convert it into electrical energy. Possible materials for the absorber layer of such a photovoltaic cell are, for example, Ge, Te, Sb, Se.

Ein weiterer Aspekt der Erfindung betrifft ein EUV-Lithographiesystem, umfassend: mindestens ein optisches Element, das wie weiter oben beschrieben ausgebildet ist. Wie weiter oben beschrieben wurde, kann es sich bei dem EUV-Lithographiesystem um eine EUV-Lithographieanlage zur Belichtung eines Wafers oder um eine andere optische Anordnung handeln, die EUV-Strahlung verwendet, beispielsweise um ein EUV-Inspektionssystem, z.B. zur Inspektion von in der EUV-Lithographie verwendeten Masken, Wafern oder dergleichen.A further aspect of the invention relates to an EUV lithography system, comprising: at least one optical element which is embodied as described above. As described above, the EUV lithography system can be an EUV lithography system for exposing a wafer or another optical arrangement that uses EUV radiation, for example an EUV inspection system, e.g. for inspecting in the EUV lithography used masks, wafers or the like.

Weitere Merkmale und Vorteile der Erfindung ergeben sich aus der nachfolgenden Beschreibung von Ausführungsbeispielen der Erfindung, anhand der Figuren der Zeichnung, die erfindungswesentliche Einzelheiten zeigen, und aus den Ansprüchen. Die einzelnen Merkmale können je einzeln für sich oder zu mehreren in beliebiger Kombination bei einer Variante der Erfindung verwirklicht sein.Further features and advantages of the invention result from the following description of exemplary embodiments of the invention, with reference to the figures of the drawing, which show details essential to the invention, and from the claims. The individual features can each be implemented individually or together in any combination in a variant of the invention.

Figurenlistecharacter list

Ausführungsbeispiele sind in der schematischen Zeichnung dargestellt und werden in der nachfolgenden Beschreibung erläutert. Es zeigt

  • 1 schematisch im Meridionalschnitt eine Projektionsbelichtungsanlage für die EUV-Projektionslithografie, sowie
  • 2 eine schematische Darstellung eines optischen Elements zur Reflexion von EUV-Strahlung, das eine photovoltaische Zelle aufweist.
Exemplary embodiments are shown in the schematic drawing and are explained in the following description. It shows
  • 1 a schematic meridional section of a projection exposure system for EUV projection lithography, and
  • 2 a schematic representation of an optical element for reflecting EUV radiation, which has a photovoltaic cell.

In der folgenden Beschreibung der Zeichnungen werden für gleiche bzw. funktionsgleiche Bauteile identische Bezugszeichen verwendet.In the following description of the drawings, identical reference symbols are used for identical or functionally identical components.

Im Folgenden werden unter Bezugnahme auf 1 exemplarisch die wesentlichen Bestandteile einer optischen Anordnung für die EUV-Lithographie in Form einer Projektionsbelichtungsanlage 1 für die Mikrolithographie beschrieben. Die Beschreibung des grundsätzlichen Aufbaus der Projektionsbelichtungsanlage 1 sowie von deren Bestandteilen ist hierbei nicht einschränkend zu verstehen.The following are referring to 1 the essential components of an optical arrangement for EUV lithography in the form of a projection exposure system 1 for microlithography are described by way of example. The description of the basic structure of the projection exposure system 1 and of its components is not to be understood as limiting here.

Eine Ausführung eines Beleuchtungssystem 2 der Projektionsbelichtungsanlage 1 hat neben einer Licht- bzw. Strahlungsquelle 3 eine Beleuchtungsoptik 4 zur Beleuchtung eines Objektfeldes 5 in einer Objektebene 6. Bei einer alternativen Ausführung kann die Lichtquelle 3 auch als ein zum sonstigen Beleuchtungssystem separates Modul bereitgestellt sein. In diesem Fall umfasst das Beleuchtungssystem die Lichtquelle 3 nicht.One embodiment of an illumination system 2 of the projection exposure system 1 has, in addition to a light or radiation source 3, illumination optics 4 for illuminating an object field 5 in an object plane 6. In an alternative embodiment, the light source 3 can also be provided as a separate module from the rest of the illumination system. In this case the lighting system does not include the light source 3 .

Beleuchtet wird ein im Objektfeld 5 angeordnetes Retikel 7. Das Retikel 7 ist von einem Retikelhalter 8 gehalten. Der Retikelhalter 8 ist über einen Retikelverlagerungsantrieb 9 insbesondere in einer Scanrichtung verlagerbar.A reticle 7 arranged in the object field 5 is illuminated. The reticle 7 is held by a reticle holder 8 . The reticle holder 8 can be displaced in particular in a scanning direction via a reticle displacement drive 9 .

In 1 ist zur Erläuterung ein kartesisches xyz-Koordinatensystem eingezeichnet. Die x-Richtung verläuft senkrecht zur Zeichenebene hinein. Die y-Richtung verläuft horizontal und die z-Richtung verläuft vertikal. Die Scanrichtung verläuft in der 1 längs der y-Richtung. Die z-Richtung verläuft senkrecht zur Objektebene 6.In 1 a Cartesian xyz coordinate system is drawn in for explanation. The x-direction runs perpendicular to the plane of the drawing. The y-direction is horizontal and the z-direction is vertical. The scanning direction is in the 1 along the y-direction. The z-direction runs perpendicular to the object plane 6.

Die Projektionsbelichtungsanlage 1 umfasst ein Projektionssystem 10. Das Projektionssystem 10 dient zur Abbildung des Objektfeldes 5 in ein Bildfeld 11 in einer Bildebene 12. Abgebildet wird eine Struktur auf dem Retikel 7 auf eine lichtempfindliche Schicht eines im Bereich des Bildfeldes 11 in der Bildebene 12 angeordneten Wafers 13. Der Wafer 13 wird von einem Waferhalter 14 gehalten. Der Waferhalter 14 ist über einen Waferverlagerungsantrieb 15 insbesondere längs der y-Richtung verlagerbar. Die Verlagerung einerseits des Retikels 7 über den Retikelverlagerungsantrieb 9 und andererseits des Wafers 13 über den Waferverlagerungsantrrieb 15 kann synchronisiert zueinander erfolgen.The projection exposure system 1 comprises a projection system 10. The projection system 10 is used to image the object field 5 in an image field 11 in an image plane 12. A structure on the reticle 7 is imaged on a light-sensitive layer of a wafer arranged in the region of the image field 11 in the image plane 12 13. The wafer 13 is held by a wafer holder 14. The wafer holder 14 can be displaced in particular along the y-direction via a wafer displacement drive 15 . The displacement of the reticle 7 via the reticle displacement drive 9 on the one hand and the wafer 13 on the other hand via the wafer displacement drive 15 can be synchronized with one another.

Bei der Strahlungsquelle 3 handelt es sich um eine EUV-Strahlungsquelle. Die Strahlungsquelle 3 emittiert insbesondere EUV-Strahlung 16, welche im Folgenden auch als Nutzstrahlung, Beleuchtungsstrahlung oder Beleuchtungslicht bezeichnet wird. Die Nutzstrahlung hat insbesondere eine Wellenlänge im Bereich zwischen 5 nm und 30 nm. Bei der Strahlungsquelle 3 kann es sich um eine Plasmaquelle handeln, zum Beispiel um eine LPP-Quelle (Laser Produced Plasma, mithilfe eines Lasers erzeugtes Plasma) oder um eine DPP-Quelle (Gas Discharged Produced Plasma, mittels Gasentladung erzeugtes Plasma). Es kann sich auch um eine synchrotronbasierte Strahlungsquelle handeln. Bei der Strahlungsquelle 3 kann es sich um einen Freie-Elektronen-Laser (Free-Electron-Laser, FEL) handeln.The radiation source 3 is an EUV radiation source. The radiation source 3 emits in particular EUV radiation 16, which is also referred to below as useful radiation, illumination radiation or illumination light. In particular, the useful radiation has a wavelength in the range between 5 nm and 30 nm. The radiation source 3 can be a plasma source, for example an LPP source (laser produced plasma, plasma generated with the aid of a laser) or a DPP Source (Gas Discharged Produced Plasma). It can also be a synchrotron-based radiation source. The radiation source 3 can be a free-electron laser (free-electron laser, FEL).

Die Beleuchtungsstrahlung 16, die von der Strahlungsquelle 3 ausgeht, wird von einem Kollektorspiegel 17 gebündelt. Bei dem Kollektorspiegel 17 kann es sich um einen Kollektorspiegel mit einer oder mit mehreren ellipsoidalen und/oder hyperboloiden Reflexionsflächen handeln. Die mindestens eine Reflexionsfläche des Kollektorspiegels 17 kann im streifenden Einfall (Grazing Incidence, GI), also mit Einfallswinkeln größer als 45°, oder im normalen Einfall (Normal Incidence, NI), also mit Einfallwinkeln kleiner als 45°, mit der Beleuchtungsstrahlung 16 beaufschlagt werden. Der Kollektorspiegel 17 kann einerseits zur Optimierung seiner Reflektivität für die Nutzstrahlung und andererseits zur Unterdrückung von Falschlicht strukturiert und/oder beschichtet sein.The illumination radiation 16 emanating from the radiation source 3 is bundled by a collector mirror 17 . The collector mirror 17 can be a collector mirror with one or more ellipsoidal and/or hyperboloidal reflection surfaces. The at least one reflection surface of the collector mirror 17 can be exposed to the illumination radiation 16 in grazing incidence (Grazing Incidence, GI), i.e. with angles of incidence greater than 45°, or in normal incidence (Normal Incidence, NI), i.e. with angles of incidence less than 45° will. The collector mirror 17 can be structured and/or coated on the one hand to optimize its reflectivity for the useful radiation and on the other hand to suppress stray light.

Nach dem Kollektorspiegel 17 propagiert die Beleuchtungsstrahlung 16 durch einen Zwischenfokus in einer Zwischenfokusebene 18. Die Zwischenfokusebene 18 kann eine Trennung zwischen einem Strahlungsquellenmodul, aufweisend die Strahlungsquelle 3 und den Kollektorspiegel 17, und der Beleuchtungsoptik 4 darstellen.After the collector mirror 17, the illumination radiation 16 propagates through an intermediate focus in an intermediate focus plane 18. The intermediate focus plane 18 can represent a separation between a radiation source module, comprising the radiation source 3 and the collector mirror 17, and the illumination optics 4.

Die Beleuchtungsoptik 4 umfasst einen Umlenkspiegel 19 und diesem im Strahlengang nachgeordnet einen ersten Facettenspiegel 20. Bei dem Umlenkspiegel 19 kann es sich um einen planen Umlenkspiegel oder alternativ um einen Spiegel mit einer über die reine Umlenkungswirkung hinaus bündelbeeinflussenden Wirkung handeln. Alternativ oder zusätzlich kann der Umlenkspiegel 19 als Spektralfilter ausgeführt sein, der eine Nutzlichtwellenlänge der Beleuchtungsstrahlung 16 von Falschlicht einer hiervon abweichenden Wellenlänge trennt. Der erste Facettenspiegel 20 umfasst eine Vielzahl von einzelnen ersten Facetten 21, welche im Folgenden auch als Feldfacetten bezeichnet werden. Von diesen Facetten 21 sind in der 1 nur beispielhaft einige dargestellt. Im Strahlengang der Beleuchtungsoptik 4 ist dem ersten Facettenspiegel 20 nachgeordnet ein zweiter Facettenspiegel 22. Der zweite Facettenspiegel 22 umfasst eine Mehrzahl von zweiten Facetten 23.The illumination optics 4 comprises a deflection mirror 19 and a first facet mirror 20 downstream of this in the beam path. The deflection mirror 19 can be a plane deflection mirror or alternatively a mirror with an effect that influences the bundle beyond the pure deflection effect. Alternatively or additionally, the deflection mirror 19 can be designed as a spectral filter, which separates a useful light wavelength of the illumination radiation 16 from stray light of a different wavelength. The first facet mirror 20 includes a multiplicity of individual first facets 21, which are also referred to below as field facets. Of these facets 21 are in the 1 only a few shown as examples. A second facet mirror 22 is arranged downstream of the first facet mirror 20 in the beam path of the illumination optics 4. The second facet mirror 22 comprises a plurality of second facets 23.

Die Beleuchtungsoptik 4 bildet somit ein doppelt facettiertes System. Dieses grundlegende Prinzip wird auch als Wabenkondensor (Fly's Eye Integrator) bezeichnet. Mit Hilfe des zweiten Facettenspiegels 22 werden die einzelnen ersten Facetten 21 in das Objektfeld 5 abgebildet. Der zweite Facettenspiegel 22 ist der letzte bündelformende oder auch tatsächlich der letzte Spiegel für die Beleuchtungsstrahlung 16 im Strahlengang vor dem Objektfeld 5.The illumination optics 4 thus forms a double-faceted system. This basic principle is also known as a honeycomb condenser (Fly's Eye Integrator). The individual first facets 21 are imaged in the object field 5 with the aid of the second facet mirror 22 . The second facet mirror 22 is the last beam-forming mirror or actually the last mirror for the illumination radiation 16 in the beam path in front of the object field 5.

Das Projektionssystem 10 umfasst eine Mehrzahl von Spiegeln Mi, welche gemäß ihrer Anordnung im Strahlengang der Projektionsbelichtungsanlage 1 durchnummeriert sind.The projection system 10 includes a plurality of mirrors Mi, which are numbered consecutively according to their arrangement in the beam path of the projection exposure system 1 .

Bei dem in der 1 dargestellten Beispiel umfasst das Projektionssystem 10 sechs Spiegel M1 bis M6. Alternativen mit vier, acht, zehn, zwölf oder einer anderen Anzahl an Spiegeln Mi sind ebenso möglich. Der vorletzte Spiegel M5 und der letzte Spiegel M6 haben jeweils eine Durchtrittsöffnung für die Beleuchtungsstrahlung 16. Bei dem Projektionssystem 10 handelt es sich um eine doppelt obskurierte Optik. Die Projektionsoptik 10 hat eine bildseitige numerische Apertur, die größer ist als 0,4 oder 0,5 und die auch größer sein kann als 0,6 und die beispielsweise 0,7 oder 0,75 betragen kann.At the in the 1 illustrated example, the projection system 10 comprises six mirrors M1 to M6. Alternatives with four, eight, ten, twelve or another number of mirrors Mi are also possible. The penultimate mirror M5 and the last mirror M6 each have a passage opening for the illumination radiation 16. The projection system 10 involves doubly obscured optics. The projection optics 10 has an image-side numerical aperture which is greater than 0.4 or 0.5 and which can also be greater than 0.6 and which can be 0.7 or 0.75, for example.

Die Spiegel Mi können, genauso wie die Spiegel der Beleuchtungsoptik 4, eine hoch reflektierende Beschichtung für die Beleuchtungsstrahlung 16 aufweisen. Like the mirrors of the illumination optics 4, the mirrors Mi can have a highly reflective coating for the illumination radiation 16.

2 zeigt ein reflektierendes optisches Element 25 der Projektionsbelichtungsanlage 1, bei dem es sich beispielsweise um einen der Spiegel des Beleuchtungssystems 2 oder u des Strahlungsquellenmoduls, beispielsweise um den Kollektorspiegel 17, handeln kann. Bei dem optischen Element 25 kann es sich auch um einen der Spiegel Mi der Projektionsoptik 4 handeln. 2 1 shows a reflecting optical element 25 of the projection exposure apparatus 1, which can be, for example, one of the mirrors of the illumination system 2 or u of the radiation source module, for example the collector mirror 17. The optical element 25 can also be one of the mirrors Mi of the projection optics 4 .

Das optische Element 25 weist ein Substrat 26 auf, das aus einem Material mit einem niedrigen thermischen Ausdehnungskoeffizienten gebildet ist, beispielsweise aus ULE® oder aus Zerodur®. Das Substrat 26 weist eine Oberfläche 27 auf, die in 2 plan dargestellt ist, die aber auch gekrümmt ausgebildet sein kann. Auf die Oberfläche 27 des Substrats 26 ist eine photovoltaische Zelle 28 in Form einer Dünnschichtzelle aufgebracht. Auf die photovoltaische Zelle 28 ist eine reflektierende Mehrlagen-Beschichtung 29 aufgebracht, die eine Mehrzahl von Paaren 30 von alternierenden Schichten 31a, 31b aus Silizium und Molybdän aufweist. Auf die Oberseite der Mehrlagen-Beschichtung 29 ist eine Deckschicht 32 aufgebracht, die zum Schutz der Mehrlagen-Beschichtung 29 vor Umwelteinflüssen dient und die beispielsweise aus Ruthenium gebildet sein kann. Die Mehrlagen-Beschichtung 29 ist zur Reflexion von EUV-Strahlung bei der Betriebswellenlänge von 13,5 nm durch Interferenzeffekte ausgebildet. Die Mehrlagen-Beschichtung 29 kann auch weitere funktionale Schichten aufweisen, beispielsweise Glättungsschichten oder Pufferschichten, die zur Vereinfachung der Darstellung in 2 nicht gezeigt sind.The optical element 25 has a substrate 26 which is formed from a material with a low coefficient of thermal expansion, for example from ULE® or from Zerodur®. The substrate 26 has a surface 27 which is 2 is shown plan, but which can also be curved. A photovoltaic cell 28 in the form of a thin-film cell is applied to the surface 27 of the substrate 26 . A reflective multi-layer coating 29 is applied to the photovoltaic cell 28 and has a plurality of pairs 30 of alternating layers 31a, 31b made of silicon and molybdenum. A cover layer 32 is applied to the upper side of the multi-layer coating 29, which serves to protect the multi-layer coating 29 from environmental influences and which can be made of ruthenium, for example. The multi-layer coating 29 is designed to reflect EUV radiation at the operating wavelength of 13.5 nm by interference effects. The multi-layer coating 29 can also have other functional layers, for example smoothing layers or buffer layers, which are shown in FIG 2 are not shown.

Die von der Strahlungsquelle 3 in Form einer Plasmaquelle emittierte Beleuchtungsstrahlung 16 weist bei der Betriebswellenlänge von 13,5 nm ein Leistungs-Maximum auf, die Strahlungsquelle 3 emittiert aber auch Strahlung in anderen Wellenlängenbereichen über ein breites Spektrum im EUV-DUV-VIS-NIR-Wellenlängenbereich. Diese Strahlung wird von der reflektierenden Beschichtung 29 teilweise reflektiert, ein Anteil der Strahlung wird aber von dem optischen Element 25, insbesondere von dem Substrat 26, absorbiert. Der absorbierte Anteil der auftreffenden Strahlung führt zu einer Erwärmung des Substrats 26. Da die Strahlung in der Regel nicht mit einer homogenen Leistungsdichte auf die Oberfläche 27 des Substrats 26 bzw. auf die reflektierende Beschichtung 29 auftrifft, wird das Substrat 26 durch die auftreffende Strahlung inhomogen erwärmt und dehnt sich aufgrund der inhomogenen Erwärmung lokal unterschiedlich stark aus, was zu einer Deformation der Oberfläche 27 des Substrats 26 führt, die Wellenfrontfehler bei der Reflexion der EUV-Strahlung zur Folge hat.The illumination radiation 16 emitted by the radiation source 3 in the form of a plasma source has a power maximum at the operating wavelength of 13.5 nm, the radiation source 3 but also emits radiation in other wavelength ranges over a broad spectrum in the EUV-DUV-VIS-NIR wavelength range. This radiation is partially reflected by the reflective coating 29, but a proportion of the radiation is absorbed by the optical element 25, in particular by the substrate 26. The absorbed portion of the impinging radiation leads to heating of the substrate 26. Since the radiation does not generally impinge on the surface 27 of the substrate 26 or on the reflective coating 29 with a homogeneous power density, the substrate 26 becomes inhomogeneous as a result of the impinging radiation heats up and expands locally to different degrees due to the inhomogeneous heating, which leads to a deformation of the surface 27 of the substrate 26, which results in wavefront errors in the reflection of the EUV radiation.

Mit Hilfe der photovoltaischen Zelle 28 kann ein Teil der auftreffenden Strahlung absorbiert und in elektrische Energie umgewandelt werden. Der von der photovoltaischen Zelle 28 aufgenommene und in elektrische Energie umgewandelte Anteil der auftreffenden Strahlung trägt nicht zur Erwärmung des Substrats 26 bei. Die elektrische Energie, die von der photovoltaischen Zelle 28 erzeugt wird, kann für unterschiedliche Zwecke genutzt werden. Beispielsweise kann die photovoltaische Zelle 28 als Energiequelle für einen oder für mehrere Aktuatoren dienen, die dazu dienen, eine Deformation der Oberfläche 27 des Substrats 26 zu korrigieren. Der oder die Aktuatoren können z.B. als Peltierelemente ausgebildet sein und dazu dienen, das Substrat 26 zu kühlen. Zu diesem Zweck können die Aktuatoren in das Substrat 26 eingebettet sein. Es ist auch möglich, dass andere Arten von Aktuatoren, beispielsweise in Form von Piezoaktuatoren oder dergleichen, in das Substrat 26 eingebettet oder an dem Substrat 26 angebracht sind. Auch für diese Aktuatoren kann die photovoltaische Zelle 28 als Energiequelle dienen. Die von der photovoltaischen Zelle 28 absorbierte Strahlung, die nicht zur Erwärmung des optischen Elements 25 und damit auch nicht zur Deformation der Oberfläche 27 beiträgt, kann in diesem Fall zusätzlich dazu verwendet werden, um Deformationen der Oberfläche 27 des Substrats 26 zu korrigieren.With the help of the photovoltaic cell 28, part of the incident radiation can be absorbed and converted into electrical energy. The part of the incident radiation that is taken up by the photovoltaic cell 28 and converted into electrical energy does not contribute to the heating of the substrate 26 . The electrical energy generated by the photovoltaic cell 28 can be used for different purposes. For example, the photovoltaic cell 28 can serve as an energy source for one or more actuators that serve to correct a deformation of the surface 27 of the substrate 26 . The actuator or actuators can be designed as Peltier elements, for example, and serve to cool the substrate 26. The actuators can be embedded in the substrate 26 for this purpose. It is also possible that other types of actuators, for example in the form of piezo actuators or the like, are embedded in the substrate 26 or attached to the substrate 26 . The photovoltaic cell 28 can also serve as an energy source for these actuators. The radiation absorbed by the photovoltaic cell 28, which does not contribute to the heating of the optical element 25 and therefore also does not contribute to the deformation of the surface 27, can in this case also be used to correct deformations of the surface 27 of the substrate 26.

Es ist ebenfalls möglich, dass das optische Element 25 einen oder mehrere Sensoren aufweist, die eine besonders geringe Leistungsaufnahme aufweisen. Für den oder die Sensoren kann die photovoltaische Zelle 28 ebenfalls als Energiequelle dienen. Der oder die Sensoren können ebenfalls in das Substrat 26 eingebettet sein, dies ist aber nicht zwingend erforderlich. Der oder die Sensoren können beispielsweise ausgebildet sein, die thermische Ausdehnung des optischen Elements 25 bzw. des Substrats 26 zu bestimmen, den Druck, insbesondere den Umgebungsdruck, zu messen, etc. Der Sensor, für den die photovoltaische Zelle 28 als Energiequelle dient, kann beispielsweise auch zur in-situ-Reflektometrie verwendet werden.It is also possible for the optical element 25 to have one or more sensors that have a particularly low power consumption. The photovoltaic cell 28 can also serve as an energy source for the sensor or sensors. The sensor or sensors can also be embedded in the substrate 26, but this is not absolutely necessary. The sensor or sensors can be designed, for example, to determine the thermal expansion of the optical element 25 or the substrate 26, to measure the pressure, in particular the ambient pressure, etc. The sensor, for which the photovoltaic cell 28 serves as an energy source, can can also be used, for example, for in-situ reflectometry.

Bei dem in 2 gezeigten optischen Element 25 ist die photovoltaische Zelle 28 in Form einer Beschichtung auf die Oberfläche 27 des Substrats 26 aufgebracht. Die photovoltaische Zelle 28 weist eine Mehrzahl von funktionellen Schichten auf, die in 2 zur Vereinfachung nicht gezeigt sind. Die photovoltaische Zelle 28 ist bei dem Beispiel von 2 von Kupfer-Indium-Gallium-Diselenid-Typ. Bei der photovoltaischen Zelle 28 kann es sich auch um eine Zelle vom Kupfer-Zinn-Zinksulfid-Typ oder um einen anderen Typ von Dünnschichtzelle handeln. In der Regel weist die photovoltaische Zelle 28 eine vergleichsweise dicke Absorberschicht auf, um die auftreffende Strahlung zu absorbieren. Die Absorberschicht ist zwischen zwei Schichten angeordnet, die als Elektroden dienen und die mit elektrischen Anschlüssen 33a,b verbunden sind, um die photovoltaische Zelle 28 mit einem Verbraucher zu verbinden. Die Verwendung der photovoltaischen Zelle 28 als Energiequelle ist nicht auf die weiter oben beschriebenen Verbraucher beschränkt, vielmehr kann die photovoltaische Zelle 28 als Energiequelle für praktisch beliebige Verbraucher in der Projektionsbelichtungsanlage 1 dienen.At the in 2 In the optical element 25 shown, the photovoltaic cell 28 is applied to the surface 27 of the substrate 26 in the form of a coating. The photovoltaic cell 28 has a plurality of functional layers which are 2 are not shown for simplicity. The photovoltaic cell 28 is in the example of FIG 2 of copper indium gallium diselenide type. Photovoltaic cell 28 may also be a copper-tin-zinc sulfide type cell or other type of thin film cell. As a rule, the photovoltaic cell 28 has a comparatively thick absorber layer in order to absorb the incident radiation. The absorber layer is arranged between two layers which serve as electrodes and which are connected to electrical connections 33a,b in order to connect the photovoltaic cell 28 to a load. The use of the photovoltaic cell 28 as an energy source is not limited to the consumers described above; rather, the photovoltaic cell 28 can serve as an energy source for practically any consumer in the projection exposure system 1 .

Im gezeigten Beispiel sind die Schichten der photovoltaischen Zelle 28 durch Atomlagenabscheidung, genauer gesagt durch räumliche Atomlagenabscheidung, auf die Oberfläche 27 des Substrats 26 aufgebracht. In the example shown, the layers of the photovoltaic cell 28 are applied to the surface 27 of the substrate 26 by atomic layer deposition, more precisely by spatial atomic layer deposition.

Die (räumliche) Atomlagenabscheidung ermöglicht die Abscheidung der Schichten der photovoltaischen Zelle 28 mit einer sehr geringen Rauheit. Dies führt dazu, dass die Oberfläche 33 an der Oberseite der photovoltaischen Zelle 28 nur eine geringe Rauheit aufweist. Eine geringe Rauheit der Oberfläche 33, auf der die reflektierende Beschichtung 29 aufgebracht wird, ist die Voraussetzung dafür, dass die reflektierende Beschichtung 29 eine hohe Reflektivität für die auftreffende EUV-Strahlung aufweist. Die zu diesem Zweck benötigte Rauheit in vorteilhafter Weise mit Hilfe der (räumlichen) Atomlagenabscheidung, ggf. in Kombination mit Atomlagenätzen, erreicht werden.Atomic layer (spatial) deposition enables the layers of the photovoltaic cell 28 to be deposited with a very low roughness. This means that the surface 33 on the upper side of the photovoltaic cell 28 has only a slight roughness. A low roughness of the surface 33 on which the reflective coating 29 is applied is the prerequisite for the reflective coating 29 to have a high reflectivity for the EUV radiation that strikes it. The roughness required for this purpose can advantageously be achieved with the help of (spatial) atomic layer deposition, possibly in combination with atomic layer etching.

Für die Abscheidung der photovoltaischen Zellen 28 vom weiter oben beschriebenen Typ mittels (räumlicher) Atomlagenabscheidung kommen als Precursoren typischerweise organometallische Chemikalien in Frage, beispielsweise Trimethylaluminium (TMA), Diethylzink (DEZ) und Diethylcadmium (DECd), vgl. [10]. Als Co-Reaktanden wird typischerweise Plasma-O2, Plasma-H2O und/oder Plasma-H2S-Gas verwendet, vgl. [7-11]. Falls erforderlich, kann der additive ALD-basierte Prozess durch einen subtraktiven, auf Atomlagenätzen (Atomic Layer Etching) basierenden Rückätz-Prozess erweitert oder unterbrochen werden, um die Schichtdicke bzw. die Schichtqualität unter Verwendung der relevanten chemischen Dämpfe in derselben Apparatur zu verbessern. Auf diese Weise kann ein integrierter Atomlagen-Bearbeitungsprozess realisiert werden.For the deposition of the photovoltaic cells 28 of the type described above by means of (spatial) atomic layer deposition, organometallic chemicals are typically considered as precursors, for example trimethylaluminum (TMA), diethylzinc (DEZ) and diethylcadmium (DECd), see [10]. Typically, plasma O 2 , plasma H 2 O and/or plasma H 2 S gas is used as a co-reactant, see [7-11]. If necessary, the additive ALD-based process can be extended or interrupted by a subtractive Atomic Layer Etching-based etch-back process to improve layer thickness or layer quality using the relevant chemical vapors in the same apparatus. In this way, an integrated atomic layer machining process can be realized.

Geeignete Apparaturen für einen Atomlagen-Bearbeitungsprozess, die auch eine Atomlagen-Bearbeitung an konkav oder konvex gekrümmten Oberflächen bzw. allgemein an Freiformflächen ermöglichen, sind beispielsweise in [12] beschrieben, vgl. auch den Link „https://www.tno.nl/media/2662/nanomefosleaflet.pdf“,der ein Vermessungs-Tool zur Vermessung von Werkstücken beschreibt. Die Resultate von Ätz- bzw. Reinigungsschritten an den planen Oberflächen von Substraten sind beispielsweise in [11] beschrieben.Suitable equipment for an atomic layer processing process, which also enables atomic layer processing on concavely or convexly curved surfaces or generally on free-form surfaces, are described in [12], for example, see also the link "https://www.tno.nl /media/2662/nanomefosleaflet.pdf”, which describes a measurement tool for measuring workpieces. The results of etching and cleaning steps on the flat surfaces of substrates are described in [11], for example.

Alternativ ist es möglich, eine oder mehrere Schichten der photovoltaischen Zelle 28 mit einem anderen Abscheidungsverfahren aufzubringen, beispielsweise durch Magnetron-Sputtern. In diesem Fall ist es jedoch typischerweise erforderlich, die Schichtdicken der abgeschiedenen Schichten in einer FIB(Focused Ion Beam)-Prozess zu korrigieren, was eine Feedback-Schleife erfordert, die ein Scannen bzw. ein Re-Scannen der Schichtdicke erfordert.Alternatively, it is possible to apply one or more layers of the photovoltaic cell 28 using a different deposition method, for example magnetron sputtering. In this case, however, it is typically necessary to correct the layer thicknesses of the deposited layers in a FIB (Focused Ion Beam) process, which requires a feedback loop that requires scanning or re-scanning of the layer thickness.

Die Abscheidung der Schichten der photovoltaischen Zelle 28 und der Schichten 31a,b der reflektierenden Beschichtung 29 kann in ein- und derselben Beschichtungsanlage erfolgen. Auf diese Weise kann ein Tandem aus einer photovoltaischen Schichtstapel der photovoltaischen Zelle 28, beispielsweise vom CIGS-Typ, und einem Mo/Si- Schichtstapel der Mehrlagen-Beschichtung 29 realisiert werden, d.h. es kann ein besonders vorteilhafter integrierter multi-funktionaler Schichtstapel auf einer planen oder gekrümmten Oberfläche 27 eines Substrats 26 erzeugt werden. Die vorgeschlagene Lösung kombiniert in vorteilhafter Weise Beschichtungsprozesse für reflektierende Beschichtungen von EUV-Spiegeln mit Beschichtungsprozessen für photovoltaische Zellen.The layers of the photovoltaic cell 28 and the layers 31a,b of the reflective coating 29 can be deposited in one and the same coating system. In this way, a tandem of a photovoltaic layer stack of the photovoltaic cell 28, for example of the CIGS type, and a Mo/Si layer stack of the multi-layer coating 29 can be realized, i.e. a particularly advantageous integrated multi-functional layer stack can be realized on a plane or curved surface 27 of a substrate 26 are generated. The proposed solution advantageously combines coating processes for reflective coatings of EUV mirrors with coating processes for photovoltaic cells.

Referenzliste:Reference list:

  • [1] S. Medvedev, „Tailoring spectral properties of EUV multilayer optics“, PhD thesis, University of Twente, 4. November, 2015[1] S. Medvedev, "Tailoring spectral properties of EUV multilayer optics", PhD thesis, University of Twente, November 4, 2015
  • [2] S. K. Ravensbergen, „Adaptive optics for extreme ultraviolet lithography: actuator; design and validation for deformable mirror concepts“, PhD thesis, TU Eindhoven, The Netherlands, 19. Juni 2012, http://doi.org/10.6100/IR732113.[2] S.K. Ravensbergen, "Adaptive optics for extreme ultraviolet lithography: actuator; design and validation for deformable mirror concepts”, PhD thesis, TU Eindhoven, The Netherlands, 19 June 2012, http://doi.org/10.6100/IR732113.
  • [3] V. Y. Banine et al., „Physical processes in EUV sources for microlithography“, J. Phys. D: Appl. Phys. 44, 253001 (2011)[3] V.Y. Banine et al., "Physical processes in EUV sources for microlithography", J. Phys. D: appl. physics 44, 253001 (2011)
  • [4] P. Poodt, A. Lankhorst, F. Roozebom, K. Spee, D. Maas, A. Vermeer, Adv. Mater., 22, 3564 (2010)[4] P. Poodt, A. Lankhorst, F. Roozebom, K. Spee, D. Maas, A. Vermeer, Adv. Mater., 22, 3564 (2010)
  • [5] P. Poodt, D. C. Cameron, E. Dickey, S. M. George, V. Kuznetsov, G. N. Parsons, F. Roozeboom, G. Sundaram, A. Vermeer, J. Vac. Sci. Technol. A, 30, 010802 (2012), sowie die dort zitierten Referenzen.[5] P. Poodt, DC Cameron, E. Dickey, SM George, V. Kuznetsov, GN Parsons, F. Roozeboom, G. Sundaram, A. Vermeer, J. Vac. science technol. A, 30, 010802 (2012), and the references cited there.
  • [6] U. Zimmermann, M. Ruth und M. Edoff, „Cadmium-free CIGS mini-modules with ALD-grown Zn(O,S)-based buffer layers“, 21st European Photovoltaic Solar Energy Conference, Sept. 4-8, 2006, Dresden, Germany, pp. 1831-1834[6] U. Zimmermann, M. Ruth and M. Edoff, "Cadmium-free CIGS mini-modules with ALD-grown Zn(O,S)-based buffer layers", 21st European Photovoltaic Solar Energy Conference, Sept. 4 -8, 2006, Dresden, Germany, pp. 1831-1834
  • [7] J. A. van Delft et al., „Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing“, Semicond. Sci. Technol. 27, 074002 (2012)[7] J.A. van Delft et al., "Atomic layer deposition for photovoltaics: applications and prospects for solar cell manufacturing", Semicond. science technol. 27, 074002 (2012)
  • [8] M. A. Hossain et al. „Atomic layer deposition enabling higher efficiency solar cells: A review“, Nano Materials Science, 2, 204-226 (2020)[8] M.A. Hossain et al. "Atomic layer deposition enabling higher efficiency solar cells: A review", Nano Materials Science, 2, 204-226 (2020)
  • [9] K.O. Brinkmann, T. Gahlmann, and T. Riedl, „ALD of Functional Layers in Planar Perovskite Solar Cells“, Sol. RRL, 4, 1900332 (2020)[9] KO Brinkmann, T. Gahlmann, and T. Riedl, "ALD of Functional Layers in Planar Perovskite Solar Cells", Sol. RRL, 4, 1900332 (2020)
  • [10] A. L. Johnson und J.D. Parish, „Recent developments in molecular precursors for atomic layer deposition“, Organomet. Chem. 42, 1-53 (2019) DOI: 10.1039/9781788010672-00001[10] A.L. Johnson and J.D. Parish, "Recent developments in molecular precursors for atomic layer deposition", Organomet. Chem. 42, 1-53 (2019) DOI: 10.1039/9781788010672-00001
  • [11] F. Roozeboom, TKI Report „E-Miracle-1“, Jan. 2019[11] F. Roozeboom, TKI Report “E-Miracle-1”, Jan 2019
  • [12] F. Roozeboom, D.H. Ehm, M. Becker, S.W. Schmidt, Y.L.M. Creijghton, J. Smeltink, E. van den Eijnden, „Apparatus and method for Atomic Layer Processing“, EP 3933882 A1 [12] F. Roozeboom, DH Ehm, M. Becker, SW Schmidt, YLM Creijghton, J. Smeltink, E. van den Eijnden, "Apparatus and method for Atomic Layer Processing", EP 3933882 A1
  • [13] N. Bär, U. Löring, O. Natt, G. Wittich, T. Laufer, P. Kürz, G. Limbach, S. Hembacher, H. Walter, Y.-B.-P. Kwan, M. Hauf, F.-J. Stickel, J. van Schoot, „EUV exposure apparatus with reflective elements having reduced influence of temperature variation“ US 20170315449 A1 [13] N. Bär, U. Löring, O. Natt, G. Wittich, T. Laufer, P. Kürz, G. Limbach, S. Hembacher, H. Walter, Y.-B.-P. Kwan, M. Hauf, F.-J. Stickel, J. van Schoot, "EUV exposure apparatus with reflective elements having reduced influence of temperature variation" US20170315449A1
  • [14] M. Hauf, „Device for controlling temperature of an optical element“, US 8057053 B2 [14] M. Hauf, "Device for controlling temperature of an optical element", US8057053B2

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent Literature Cited

  • EP 3933882 A1 [0052]EP 3933882 A1 [0052]
  • US 20170315449 A1 [0052]US20170315449A1[0052]
  • US 8057053 B2 [0052]US8057053B2 [0052]

Claims (9)

Optisches Element (25) zur Reflexion von EUV-Strahlung, umfassend: ein Substrat (26), sowie eine auf eine Oberfläche (27) des Substrats (26) aufgebrachte reflektierende Beschichtung (29) zur Reflexion der EUV-Strahlung, dadurch gekennzeichnet, dass zwischen der reflektierenden Beschichtung (29) und der Oberfläche (27) des Substrats (26) eine photovoltaische Zelle (28) angeordnet ist.Optical element (25) for reflecting EUV radiation, comprising: a substrate (26) and a reflective coating (29) applied to a surface (27) of the substrate (26) for reflecting EUV radiation, characterized in that a photovoltaic cell (28) is arranged between the reflective coating (29) and the surface (27) of the substrate (26). Optisches Element nach Anspruch 1, bei dem die photovoltaische Zelle (28) in Form einer Beschichtung auf die Oberfläche (27) des Substrats (26) aufgebracht ist.Optical element after claim 1 In which the photovoltaic cell (28) is applied in the form of a coating to the surface (27) of the substrate (26). Optisches Element nach Anspruch 2, bei dem mindestens eine Schicht der photovoltaischen Zelle (28) durch Atomlagenabscheidung, insbesondere durch räumliche Atomlagenabscheidung, aufgebracht ist.Optical element after claim 2 In which at least one layer of the photovoltaic cell (28) is applied by atomic layer deposition, in particular by spatial atomic layer deposition. Optisches Element nach Anspruch 2 oder 3, bei dem mindestens eine Schicht der photovoltaischen Zelle (28) durch Magnetron-Sputtern aufgebracht ist.Optical element after claim 2 or 3 , wherein at least one layer of the photovoltaic cell (28) is applied by magnetron sputtering. Optisches Element nach einem der vorhergehenden Ansprüche, bei dem die photovoltaische Zelle (28) vom Kupfer-Indium-Gallium-Diselenid-Typ oder vom Kupfer-Zinn-Zinksulfid-Typ ist.An optical element according to any one of the preceding claims, wherein the photovoltaic cell (28) is of the copper-indium-gallium-diselenide type or of the copper-tin-zinc sulphide type. Optisches Element nach einem der vorhergehenden Ansprüche, weiter umfassend: mindestens einen bevorzugt in das Substrat (26) integrierten Aktuator zur Deformation der Oberfläche (27) des Substrats (26), auf welche die reflektierende Beschichtung (29) aufgebracht ist, wobei die photovoltaische Zelle (28) als Energiequelle für den mindestens einen Aktuator dient.Optical element according to one of the preceding claims, further comprising: at least one actuator, preferably integrated into the substrate (26), for deforming the surface (27) of the substrate (26) to which the reflective coating (29) is applied, the photovoltaic cell (28) serves as an energy source for the at least one actuator. Optisches Element nach einem der vorhergehenden Ansprüche, weiter umfassend: mindestens einen bevorzugt in das Substrat (26) integrierten Sensor, wobei die photovoltaische Zelle (28) als Energiequelle für den mindestens einen Sensor dient.Optical element according to one of the preceding claims, further comprising: at least one sensor preferably integrated into the substrate (26), the photovoltaic cell (28) serving as an energy source for the at least one sensor. Optisches Element nach einem der vorhergehenden Ansprüche, bei dem die reflektierende Beschichtung eine Mehrlagen-Beschichtung (29) mit alternierenden Schichten (31a, 31b) aus einem ersten Material und aus einem zweiten Material bildet.Optical element according to one of the preceding claims, in which the reflective coating forms a multilayer coating (29) with alternating layers (31a, 31b) of a first material and of a second material. EUV-Lithographiesystem (1), umfassend: mindestens ein optisches Element (25) nach einem der vorhergehenden Ansprüche.EUV lithography system (1), comprising: at least one optical element (25) according to one of the preceding claims.
DE102022205531.4A 2022-05-31 2022-05-31 Optical element with photovoltaic cell and EUV lithography system Ceased DE102022205531A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102022205531.4A DE102022205531A1 (en) 2022-05-31 2022-05-31 Optical element with photovoltaic cell and EUV lithography system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102022205531.4A DE102022205531A1 (en) 2022-05-31 2022-05-31 Optical element with photovoltaic cell and EUV lithography system

Publications (1)

Publication Number Publication Date
DE102022205531A1 true DE102022205531A1 (en) 2022-07-28

Family

ID=82320855

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022205531.4A Ceased DE102022205531A1 (en) 2022-05-31 2022-05-31 Optical element with photovoltaic cell and EUV lithography system

Country Status (1)

Country Link
DE (1) DE102022205531A1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8057053B2 (en) 2007-10-09 2011-11-15 Carl Zeiss Smt Gmbh Device for controlling temperature of an optical element
US20170315449A1 (en) 2010-07-30 2017-11-02 Carl Zeiss Smt Gmbh Euv exposure apparatus with reflective elements having reduced influence of temperature variation
EP3933882A1 (en) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Apparatus and method for atomic layer processing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8057053B2 (en) 2007-10-09 2011-11-15 Carl Zeiss Smt Gmbh Device for controlling temperature of an optical element
US20170315449A1 (en) 2010-07-30 2017-11-02 Carl Zeiss Smt Gmbh Euv exposure apparatus with reflective elements having reduced influence of temperature variation
EP3933882A1 (en) 2020-07-01 2022-01-05 Carl Zeiss SMT GmbH Apparatus and method for atomic layer processing

Similar Documents

Publication Publication Date Title
DE102015112273B4 (en) Reduction of contamination of an extreme ultraviolet lithography collector
DE102011077784A1 (en) projection arrangement
EP3323020B1 (en) Mirror, in particular for a microlithographic projection exposure apparatus
US20080123073A1 (en) Optical element, exposure apparatus using the same, and device manufacturing method
US6723475B2 (en) Reflection-type mask for use in pattern exposure, manufacturing method therefor, exposure apparatus, and method of manufacturing a device
DE102015109260A1 (en) EUV lithography system and method with optimized throughput and optimized stability
DE102017213900A1 (en) Mirror, in particular for a microlithographic projection exposure apparatus
EP3030936B1 (en) Mirror for a microlithographic projection exposure apparatus
KR20130009773A (en) Spectral purity filter
DE102022205531A1 (en) Optical element with photovoltaic cell and EUV lithography system
US7060399B2 (en) Reflective mirror for lithographic exposure and production method
DE102019212910A1 (en) Optical element and EUV lithography system
DE102011090191A1 (en) Optical illumination system, exposure apparatus and method of making a component
EP4067994A1 (en) Reflective photomask blank and reflective photomask
WO2021239355A1 (en) Mirror, in particular for microlithography
DE102015226014A1 (en) Reflective optical element
DE112019004659T5 (en) ELECTRON EMITTER WITH METAL ENCAPSULATED PHOTOCATHOD
WO2021043484A1 (en) Mirror assembly and optical assembly comprising same
DE102021213679A1 (en) Method for generating a local change in thickness of a coating, mirror and EUV lithography system
DE102022122517A1 (en) COATING OPTICAL ASSEMBLY AND METHOD OF USE
DE102016226202A1 (en) Optical element, in particular for a microlithographic projection exposure apparatus
US20040105145A1 (en) Efficient messaging in a parallel processing system
DE102022100372A1 (en) OPTICAL ARRANGEMENT WITH COATING AND METHOD OF USE
WO2021043485A1 (en) Mirror assembly having a hydrogen barrier and optical assembly
DE102020200158A1 (en) Illumination optics for EUV projection lithography

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R230 Request for early publication
R002 Refusal decision in examination/registration proceedings
R003 Refusal decision now final