DE102022122500A1 - MANUFACTURING PROCESS OF EUV PHOTOMASK - Google Patents

MANUFACTURING PROCESS OF EUV PHOTOMASK Download PDF

Info

Publication number
DE102022122500A1
DE102022122500A1 DE102022122500.3A DE102022122500A DE102022122500A1 DE 102022122500 A1 DE102022122500 A1 DE 102022122500A1 DE 102022122500 A DE102022122500 A DE 102022122500A DE 102022122500 A1 DE102022122500 A1 DE 102022122500A1
Authority
DE
Germany
Prior art keywords
layer
group
adhesion
hard mask
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022122500.3A
Other languages
German (de)
Inventor
Wei-Che Hsieh
Chia-Ching Chu
Ya-Lun CHEN
Yu-Chung Su
Tzu-Yi Wang
Yahru CHENG
Ta-Cheng Lien
Hsin-Chang Lee
Ching-Yu Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022122500A1 publication Critical patent/DE102022122500A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Abstract

In einem Verfahren zur Herstellung einer reflektierenden Maske wird eine Haftschicht über einem Maskenrohling gebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht, die über dem Substrat angeordnet ist, eine Abdeckschicht, die über der reflektierenden Mehrfachschicht angeordnet ist, eine Absorptionsschicht, die über der Abdeckschicht angeordnet ist, und eine Hartmaskenschicht, die über der Absorptionsschicht angeordnet ist, auf. Eine Fotolackstruktur wird über der Haftschicht gebildet, die Haftschicht wird strukturiert, die Hartmaskenschicht wird strukturiert und die Absorptionsschicht wird unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske strukturiert. Die Fotolackschicht weist ein höheres Haftvermögen an der Haftschicht als an der Hartmaskenschicht auf.In one method of making a reflective mask, an adhesion layer is formed over a mask blank. The mask blank has a substrate, a reflective multilayer arranged over the substrate, a cover layer arranged over the reflective multilayer, an absorption layer arranged over the cover layer, and a hard mask layer arranged over the absorption layer . A photoresist pattern is formed over the adhesion layer, the adhesion layer is patterned, the hardmask layer is patterned, and the absorption layer is patterned using the patterned hardmask layer as an etch mask. The photoresist layer has higher adhesion to the adhesion layer than to the hard mask layer.

Description

VERWANDTE ANMELDUNGENRELATED APPLICATIONS

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/283,162 , eingereicht am 24. November 2021, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.This application claims the priority of the provisional U.S. Patent Application No. 63/283,162 , filed November 24, 2021, which is incorporated herein by reference.

HINTERGRUNDBACKGROUND

Fotolithographische Arbeitsschritte sind einer der wesentlichen Arbeitsschritte im Halbleiterherstellungsprozess. Fotolithografische Techniken enthalten Ultraviolettlithographie, tiefe Ultraviolettlithographie und extreme Ultraviolettlithographie (EUVL). Die Fotomaske ist eine wichtige Komponente in fotolithographischen Arbeitsschritten. Es ist kritisch, EUV-Fotomasken mit einem hohen Kontrast mit einem Teil hohen Reflexionsvermögens und einem Teil hoher Absorption zu fertigen.Photolithographic steps are one of the essential steps in the semiconductor manufacturing process. Photolithographic techniques include ultraviolet lithography, deep ultraviolet lithography, and extreme ultraviolet lithography (EUVL). The photomask is an important component in photolithographic operations. It is critical to fabricate high contrast EUV photomasks with a high reflectivity part and a high absorption part.

Figurenlistecharacter list

Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind und nur zur Veranschaulichung verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • 1A, 1B, 1C, 1D, 1E und 1F zeigen EUV-Fotomaskenrohlinge gemäß Ausführungsformen der vorliegenden Offenbarung.
  • 2A, 2B, 2C, 2D und 2E veranschaulichen schematisch ein Verfahren zum Fertigen einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 3A, 3B, 3C, 3D und 3E veranschaulichen schematisch ein Verfahren zum Fertigen einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 4A, 4B, 4C, 4D, 4E und 4F veranschaulichen schematisch ein Verfahren zum Fertigen einer EUV-Fotomaske und 4G zeigt ein Ablaufdiagramm dafür gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 5A, 5B, 5C, 5D, 5E und 5F veranschaulichen schematisch ein Verfahren zum Fertigen einer EUV-Fotomaske und 5G zeigt ein Ablaufdiagramm dafür gemäß einer Ausführungsform der vorliegenden Offenbarung.
  • 6A, 6B, 6C, 6D, 6E und 6F veranschaulichen schematisch ein Verfahren zum Fertigen einer EUV-Fotomaske gemäß einer Ausführungsform der vorliegenden Offenbarung.
The present disclosure is best understood by considering the following detailed description when taken in connection with the accompanying drawings. It should be noted that, in accordance with standard industry practice, various features are not drawn to scale and are used for illustration only. In fact, the dimensions of the various features may be arbitrarily exaggerated or minimized for the sake of clarity of explanation.
  • 1A , 1B , 1C , 1D , 1E and 1F 12 show EUV photomask blanks according to embodiments of the present disclosure.
  • 2A , 2 B , 2C , 2D and 2E 12 schematically illustrate a method of fabricating an EUV photomask according to an embodiment of the present disclosure.
  • 3A , 3B , 3C , 3D and 3E 12 schematically illustrate a method of fabricating an EUV photomask according to an embodiment of the present disclosure.
  • 4A , 4B , 4C , 4D , 4E and 4F schematically illustrate a method for manufacturing an EUV photomask and 4G 12 shows a flowchart therefor according to an embodiment of the present disclosure.
  • 5A , 5B , 5C , 5D , 5E and 5F schematically illustrate a method for manufacturing an EUV photomask and 5G 12 shows a flowchart therefor according to an embodiment of the present disclosure.
  • 6A , 6B , 6C , 6D , 6E and 6F 12 schematically illustrate a method of fabricating an EUV photomask according to an embodiment of the present disclosure.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Es ist klar, dass die folgende Offenbarung viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereitstellen kann. Spezifische Ausführungsformen oder Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt begrenzend zu sein. Zum Beispiel sind Abmessungen von Elementen nicht auf den offenbarten Bereich oder offenbarte Werte begrenzt, sondern können abhängig von Prozessbedingungen und/oder gewünschten Eigenschaften der Vorrichtung variieren. Überdies kann die Bildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Strukturelement in direktem Kontakt gebildet sind und kann auch Ausführungsformen umfassen, in denen zusätzliche Strukturelemente zwischen dem ersten und dem zweiten Strukturelement gebildet sein können, sodass das erste und das zweite Strukturelement nicht in direktem Kontakt sein könnten. Verschiedene Strukturelemente können der Einfachheit und Klarheit wegen beliebig in verschiedenen Maßstäben gezeichnet sein.It is understood that the following disclosure can provide many different embodiments, or examples, for implementing various features of the invention. Specific embodiments or examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, element dimensions are not limited to the disclosed range or values, but may vary depending on process conditions and/or desired device properties. Moreover, in the following description, the formation of a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact and may also include embodiments in which additional features are formed between the first and second features may be formed such that the first and second structural elements may not be in direct contact. Various structural elements may be arbitrarily drawn at different scales for simplicity and clarity.

Weiter können räumlich relative Ausdrücke wie „unterliegend“, „unterhalb“, „unter“, „überliegend“, „ober“ und dergleichen hierin zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Strukturelements zu (einem) anderen Element(en) oder Strukturelement(en) wie in den Figuren veranschaulicht zu beschreiben. Die räumlich relativen Ausdrücke sind beabsichtigt, verschiedene Ausrichtungen der Vorrichtung in Verwendung oder Betrieb zusätzlich zu der in den Figuren abgebildeten Ausrichtung zu umschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder bei anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Beschreibungsausdrücke können ebenso entsprechend ausgelegt werden. Zusätzlich kann der Begriff „hergestellt aus“ entweder „umfassend“ oder „bestehend aus“ bedeuten. In der vorliegenden Offenbarung bedeutet eine Phrase „eines von A, B und C“ „A, B und/oder C“ (A, B, C, A und B, A und C, B und C oder A, B und C), und bedeutet nicht, ein Element von A, ein Element von B und ein Element von C, falls nicht anderes beschrieben ist.Further, spatially relative terms such as "underlying,""below,""beneath,""overlying,""upper," and the like may be used herein for ease of description to indicate the relationship of one element or structural element to another element(s). ) or structural element(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptive terms used herein also construed accordingly. Additionally, the term "made of" can mean either "comprising" or "consisting of". In the present disclosure, a phrase "any of A, B and C" means "A, B and/or C" (A, B, C, A and B, A and C, B and C or A, B and C) , and does not mean a element of A, one element of B and one element of C unless otherwise described.

Ausführungsformen der vorliegenden Offenbarung stellen ein Verfahren zur Herstellung einer EUV-Fotomaske bereit. Insbesondere stellt die vorliegende Offenbarung Techniken bereit, um ein Zusammenfallen oder Ablösen feiner Fotolackstrukturen über einer Hartmaskenschicht eines EUV-Fotomaskenrohlings zu verhindern oder zu unterdrücken.Embodiments of the present disclosure provide a method of manufacturing an EUV photomask. In particular, the present disclosure provides techniques to prevent or suppress collapse or delamination of fine photoresist patterns over a hardmask layer of an EUV photomask blank.

EUV-Lithografie (EUVL) verwendet Scanner, die Licht im extremen Ultraviolett-Bereich (EUV-Bereich) mit einer Wellenlänge von etwa 1 nm bis etwa 100 nm, zum Beispiel 13,5 nm, verwenden. Die Maske ist eine kritische Komponente eines EUVL-Systems. Da die optischen Materialien für EUV-Strahlung nicht durchlässig sind, sind EUV-Fotomasken reflektierende Masken. Schaltungsstrukturen werden in einer Absorptionsschicht gebildet, die über der reflektierenden Struktur angeordnet ist. Das Absorptionsmittel weist ein niedriges EUV-Reflexionsvermögen auf, zum Beispiel weniger als etwa 3-5%.EUV lithography (EUVL) uses scanners that use light in the extreme ultraviolet (EUV) range with a wavelength of about 1 nm to about 100 nm, for example 13.5 nm. The mask is a critical component of an EUVL system. Since the optical materials are not transparent to EUV radiation, EUV photomasks are reflective masks. Circuit structures are formed in an absorbing layer placed over the reflective structure. The absorbent has a low EUV reflectivity, for example less than about 3-5%.

Die vorliegende Offenbarung stellt Verfahren zur Herstellung einer EUV-reflektierenden Fotomaske zur Verbesserung einer lithografischen Auflösung und der Prozessrobustheit bereit.The present disclosure provides methods of manufacturing an EUV reflective photomask to improve lithographic resolution and process robustness.

1A und 1B zeigen einen EUV-reflektierenden Fotomaskenrohling gemäß einer Ausführungsform der vorliegenden Offenbarung. 1A ist eine Draufsicht (von oben gesehen) und 1B ist eine Querschnittansicht entlang der X-Richtung. 1A and 1B 10 show an EUV reflective photomask blank according to an embodiment of the present disclosure. 1A is a plan view (seen from above) and 1B 12 is a cross-sectional view along the X-direction.

In manchen Ausführungsformen wird die EUV-Fotomaske mit Schaltungsstrukturen aus einem EUV-Fotomaskenrohling 5 gebildet. Der EUV-Fotomaskenrohling 5 weist ein Substrat 10, einen mehrschichtigen Mo/Si-Stapel 15 aus mehreren abwechselnden Schichten aus Silizium und Molybdän, eine Abdeckschicht 20, eine Absorptionsschicht 25 und eine Hartmaskenschicht 30 auf. Weiter wird eine rückseitige leitfähige Schicht 45 an der Rückseite des Substrats 10 gebildet, wie in 1B gezeigt. In manchen Ausführungsformen wird eine antireflektierende Schicht 27 auf der oberen Oberfläche der Absorptionsschicht 25 gebildet, wie in 1B gezeigt. In anderen Ausführungsformen wird keine antireflektierende Schicht auf der oberen Oberfläche der Absorptionsschicht 25 gebildet, wie in 1D gezeigt.In some embodiments, the EUV photomask with circuit structures is formed from an EUV photomask blank 5 . The EUV photomask blank 5 comprises a substrate 10, a multilayer Mo/Si stack 15 composed of several alternating layers of silicon and molybdenum, a cover layer 20, an absorption layer 25 and a hard mask layer 30. FIG. Further, a backside conductive layer 45 is formed on the backside of the substrate 10 as shown in FIG 1B shown. In some embodiments, an anti-reflective layer 27 is formed on the upper surface of the absorption layer 25, as in FIG 1B shown. In other embodiments, no anti-reflective layer is formed on the upper surface of the absorption layer 25 as in FIG 1D shown.

Das Substrat 10 ist in manchen Ausführungsformen aus einem Material mit geringer Wärmeausdehnung gebildet. In manchen Ausführungsformen ist das Substrat Glas oder Quarz mit geringer Wärmeausdehnung, wie Kieselglas oder Quarzglas. In manchen Ausführungsformen lässt das Glassubstrat mit geringer Wärmeausdehnung Licht bei sichtbaren Wellenlängen, einen Teil der Infrarotwellenlängen nahe dem sichtbaren Spektrum (Nahinfrarot) und einen Teil der Ultraviolettwellenlängen durch. In manchen Ausführungsformen absorbiert das Glassubstrat mit geringer Wärmeausdehnung extreme Ultraviolettwellenlängen und tiefe Ultraviolettwellenlängen nahe dem extremen Ultraviolett. In manchen Ausführungsformen ist die Größe des Substrats 10 152 mm × 152 mm (X1×Y1) mit einer Dicke von etwa 20 mm. In anderen Ausführungsformen ist die Größe des Substrats 10 kleiner als 152 mm × 152 mm und gleich oder größer als 148 mm × 148 mm. Die Form des Substrats 10 ist quadratisch oder rechteckig.The substrate 10 is formed from a low thermal expansion material in some embodiments. In some embodiments, the substrate is glass or low thermal expansion quartz, such as fused silica or fused silica. In some embodiments, the low thermal expansion glass substrate transmits light at visible wavelengths, a portion of infrared wavelengths near the visible spectrum (near-infrared), and a portion of ultraviolet wavelengths. In some embodiments, the low thermal expansion glass substrate absorbs extreme ultraviolet wavelengths and deep ultraviolet wavelengths near the extreme ultraviolet. In some embodiments, the size of the substrate 10 is 152 mm x 152 mm (X 1 x Y 1 ) with a thickness of about 20 mm. In other embodiments, the size of the substrate 10 is less than 152mm x 152mm and equal to or greater than 148mm x 148mm. The shape of the substrate 10 is square or rectangular.

In manchen Ausführungsformen weisen die Funktionsschichten über dem Substrat (der mehrschichtige Mo/Si-Stapel 15, die Abdeckschicht 20, die Absorptionsschicht 25, die antireflektierende Schicht 27, falls verwendet, und die Hartmaskenschicht 30) eine geringere Breite als das Substrat 10 auf. In manchen Ausführungsformen ist die Größe der Funktionsschichten X2×Y2 in einem Bereich von etwa 138 mm × 138 mm bis 142 mm× 142 mm. Die Form der Funktionsschichten ist in manchen Ausführungsformen quadratisch oder rechteckig, wenn in Draufsicht betrachtet. In anderen Ausführungsformen ist X1=X2 und Y1=Y2.In some embodiments, the functional layers over the substrate (the Mo/Si multilayer stack 15, the cap layer 20, the absorption layer 25, the anti-reflective layer 27 if used, and the hard mask layer 30) have a smaller width than the substrate 10. In some embodiments, the size of the functional layers X 2 ×Y 2 is in a range of about 138 mm × 138 mm to 142 mm × 142 mm. The shape of the functional layers is, in some embodiments, square or rectangular when viewed in plan. In other embodiments, X 1 =X 2 and Y 1 =Y 2 .

In anderen Ausführungsformen weisen die Absorptionsschicht 25, die antireflektierende Schicht 27, falls verwendet, und die Hartmaskenschicht 30 eine kleinere Größe, im Bereich von etwa 138 mm × 138 mm bis 142 mm × 142 mm, als das Substrat 10, der mehrschichtige Mo/Si-Stapel 15 und die Abdeckschicht 20 auf, wie in 1C gezeigt. Die kleinere Größe einer oder mehrerer der Funktionsschichten kann unter Verwendung einer rahmenförmigen Abdeckung mit einer Öffnung in einem Bereich von etwa 138 mm × 138 mm bis 142 mm × 142 mm gebildet werden, wenn die jeweiligen Schichten zum Beispiel durch Sputtern gebildet werden. In anderen Ausführungsformen weisen alle Schichten über dem Substrat 10 dieselbe Größe wie das Substrat 10 auf.In other embodiments, the absorption layer 25, the anti-reflective layer 27 if used, and the hard mask layer 30 have a smaller size, ranging from about 138 mm x 138 mm to 142 mm x 142 mm, than the substrate 10, the multilayer Mo/Si -Stack 15 and the cover layer 20, as in 1C shown. The smaller size of one or more of the functional layers can be formed using a frame-shaped cover having an opening in a range of about 138 mm×138 mm to 142 mm×142 mm when the respective layers are formed by sputtering, for example. In other embodiments, all layers over substrate 10 are the same size as substrate 10 .

In manchen Ausführungsformen weist der mehrschichtige Mo/Si-Stapel 15 etwa 30 abwechselnde Schichten jeweils aus Silizium und Molybdän bis etwa 60 abwechselnde Schichten jeweils aus Silizium und Molybdän auf. In bestimmten Ausführungsformen werden etwa 40 bis etwa 50 abwechselnde Schichten jeweils aus Silizium und Molybdän gebildet. In manchen Ausführungsformen ist das Reflexionsvermögen höher als etwa 70% für Wellenlängen von Interesse (z.B. 13,5 nm). In manchen Ausführungsformen werden die Silizium- und Molybdänschichten durch chemische Dampfphasenabscheidung (CVD), plasmaverstärkte CVD (PECVD), Atomlagenabscheidung (ALD), physikalische Dampfphasenabscheidung(PVD) (Sputtern) oder ein anderes geeignetes Filmbildungsverfahren gebildet. Jede Schicht aus Silizium und Molybdän ist etwa 2 nm bis etwa 10 nm dick. In manchen Ausführungsformen weisen die Schichten aus Silizium und Molybdän etwa dieselbe Dicke auf. In anderen Ausführungsformen weisen die Schichten aus Silizium und Molybdän unterschiedliche Dicken auf. In manchen Ausführungsformen ist die Dicke jeder Siliziumschicht etwa 4 nm und die Dicke jeder Molybdänschicht ist etwa 3 nm.In some embodiments, the multilayer Mo/Si stack 15 comprises about 30 alternating layers each of silicon and molybdenum to about 60 alternating layers each of silicon and molybdenum. In certain embodiments, about 40 to about 50 alternating layers each of silicon and molybdenum are formed. In some embodiments, the reflectivity is greater than about 70% for wavelengths of interest (eg, 13.5 nm). In some embodiments, the silicon and molybdenum layers are formed by chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), Atomic Layer Deposition (ALD), Physical Vapor Deposition (PVD) (sputtering), or other suitable film formation process. Each layer of silicon and molybdenum is about 2 nm to about 10 nm thick. In some embodiments, the layers of silicon and molybdenum are about the same thickness. In other embodiments, the layers of silicon and molybdenum have different thicknesses. In some embodiments, the thickness of each silicon layer is about 4 nm and the thickness of each molybdenum layer is about 3 nm.

In anderen Ausführungsformen weist der mehrschichtige Stapel 15 abwechselnde Molybdänschichten und Berylliumschichten auf. In manchen Ausführungsformen ist die Anzahl von Schichten in dem mehrschichtigen Stapel 15 in einem Bereich von etwa 20 bis etwa 100, obwohl jede beliebige Anzahl von Schichten zulässig ist, solange ein ausreichendes Reflexionsvermögen zur Abbildung des Zielsubstrats beibehalten wird. In manchen Ausführungsformen ist das Reflexionsvermögen höher als etwa 70% für Wellenlängen von Interesse, z.B. 13,5 nm. In manchen Ausführungsformen weist der mehrschichtige Stapel 15 etwa 30 bis etwa 60 abwechselnde Schichten aus Mo und Be auf. In anderen Ausführungsformen der vorliegenden Offenbarung weist der mehrschichtige Stapel 15 etwa 40 bis etwa 50 abwechselnde Schichten jeweils aus Mo und Be auf.In other embodiments, the multilayer stack 15 includes alternating layers of molybdenum and beryllium. In some embodiments, the number of layers in the multilayer stack 15 ranges from about 20 to about 100, although any number of layers is permissible as long as sufficient reflectivity is maintained for imaging the target substrate. In some embodiments, the reflectivity is greater than about 70% for wavelengths of interest, e.g., 13.5 nm. In other embodiments of the present disclosure, the multilayer stack 15 includes about 40 to about 50 alternating layers each of Mo and Be.

Die Abdeckschicht 20 ist in manchen Ausführungsformen über der Mo/Si-Mehrfachschicht 15 angeordnet, um Oxidation des mehrschichtigen Stapels 15 zu verhindern. In manchen Ausführungsformen ist die Abdeckschicht 20 aus Ruthenium, einer Rutheniumlegierung (z.B. RuNb, RuZr, RuZrN, RuRh, RuNbN, RuRhN, RuV oder RuVN) oder einem auf Oxid basierten Ruthenium (z.B. RuO2, RuNbO, RiVO oder RuON) mit einer Dicke von etwa 2 nm bis etwa 10 nm hergestellt. In bestimmten Ausführungsformen ist die Dicke der Abdeckschicht 20 etwa 2 nm bis etwa 5 nm. In manchen Ausführungsformen weist die Abdeckschicht 20 eine Dicke von 3,5 nm ± 10% auf. In manchen Ausführungsformen wird die Abdeckschicht 20 durch chemische Dampfphasenabscheidung, plasmaverstärkte chemische Dampfphasenabscheidung, Atomlagenabscheidung, physikalische Dampfphasenabscheidung(z.B. Sputtern) oder ein anderes geeignetes Filmbildungsverfahren gebildet. In anderen Ausführungsformen wird eine Si-Schicht als die Abdeckschicht 20 verwendet.The cap layer 20 is disposed over the Mo/Si multilayer 15 to prevent oxidation of the multilayer stack 15 in some embodiments. In some embodiments, the cap layer 20 is ruthenium, a ruthenium alloy (e.g., RuNb, RuZr, RuZrN, RuRh, RuNbN, RuRhN, RuV, or RuVN), or an oxide-based ruthenium (e.g., RuO 2 , RuNbO, RiVO, or RuON) with a thickness from about 2 nm to about 10 nm. In certain embodiments, the thickness of the cap layer 20 is about 2 nm to about 5 nm. In some embodiments, the cap layer 20 has a thickness of 3.5 nm ±10%. In some embodiments, the cap layer 20 is formed by chemical vapor deposition, plasma-enhanced chemical vapor deposition, atomic layer deposition, physical vapor deposition (eg, sputtering), or other suitable film-forming process. In other embodiments, a Si layer is used as the cap layer 20 .

In manchen Ausführungsformen werden eine oder mehrere zusätzliche Schichten (nicht gezeigt) zwischen der Abdeckschicht 20 und der Absorptionsschicht 25 gebildet. In manchen Ausführungsformen enthält die zusätzliche Schicht ein Ta-basiertes Material, wie TaB, TaO, TaBO oder TaBN; Silizium; eine Silizium-basierte Verbindung (z.B. Siliziumoxid, SiN, SiON oder MoSi); Ruthenium; oder eine Ruthenium-basierte Verbindung (z.B. Ru oder RuB). Die zusätzliche Schicht weist in manchen Ausführungsformen eine Dicke von etwa 2 nm bis etwa 20 nm auf. In manchen Ausführungsformen wird die zusätzliche Schicht durch chemische Dampfphasenabscheidung, plasmaverstärkte chemische Dampfphasenabscheidung, Atomlagenabscheidung, physikalische Dampfphasenabscheidung oder ein anderes geeignetes Filmbildungsverfahren gebildet. In manchen Ausführungsformen dient die zusätzliche Schicht während eines Strukturierungsarbeitsschritts der Absorptionsmittelschicht als Ätzstoppschicht.In some embodiments, one or more additional layers (not shown) are formed between cover layer 20 and absorbent layer 25 . In some embodiments, the additional layer includes a Ta-based material, such as TaB, TaO, TaBO, or TaBN; Silicon; a silicon-based compound (e.g., silicon oxide, SiN, SiON, or MoSi); ruthenium; or a ruthenium-based compound (e.g. Ru or RuB). In some embodiments, the additional layer has a thickness of about 2 nm to about 20 nm. In some embodiments, the additional layer is formed by chemical vapor deposition, plasma-enhanced chemical vapor deposition, atomic layer deposition, physical vapor deposition, or another suitable film-forming process. In some embodiments, the additional layer serves as an etch stop layer during a patterning operation of the absorber layer.

In anderen Ausführungsformen ist die zusätzliche Schicht eine fotokatalytische Schicht, die Kohlenwasserstoffreste, die auf der Fotomaske gebildet werden, in CO2 und/oder H2O mit EUV-Strahlung katalysieren kann. Daher wird eine Selbstreinigung vor Ort der Maskenoberfläche durchgeführt. In manchen Ausführungsformen werden in dem EUV-Scannersystem Sauerstoff- und Wasserstoffgase in die EUV-Kammer eingespritzt, um den Kammerdruck (z.B. bei etwa 2 Pa) aufrechtzuerhalten. Zusätzlich zu der fotokatalytischen Funktion ist die fotokatalytische Schicht gestaltet, ausreichende Haltbarkeit und Beständigkeit gegenüber verschiedenen Chemikalien und verschiedenen chemischen Prozessen, wie Reinigen und Ätzen, aufzuweisen. Zum Beispiel kann die fotokatalytische Schicht Beschädigung an der Ru-Abdeckschicht 20 durch ozonisiertes Wasser, das verwendet wird, um die EUV-reflektierende Maske in einem anschließenden Prozess herzustellen, und einen daraus resultierenden signifikanten Abfall des EUV-Reflexionsvermögens verhindern. Weiter kann die fotokatalytische Schicht verhindern, dass ein Ru-Oxid, das nach Ru-Oxidation gebildet wird, durch ein Ätzmittel, wie Cl2- oder CF4-Gas weggeätzt wird. In manchen Ausführungsformen enthält die fotokatalytische Schicht eines oder mehrere von Titanoxid (TiO2), Zinnoxid (SnO), Zinkoxid (ZnO) und Cadmiumsulfid (CdS). Die Dicke der fotokatalytischen Schicht ist in manchen Ausführungsformen in einem Bereich von etwa 2 nm bis etwa 10 nm und ist in anderen Ausführungsformen in einem Bereich von etwa 3 nm bis etwa 7 nm. Wenn die Dicke zu gering ist, könnte die fotokatalytische Schicht nicht ausreichend als eine Ätzstoppschicht dienen. Wenn die Dicke zu groß ist, könnte die fotokatalytische Schicht die EUV-Strahlung absorbieren.In other embodiments, the additional layer is a photocatalytic layer capable of catalyzing hydrocarbon residues formed on the photomask into CO 2 and/or H 2 O with EUV radiation. Therefore, self-cleaning is carried out on site at the mask surface. In some embodiments, in the EUV scanner system, oxygen and hydrogen gases are injected into the EUV chamber to maintain chamber pressure (eg, at about 2 Pa). In addition to the photocatalytic function, the photocatalytic layer is designed to have sufficient durability and resistance to various chemicals and various chemical processes such as cleaning and etching. For example, the photocatalytic layer can prevent damage to the Ru cap layer 20 by ozonated water used to fabricate the EUV reflective mask in a subsequent process and a resulting significant drop in EUV reflectivity. Further, the photocatalytic layer can prevent a Ru oxide formed after Ru oxidation from being etched away by an etchant such as Cl 2 or CF 4 gas. In some embodiments, the photocatalytic layer includes one or more of titanium oxide (TiO 2 ), tin oxide (SnO), zinc oxide (ZnO), and cadmium sulfide (CdS). The thickness of the photocatalytic layer is in a range from about 2 nm to about 10 nm in some embodiments and is in a range from about 3 nm to about 7 nm in other embodiments. If the thickness is too small, the photocatalytic layer may not be sufficient serve as an etch stop layer. If the thickness is too large, the photocatalytic layer might absorb the EUV ray.

Die Absorptionsschicht 25 ist über der Abdeckschicht 20 angeordnet. In manchen Ausführungsformen ist die Absorptionsschicht 25 Ta-basiertes Material. In manchen Ausführungsformen ist die Absorptionsschicht 25 aus TaN, TaO, TaB, TaBO oder TaBN mit einer Dicke von etwa 25 nm bis etwa 100 nm hergestellt. In bestimmten Ausführungsformen reicht die Dicke der Absorptionsschicht 25 von etwa 50 nm bis etwa 75 nm. In anderen Ausführungsformen enthält die Absorptionsschicht 25 ein Crbasiertes Material, wie Cr, CrN, CrON und/oder CrCON. Im Fall von CrON oder CrCON ist in manchen Ausführungsformen eine Stickstoffmenge in einem Bereich von etwa 10 Atom% bis etwa 30 Atom%. In manchen Ausführungsformen weist die Absorptionsschicht 25 eine mehrschichtige Struktur aus Cr, CrN, CrON und/oder CrCON auf. In bestimmten Ausführungsformen wird eine CrN Schicht als die Absorptionsschicht 25 verwendet. Wenn die CrN-Schicht verwendet wird, ist die Stickstoffmenge in manchen Ausführungsformen in einem Bereich von etwa 16 Atom% bis etwa 40 Atom%. Wenn die Stickstoffmenge in einem Bereich von etwa 16 Atom% bis etwa 30 Atom% ist, weist die CrN-Absorptionsschicht Cr- und Cr2N-Phasen auf. Wenn die Stickstoffmenge in einem Bereich von etwa 30 Atom% bis etwa 33 Atom% ist, besteht die CrN-Absorptionsschicht im Wesentlichen aus einer Cr2N-Phase (z.B. mehr als 95 Vol%). Wenn die Stickstoffmenge in einem Bereich von etwa 33 Atom% bis etwa 40 Atom% ist, weist die CrN-Absorptionsschicht Cr2N- und CrN-Phasen auf. Die Phasen können durch eine Elektronenenergieverlustspektroskopie (EELS), ein Transmissionselektronenmikroskop (TEM) und/oder eine Röntgenbeugungsanalyse (XRD-Analyse) beobachtet werden. In manchen Ausführungsformen bilden die zwei Phasen eine feste Lösung. In manchen Ausführungsformen ist eine Stickstoffkonzentration in der Absorptionsschicht 25 nicht gleichmäßig. In manchen Ausführungsformen ist die Stickstoffkonzentration in der Mitte oder im Zentrum der Absorptionsschicht 25 höher als in einem Oberflächengebiet der Absorptionsschicht 25. In manchen Ausführungsformen enthält die CrN-Absorptionsschicht eine oder mehrere Unreinheiten, die nicht Cr und N sind, in einer Menge von weniger als etwa 5 Atom%. In manchen Ausführungsformen enthält die Absorptionsschicht 25 weiter ein oder mehrere Elemente von Co, Te, Hf und/oder Ni.The absorption layer 25 is arranged over the cover layer 20 . In some embodiments, the absorption layer 25 is Ta-based material. In some embodiments, the absorption layer 25 is made of TaN, TaO, TaB, TaBO, or TaBN with a thickness of about 25 nm to about 25 nm 100 nm produced. In certain embodiments, the thickness of the absorption layer 25 ranges from about 50 nm to about 75 nm. In other embodiments, the absorption layer 25 includes a Cr-based material, such as Cr, CrN, CrON, and/or CrCON. In the case of CrON or CrCON, in some embodiments, an amount of nitrogen is in a range from about 10 atom % to about 30 atom %. In some embodiments, the absorption layer 25 has a multi-layer structure made of Cr, CrN, CrON and/or CrCON. In certain embodiments, a CrN layer is used as the absorption layer 25 . In some embodiments, when the CrN layer is used, the amount of nitrogen is in a range from about 16 atom % to about 40 atom %. When the amount of nitrogen is in a range from about 16 at% to about 30 at%, the CrN absorption layer has Cr and Cr2N phases. When the amount of nitrogen is in a range from about 30 at% to about 33 at%, the CrN absorption layer consists essentially of a Cr2N phase (eg, more than 95 vol%). When the amount of nitrogen is in a range from about 33 at% to about 40 at%, the CrN absorption layer has Cr2N and CrN phases. The phases can be observed by electron energy loss spectroscopy (EELS), transmission electron microscopy (TEM) and/or X-ray diffraction (XRD) analysis. In some embodiments, the two phases form a solid solution. In some embodiments, a nitrogen concentration in the absorption layer 25 is not uniform. In some embodiments, the nitrogen concentration in the middle or center of the absorption layer 25 is higher than in a surface region of the absorption layer 25. In some embodiments, the CrN absorption layer contains one or more impurities other than Cr and N in an amount less than about 5 atomic %. In some embodiments, the absorption layer 25 further includes one or more of Co, Te, Hf, and/or Ni.

In manchen Ausführungsformen ist eine antireflektierende Schicht 27 über der Absorptionsschicht 25 angeordnet. Die antireflektierende Schicht 27 ist in manchen Ausführungsformen aus einem Siliziumoxid hergestellt und weist eine Dicke von etwa 2 nm bis etwa 10 nm auf. In anderen Ausführungsformen wird eine TaB-, TaO-, TaBO- und/oder TaBN-Schicht mit einer Dicke in einem Bereich von etwa 12 nm bis etwa 18 nm als die antireflektierende Schicht verwendet. In bestimmten Ausführungsformen ist die antireflektierende Schicht 27 aus Tantaloxid (Ta2O5 oder nicht stöchiometrisches (z.B. sauerstoffarmes) Tantaloxid) hergestellt. In manchen Ausführungsformen ist die Dicke der antireflektierenden Schicht etwa 3 nm bis etwa 6 nm. In manchen Ausführungsformen wird die antireflektierende Schicht durch chemische Dampfphasenabscheidung, plasmaverstärkte chemische Dampfphasenabscheidung, Atomlagenabscheidung, physikalische Dampfphasenabscheidung oder ein anderes geeignetes Filmbildungsverfahren gebildet.In some embodiments, an anti-reflective layer 27 is placed over the absorption layer 25 . In some embodiments, the anti-reflective layer 27 is made of a silicon oxide and has a thickness of about 2 nm to about 10 nm. In other embodiments, a TaB, TaO, TaBO, and/or TaBN layer having a thickness ranging from about 12 nm to about 18 nm is used as the antireflective layer. In certain embodiments, the anti-reflective layer 27 is made of tantalum oxide (Ta 2 O 5 or non-stoichiometric (eg, low-oxygen) tantalum oxide). In some embodiments, the thickness of the anti-reflective layer is about 3 nm to about 6 nm. In some embodiments, the anti-reflective layer is formed by chemical vapor deposition, plasma-enhanced chemical vapor deposition, atomic layer deposition, physical vapor deposition, or another suitable film formation method.

Die Hartmaskenschicht 30 ist in manchen Ausführungsformen über der Absorptionsschicht 25 (oder der antireflektierenden Schicht 27) angeordnet. In manchen Ausführungsformen ist die Hartmaskenschicht 30 aus Cr-basiertem Material, wie CrO, CrON oder CrCON, hergestellt, wenn die Absorptionsschicht 25 aus einem Tabasiertem Material hergestellt ist. In anderen Ausführungsformen ist die Hartmaskenschicht 30 aus einem Ta-basierten Material hergestellt, wie TaB, TaO, TaBO oder TaBN, wenn die Absorptionsschicht 25 aus einem Cr-basierten Material hergestellt ist. In anderen Ausführungsformen ist die Hartmaskenschicht 30 aus Silizium, einer Silizium-basierten Verbindung (z.B. Siliziumoxid, SiN, SiON oder MoSi), Ruthenium oder einer Ruthenium-basierten Verbindung (Ru oder RuB) hergestellt. Die Hartmaskenschicht 30 weist in manchen Ausführungsformen eine Dicke von etwa 4 nm bis etwa 20 nm auf. In manchen Ausführungsformen weist die Hartmaskenschicht 30 zwei oder mehr verschiedene Materialschichten auf. In manchen Ausführungsformen wird die Hartmaskenschicht 30 durch chemische Dampfphasenabscheidung, plasmaverstärkte chemische Dampfphasenabscheidung, Atomlagenabscheidung, physikalische Dampfphasenabscheidung oder ein anderes geeignetes Filmbildungsverfahren gebildet.Hard mask layer 30 is disposed over absorption layer 25 (or anti-reflective layer 27) in some embodiments. In some embodiments, the hard mask layer 30 is made of a Cr-based material, such as CrO, CrON, or CrCON, when the absorption layer 25 is made of a Ta-based material. In other embodiments, the hard mask layer 30 is made of a Ta-based material, such as TaB, TaO, TaBO, or TaBN when the absorption layer 25 is made of a Cr-based material. In other embodiments, the hard mask layer 30 is made of silicon, a silicon-based compound (e.g., silicon oxide, SiN, SiON, or MoSi), ruthenium, or a ruthenium-based compound (Ru or RuB). The hard mask layer 30 has a thickness of about 4 nm to about 20 nm in some embodiments. In some embodiments, the hard mask layer 30 includes two or more different material layers. In some embodiments, the hard mask layer 30 is formed by chemical vapor deposition, plasma-enhanced chemical vapor deposition, atomic layer deposition, physical vapor deposition, or another suitable film formation process.

In manchen Ausführungsformen weisen eine oder mehrere der Funktionsschichten über dem Substrat (der mehrschichtige Mo/Si-Stapel 15, die Abdeckschicht 20, die zusätzliche Schicht, die Absorptionsschicht 25, die antireflektierende Schicht 27 und die Hartmaskenschicht 30) eine polykristalline Struktur (z.B. nano-kristalline Struktur) oder eine amorphe Struktur auf.In some embodiments, one or more of the functional layers over the substrate (the multilayer Mo/Si stack 15, the cap layer 20, the additional layer, the absorption layer 25, the anti-reflective layer 27 and the hard mask layer 30) have a polycrystalline structure (e.g. nano- crystalline structure) or an amorphous structure.

In manchen Ausführungsformen ist eine rückseitige leitfähige Schicht 45 auf einer zweiten Hauptfläche des Substrats 10 gegenüber der ersten Hauptfläche des Substrats 10 angeordnet, auf der die Mo/Si-Mehrfachschicht 15 gebildet ist. In manchen Ausführungsformen ist die rückseitige leitfähige Schicht 45 aus TaB (Tantalborid) oder einem anderen Ta-basierten leitfähigen Material hergestellt. In manchen Ausführungsformen ist das Tantalborid kristallin. Das kristalline Tantalborid enthält TaB, Ta5B6, Ta3B4 und TaB2. In anderen Ausführungsformen ist das Tantalborid polykristallin oder amorph. In anderen Ausführungsformen ist die rückseitige leitfähige Schicht 45 aus einem Cr-basierten leitfähigen Material (CrN oder CrON) hergestellt. In manchen Ausführungsformen ist der Schichtwiderstand der rückseitigen leitfähigen Schicht 45 gleich oder kleiner als 20 Ω/□. In bestimmten Ausführungsformen ist der Schichtwiderstand der rückseitigen leitfähigen Schicht 45 gleich oder größer als 0,1Ω/□. In manchen Ausführungsformen ist die Oberflächenrauheit Ra der rückseitigen leitfähigen Schicht 45 gleich oder kleiner als 0,25 nm. In bestimmten Ausführungsformen ist die Oberflächenrauheit Ra der rückseitigen leitfähigen Schicht 45 gleich oder größer als 0,05 nm. Weiter ist in manchen Ausführungsformen die Ebenheit der rückseitigen leitfähigen Schicht 45 gleich oder kleiner als 50 nm (innerhalb der EUV-Fotomaske). In manchen Ausführungsformen ist die Ebenheit der rückseitigen leitfähigen Schicht 45 mehr als 1 nm. Eine Dicke der rückseitigen leitfähigen Schicht 45 ist in manchen Ausführungsformen in einem Bereich von etwa 50 nm bis etwa 400 nm. In anderen Ausführungsformen weist die rückseitige leitfähige Schicht 45 eine Dicke von etwa 50 nm bis etwa 100 nm auf. In bestimmten Ausführungsformen ist die Dicke in einem Bereich von etwa 65 nm bis etwa 75 nm. In manchen Ausführungsformen wird die rückseitige leitfähige Schicht 45 durch atmosphärische chemische Dampfphasenabscheidung (CVD), Niederdruck- CVD, plasmaverstärkte CVD, laserverstärkte CVD, Atomlagenabscheidung (ALD), Molekularstrahlepitaxie (MBE), physikalische Dampfphasenabscheidung, enthaltend Wärmeabscheidung, gepulste Laserabscheidung, Elektronenstrahlverdampfung, Ionenstrahl-unterstützte Verdampfung und Sputtern oder ein anderes geeignetes Filmbildungsverfahren gebildet. In Fällen von CVD enthalten Quellengase in manchen Ausführungsformen TaCl5 und BCl3.In some embodiments, a backside conductive layer 45 is disposed on a second major surface of the substrate 10 opposite the first major surface of the substrate 10 on which the Mo/Si multilayer 15 is formed. In some embodiments, the backside conductive layer 45 is made of TaB (tantalum boride) or another Ta-based conductive material. In some embodiments, the tantalum boride is crystalline. The crystalline tantalum boride includes TaB, Ta 5 B 6 , Ta 3 B 4 and TaB 2 . In other embodiments, the tantalum boride is polycrystalline or amorphous. In other embodiments, the backside conductive layer 45 is made of a Cr-based conductive material (CrN or CrON). In some embodiments, the sheet resistance of backside conductive layer 45 is equal to or less than 20 Ω/□. In certain embodiments, the sheet resistance of backside conductive layer 45 is equal to or greater than 0.1Ω/□. In some embodiments, the surface roughness Ra of the backside conductive layer 45 is equal to or less than 0.25 nm. In certain embodiments, the surface roughness Ra of the backside conductive layer 45 is equal to or more than 0.05 nm backside conductive layer 45 equal to or smaller than 50 nm (inside the EUV photomask). In some embodiments, the flatness of the backside conductive layer 45 is more than 1 nm. A thickness of the backside conductive layer 45 is in a range from about 50 nm to about 400 nm in some embodiments. In other embodiments, the backside conductive layer 45 has a thickness from about 50 nm to about 100 nm. In certain embodiments, the thickness is in a range of about 65 nm to about 75 nm. In some embodiments, the backside conductive layer 45 is formed by atmospheric chemical vapor deposition (CVD), low pressure CVD, plasma enhanced CVD, laser enhanced CVD, atomic layer deposition (ALD), molecular beam epitaxy (MBE), physical vapor deposition including thermal deposition, pulsed laser deposition, e-beam evaporation, ion-beam assisted evaporation and sputtering, or any other suitable film formation process. In CVD cases, source gases include TaCl5 and BCl3 in some embodiments.

In manchen Ausführungsformen, wie in 1E gezeigt, ist eine Substratschutzschicht 12 zwischen dem Substrat 10 und dem mehrschichtigen Stapel 15 gebildet. In manchen Ausführungsformen ist die Substratschutzschicht 12 aus Ru oder einer Ru-Verbindung, wie RuO, RuNb, RuNbO, RuZr und RuZrO, hergestellt. In manchen Ausführungsformen ist die Substratschutzschicht 12 aus demselben Material wie oder einem anderen Material als die Abdeckschicht 20 hergestellt. Die Dicke der Substratschutzschicht 12 ist in manchen Ausführungsformen in einem Bereich von etwa 2 nm bis etwa 10 nm.In some embodiments, as in 1E As shown, a substrate protective layer 12 is formed between the substrate 10 and the multi-layer stack 15 . In some embodiments, the substrate protection layer 12 is made of Ru or a Ru compound such as RuO, RuNb, RuNbO, RuZr, and RuZrO. In some embodiments, the substrate protection layer 12 is made of the same material as the cover layer 20 or a different material. The thickness of the substrate protection layer 12 is in a range from about 2 nm to about 10 nm in some embodiments.

In manchen Ausführungsformen, wie in 1F gezeigt, weisen die Funktionsschichten und das Substrat dieselbe Größe (X1=X2 und Y1=Y2 in 1A) auf.In some embodiments, as in 1F shown, the functional layers and the substrate have the same size (X1=X2 and Y1=Y2 in 1A) on.

2A-2E und 3A-3E veranschaulichen schematisch ein Verfahren zum Fertigen einer EUV-Fotomaske zur Verwendung in extremer Ultraviolettlithographie (EUVL). Es ist klar, dass zusätzliche Arbeitsschritte vor, während und nach den Prozessen durchgeführt werden können, die in 2A-3E gezeigt sind, und manche der unten beschriebenen Arbeitsschritte für zusätzliche Ausführungsformen des Verfahrens ersetzt oder eliminiert werden können. Die Reihenfolge der Arbeitsschritte/Prozesse kann austauschbar sein. 2A-2E and 3A-3E schematically illustrate a method for fabricating an EUV photomask for use in extreme ultraviolet lithography (EUVL). It is clear that additional work steps can be performed before, during and after the processes included in 2A-3E are shown, and some of the operations described below may be substituted or eliminated for additional embodiments of the method. The order of the work steps/processes can be interchangeable.

In der Fertigung einer EUV-Fotomaske wird eine Haftschicht (Haftverstärkungsschicht) 32 über der Hartmaskenschicht 30 des EUV-Fotomaskenrohlings gebildet und eine erste Fotolackschicht 35 wird über der Haftschicht 32 gebildet, wie in 2A gezeigt. Die Fotolackschicht 32 ist ein positiver oder ein negativer Fotolack und weist in manchen Ausführungsformen eine Dicke in einem Bereich von etwa 5 nm bis etwa 120 nm auf und ist in anderen Ausführungsformen in einem Bereich von etwa 10 nm bis etwa 50 nm. In manchen Ausführungsformen ist die Fotolackschicht ein chemisch verstärkter Fotolack oder ein nicht chemisch verstärkter Fotolack, der für einen Elektronenstrahl empfindlich ist.In the manufacture of an EUV photomask, an adhesion layer (adhesion enhancing layer) 32 is formed over the hardmask layer 30 of the EUV photomask blank, and a first photoresist layer 35 is formed over the adhesion layer 32, as shown in FIG 2A shown. Photoresist layer 32 is a positive or negative photoresist and has a thickness in a range from about 5 nm to about 120 nm in some embodiments, and in a range from about 10 nm to about 50 nm in other embodiments the photoresist layer is a chemically amplified photoresist or a non-chemically amplified photoresist sensitive to an electron beam.

Die Fotolackschicht 35 hat ein höheres Haftvermögen an der Haftschicht 32 als an der Hartmaskenschicht 30. In manchen Ausführungsformen kann das Haftvermögen durch Zählen oder Überwachen eines Zusammenfalls und/oder Ablösens der Struktur gemessen werden, wenn die Fotolackstrukturen über den jeweiligen Schichten gebildet werden (z.B. gibt die geringere Anzahl an Zusammenfallen und/oder Ablösen der Struktur das höhere Haftvermögen an). In manchen Ausführungsformen wird eine Hexamethyldisilazan-Behandlung (HMDS-Behandlung), die von der Haftschicht unterscheidet, durchgeführt, bevor der Fotolack über der Haftschicht 32 aufgetragen wird.Photoresist layer 35 has higher adhesion to adhesion layer 32 than to hardmask layer 30. In some embodiments, adhesion may be measured by counting or monitoring pattern collapse and/or delamination as the photoresist patterns are formed over the respective layers (e.g., the lower number of collapses and/or delaminations of the structure indicates the higher adhesion). In some embodiments, a hexamethyldisilazane (HMDS) treatment, distinct from the adhesion layer, is performed before the photoresist is applied over adhesion layer 32 .

In manchen Ausführungsformen weist die Haftschicht 32 eine kohlenstoffreiche Schicht mit einer höheren Kohlenstoffkonzentration als die Fotolackschicht 35 und/oder die Hartmaskenschicht 30 auf. Mit der Verwendung der Haftschicht 32 ist es möglich, Zusammenfallen oder Ablösen von feinen Fotolackstrukturen oder jenen mit hohen Aspektverhältnis nach Entwicklung zu unterdrücken.In some embodiments, adhesion layer 32 includes a carbon-rich layer having a higher carbon concentration than photoresist layer 35 and/or hard mask layer 30 . With the use of the adhesive layer 32, it is possible to suppress collapse or peeling of fine resist patterns or those with high aspect ratio after development.

In manchen Ausführungsformen wird die Haftschicht 32 durch chemische Dampfphasenabscheidung (CVD), plasmaverstärkte CVD (PECVD), Atomlagenabscheidung (ALD), physikalische Dampfphasenabscheidung(PVD) (Sputtern) oder ein anderes geeignetes Filmbildungsverfahren gebildet. In anderen Ausführungsformen wird die Haftschicht 27 durch ein Rotationsbeschichtungsverfahren eines Haftschichtgemisches gebildet, gefolgt von einem Weichbacken zur Entfernung von Lösemitteln. In manchen Ausführungsformen wird das Weichbacken bei einer Temperatur, die von etwa 40°C bis 150°C reicht, für etwa 30 sec bis etwa 240 sec durchgeführt. In manchen Ausführungsformen wird die Haftschicht 32 optional durch eine Bestrahlung mit Licht mit einer Wellenlänge, die von etwa 150 nm bis 800 nm reicht, etwa 10 sec bis etwa 120 sec gehärtet.In some embodiments, the adhesion layer 32 is formed by chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), atomic layer deposition (ALD), physical vapor deposition (PVD) (sputtering), or other suitable film formation process. In other embodiments, the bond coat 27 is formed by a spin coating process of a bond coat mix, followed by soft baking to remove solvents. In some embodiments, the tender bake is performed at a temperature ranging from about 40°C to 150°C for about 30 seconds to about 240 seconds. In some embodiments, the adhesive layer 32 is optionally bonded by exposure to light having a wavelength of about 150 nm to 800 nm, cured from about 10 seconds to about 120 seconds.

In manchen Ausführungsformen enthält die Haftschicht 32 ein organisches Polymer. In manchen Ausführungsformen enthält das Haftschichtgemisch zum Bilden der Haftschicht 27 ein polymeres Material, ein Vernetzungsmittel, einen Vernetzungsinitiator und ein Lösemittel. In manchen Ausführungsformen ist die Haftschicht 32 aus einem anderen Material als einer organischen antireflektierenden Bodenbeschichtungsschicht (BARC-Schicht) hergestellt, die in UV-, DUV- und/oder EUV-Lithografie für einen Halbleiterwafer/Substrat-Herstellungsprozess verwendet wird.In some embodiments, adhesive layer 32 includes an organic polymer. In some embodiments, the adhesive layer mixture to form adhesive layer 27 includes a polymeric material, a crosslinking agent, a crosslinking initiator, and a solvent. In some embodiments, the adhesion layer 32 is made of a material other than a bottom organic anti-reflective coating (BARC) layer used in UV, DUV, and/or EUV lithography for a semiconductor wafer/substrate manufacturing process.

In manchen Ausführungsformen weist das polymere Material ein Kohlenwasserstoffketten-Rückgrat mit mindestens einem vernetzenden Monomer auf. In manchen Ausführungsformen beinhaltet das Kohlenwasserstoffketten-Rückgrat mindestens eines von einem Polyacrylat, einem Polyimid, einem Polyurethan, und/oder Gemischen davon. In manchen Ausführungsformen weist das vernetzende Monomer mindestens eine Kohlenwasserstoffkette auf, die eine Hydroxylgruppe, eine Alkoxylgruppe mit einer Kohlenstoffanzahl kleiner als 6, eine Amingruppe, eine Thiolgruppe, eine Estergruppe, eine Alkengruppe, eine Alkyngruppe, eine Epoxygruppe, eine Aziridingruppe, eine Oxetangruppe, eine Aldehydgruppe, eine Ketongruppe und/oder eine Carbonsäuregruppe beinhaltet. In manchen Ausführungsformen enthalten die vernetzenden Monomer ein Homopolymer und/oder ein Copolymer, das durch Polymerisation mindestens eines der folgenden Monomere erhalten wird: Styrol, Hydroxystyrol, Hydroxyethyl(meth)acrylat, Ethyl(meth)acrylat, (Meth)acrylsäure, Poly(Hydroxystyrol-Styrol-Methacrylat), Poly(4-Hydroxystyrol) und/oder Poly(pyromellitischer Dianhydrid-Ethylenglycol-Propylenoxid). Das gewichtgemittelte Molekulargewicht des polymeren Materials reicht in manchen Ausführungsformen von etwa 100 bis etwa 20.000 Dalton.In some embodiments, the polymeric material has a hydrocarbon chain backbone with at least one crosslinking monomer. In some embodiments, the hydrocarbon chain backbone includes at least one of a polyacrylate, a polyimide, a polyurethane, and/or mixtures thereof. In some embodiments, the crosslinking monomer has at least one hydrocarbon chain containing a hydroxyl group, an alkoxyl group having a carbon number less than 6, an amine group, a thiol group, an ester group, an alkene group, an alkyne group, an epoxy group, an aziridine group, an oxetane group, a aldehyde group, a ketone group and/or a carboxylic acid group. In some embodiments, the crosslinking monomers contain a homopolymer and/or a copolymer obtained by polymerization of at least one of the following monomers: styrene, hydroxystyrene, hydroxyethyl (meth)acrylate, ethyl (meth)acrylate, (meth)acrylic acid, poly(hydroxystyrene). -styrene methacrylate), poly(4-hydroxystyrene) and/or poly(pyromellitic dianhydride-ethylene glycol-propylene oxide). The weight average molecular weight of the polymeric material ranges from about 100 to about 20,000 daltons in some embodiments.

In manchen Ausführungsformen wird ein Vernetzungsmittel mit dem polymeren Material und Vernetzungsinitiator gemischt, um die Vernetzungseffizienz zu erhöhen. Das Vernetzungsmittel enthält mindestens eines von einem aliphatischen Polyether, wie Polyetherpolyol, einem Polyglycidylether, einem Vinylether, einem Glycoluril, einem Triazin und/oder Kombinationen von diesen.In some embodiments, a crosslinking agent is mixed with the polymeric material and crosslinking initiator to increase crosslinking efficiency. The crosslinking agent contains at least one of an aliphatic polyether such as a polyether polyol, a polyglycidyl ether, a vinyl ether, a glycoluril, a triazine, and/or combinations of these.

In manchen Ausführungsformen beinhaltet das Haftschichtgemisch weiter eines oder mehrere von einem thermischen Säurebildner, einem Fotosäurebildner, einem Fotobasenbildner und/oder einem Freie-Radikale-Bildner, um Polymerisation einzuleiten.In some embodiments, the bond coat mixture further includes one or more of a thermal acid generator, a photo acid generator, a photo base generator, and/or a free radical generator to initiate polymerization.

In manchen Ausführungsformen enthält ein thermischer Säurebildner, der eine Säure erzeugt, wenn ausreichende Hitze angewendet wird, eines oder mehrere von einer Butansulfonsäure, einer Trifluormethansulfonsäure, einer Nonafluorbutansulfonsäure, einem Na-Nitrobenzyltosylat (z.B. 2-Nitrobenzyltosylat, 2,4-Dinitrobenzyltosylat, 2,6-Dinitrobenzyltosylat, 4-Nitrobenzyltosylat), einem Benzolsulfonat (z.B. 2-Trifluormethyl-6-nitrobenzyl 4-Chlorbenzolsulfonat, 2-Trifluormethyl-6-nitrobenzyl 4-Nitro-benzolsulfonat), einem phenolischen Sulfonatester (z.B. Phenyl, 4-Methoxybenzolsulfonat), einem Alkylammoniumsalz von organischen Säuren (z.B. Triethylammoniumsalz von 10-Camphersulfonsäure), Kombinationen von diesen oder dergleichen.In some embodiments, a thermal acid generator that generates an acid when sufficient heat is applied includes one or more of a butanesulfonic acid, a trifluoromethanesulfonic acid, a nonafluorobutanesulfonic acid, a Na-nitrobenzyl tosylate (e.g., 2-nitrobenzyl tosylate, 2,4-dinitrobenzyl tosylate, 2, 6-dinitrobenzyl tosylate, 4-nitrobenzyl tosylate), a benzene sulfonate (e.g. 2-trifluoromethyl-6-nitrobenzyl 4-chlorobenzene sulfonate, 2-trifluoromethyl-6-nitrobenzyl 4-nitro-benzene sulfonate), a phenolic sulfonate ester (e.g. phenyl, 4-methoxybenzene sulfonate), an alkylammonium salt of organic acids (e.g., triethylammonium salt of 10-camphorsulfonic acid), combinations of these, or the like.

In manchen Ausführungsformen enthält ein Fotosäurebildner, der eine Säure erzeugt, wenn aktinische Strahlung (UV, DUV, EUV-Licht oder Elektronenstrahl) angewendet wird, eines oder mehrere von einem halogenierten Triazin, einem Oniumsalz, einem Diazoniumsalz, einem aromatischen Diazoniumsalz, einem Phosphoniumsalz, einem Sulfoniumsalz, einem Iodoniumsalz, einem Imidsulfonat, einem Oximsulfonat, einem Disulfon, einem o-Nitrobenzylsulfonat, einem sulfonierten Ester, einem halogenierten Sulfonyloxydicarboximid, einem Diazodisulfon, einem α-Cyanooxyaminsulfonat, einem Imidsulfonat, einem Ketodiazosulfon, einem Sulfonyldiazoester, einem 1,2-Di(arylsulfonyl)hydrazin, einem Nitrobenzylester und/oder einem s-Triazinderivat, beliebige Kombinationen von diesen und dergleichen. In manchen Ausführungsformen enthalten Beispiele von Fotosäurebildnern ein α-(Trifluormethylsulfonyloxy)-bicyclo[2.2.1]hept-5-en-2,3-dicarboximid (MDT); N-Hydroxy-naphthalimid (DDSN); Benzointosylat; t-Butylphenyl-α-(p-toluolsulfonyloxy)-acetat und t-Butyl-α-(p-toluolsulfonyloxy)-acetat; Triarylsulfonium- und Diaryliodoniumhexafluorantimonate; Hexafluorarsenate; Trifluormethansulfonate; Iodoniumperfluoroctansulfonat; N-Camphersulfonyloxynaphthalimid; N-Pentafluorphenylsulfonyloxynaphthalimid; ionische Iodoniumsulfonate, wie Diaryliodonium(alkyl oder aryl)sulfonat und bis-(Dit-butylphenyl)iodoniumcamphanylsulfonat; Perfluoralkansulfonate, wie Perfluorpentansulfonat, Perfluoroctansulfonat und Perfluormethansulfonat; Aryl- (z.B. Phenyl oder Benzyl) -triflate, wie Triphenylsulfoniumtriflat oder bis-(t-Butylphenyl)iodoniumtriflat; Pyrogallolderivate (z.B. Trimesylat von Pyrogallol); Trifluormethansulfonatester von Hydroxyimiden; α,α'-bis-Sulfonyldiazomethansulfonatester von nitrosubstituiertem Benzyl, Alkohole, Naphthochinon-4-diazide, Alkyldisulfone und dergleichen.In some embodiments, a photoacid generator that generates an acid when actinic radiation (UV, DUV, EUV light, or electron beam) is applied includes one or more of a halogenated triazine, an onium salt, a diazonium salt, an aromatic diazonium salt, a phosphonium salt, a sulfonium salt, an iodonium salt, an imidesulfonate, an oximesulfonate, a disulfone, an o-nitrobenzylsulfonate, a sulfonated ester, a halogenated sulfonyloxydicarboximide, a diazodisulfone, an α-cyanooxyaminesulfonate, an imidesulfonate, a ketodiazosulfone, a sulfonyldiazoester, a 1,2- di(arylsulfonyl)hydrazine, a nitrobenzyl ester and/or a s-triazine derivative, any combination of these, and the like. In some embodiments, examples of photoacid generators include an α-(trifluoromethylsulfonyloxy)bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide (MDT); N-hydroxy-naphthalimide (DDSN); benzoin tosylate; t-butylphenyl α-(p-toluenesulfonyloxy)-acetate and t-butyl α-(p-toluenesulfonyloxy)-acetate; triarylsulfonium and diaryliodonium hexafluoroantimonates; hexafluoroarsenates; trifluoromethanesulfonates; iodonium perfluorooctane sulfonate; N-camphorsulfonyloxynaphthalimide; N-pentafluorophenylsulfonyloxynaphthalimide; ionic iodonium sulfonates such as diaryliodonium (alkyl or aryl) sulfonate and bis-(dit-butylphenyl)iodonium camphanyl sulfonate; perfluoroalkanesulfonates such as perfluoropentanesulfonate, perfluorooctanesulfonate and perfluoromethanesulfonate; aryl (e.g. phenyl or benzyl) triflates such as triphenylsulfonium triflate or bis-(t-butylphenyl)iodonium triflate; pyrogallol derivatives (e.g. trimesylate of pyrogallol); trifluoromethanesulfonate esters of hydroxyimides; α,α'-bis-sulfonyldiazomethanesulfonate esters of nitro-substituted benzyl, alcohols, naphthoquinone-4-diazides, alkyl disulfones, and the like.

In manchen Ausführungsformen enthält ein Fotobasenbildner, der eine Base erzeugt, wenn aktinische Strahlung angewendet wird, ein quaternäres Ammoniumdithiocarbamat, ein Aminoketon, ein Oxim-Urethan-haltiges Molekül (z.B. Dibenzophenonoximhexamethylendiurethan), ein Ammoniumtetraorganylboratsalz und/oder ein N-(2-Nitrobenzyloxycarbonyl)-cyclisches Amin, geeignete Kombinationen von diesen oder dergleichen.In some embodiments, a photobase generator that generates a base when actinic radiation is applied includes a quaternary ammonium dithiocarbamate, an aminoketone Oxime urethane containing molecule (eg dibenzophenone oxime hexamethylenediurethane), an ammonium tetraorganylborate salt and/or an N-(2-nitrobenzyloxycarbonyl) cyclic amine, suitable combinations of these or the like.

In manchen Ausführungsformen enthält ein Lösemittel ein organisches Lösemittel, enthaltend jedes geeignete Lösemittel, wie ein Keton, einen Alkohol, einen Polyalkohol, einen Ether, einen Glycolether, einen cyclischen Ether, einen aromatischen Kohlenwasserstoff, einen Ester, ein Propionat, ein Lactat, einen Milchsäureester, einen Alkylenglycolmonoalkylether, ein Alkyllactat, ein Alkylalkoxypropionat, ein cyclisches Lacton, eine Monoketonverbindung, die einen Ring beinhaltet, ein Alkylencarbonat, ein Alkylalkoxyacetat, ein Alkylpyruvat, ein Ethylenglycolalkyletheracetat, ein Diethylenglycol, ein Propylenglycolalkyletheracetat, einen Alkylenglycolalkyletherester, einen Alkylenglycolmonoalkylester oder dergleichen.In some embodiments, a solvent includes an organic solvent containing any suitable solvent such as a ketone, an alcohol, a polyalcohol, an ether, a glycol ether, a cyclic ether, an aromatic hydrocarbon, an ester, a propionate, a lactate, a lactic acid ester , an alkylene glycol monoalkyl ether, an alkyl lactate, an alkyl alkoxy propionate, a cyclic lactone, a monoketone compound containing a ring, an alkylene carbonate, an alkyl alkoxy acetate, an alkyl pyruvate, an ethylene glycol alkyl ether acetate, a diethylene glycol, a propylene glycol alkyl ether acetate, an alkylene glycol alkyl ether ester, an alkylene glycol monoalkyl ester or the like.

Spezifische Beispiele der Lösemittel enthalten Aceton, Methanol, Ethanol, Toluol, Xylol, 4-Hydroxy-4-methyl-2-pentanon, Tetrahydrofuran, Methylethylketon, Cyclohexanon, Methylisoamylketon, 2-Heptanon, Ethylenglycol, Ethylenglycolmonoacetat, Ethylenglycoldimethylether, Ethylenglycolmethylethylether, Ethylenglycolmonoethylether, Methylcellosolveacetat, Ethylcellosolveacetat, Diethylenglycol, Diethylenglycolmonoacetat, Diethylenglycolmonomethylether, Diethylenglycoldiethylether, Diethylenglycoldimethylether, Diethylenglycolethylmethylether, Diethylenglycolmonoethylether, Diethylenglycolmonobutylether, Ethyl 2-hydroxypropionat, Methyl 2-hydroxy-2-methylpropionat, Ethyl 2-hydroxy-2-methylpropionat, Ethylethoxyacetat, Ethylhydroxyacetat, Methyl 2-hydroxy-2-methylbutanat, Methyl 3-methoxypropionat, Ethyl 3-methoxypropionat, Methyl 3-ethoxypropionat, Ethyl 3-ethoxypropionat, Ethylacetat, Butylacetat, Methyllactat und Ethyllactat, Propylenglycol, Propylenglycolmonoacetat, Propylenglycolmonoethyletheracetat, Propylenglycolmonomethyletheracetat, Propylenglycolmonopropylmethyletheracetat, Propylenglycolmonobutyletheracetat, Propylenglycolmonobutyletheracetat, Propylenglycolmonomethyletherpropionat, Propylenglycolmonoethyletherpropionat, Propylenglycolmethyletheracetat, Propylenglycolethyletheracetat, Ethylenglycolmonomethyletheracetat, Ethylenglycolmonoethyletheracetat, Propylenglycolmonomethylether, Propylenglycolmonoethylether, Propylenglycolmonopropylether, Propylenglycolmonobutylether, Ethylenglycolmonomethylether, Ethylenglycolmonoethylether, Propyllactat, Butyllactat, Ethyl 3-ethoxypropionat, Methyl 3-methoxypropionat, Methyl 3-ethoxypropionat und Ethyl 3-methoxypropionat, β-Propiolacton, β-Butyrolacton, γ-Butyrolacton, α-Methyl-γ-butyrolacton, β-Methyl-γ-butyrolacton, γ-Valerolacton, γ-Caprolacton, γ-Octansäurelacton, α-Hydroxy-γ-butyrolacton, 2-Butanon, 3-methylbutanon, Pinacolon, 2-Pentanon, 3-Pentanon, 4-Methyl-2-pentanon, 2-Methyl-3-pentanon, 4,4-Dimethyl-2-pentanon, 2,4-Dimethyl-3-pentanon, 2,2,4,4-Tetramethyl-3-pentanon, 2-Hexanon, 3-Hexanon, 5-Methyl-3-hexanon, 2-Heptanon, 3-Heptanon, 4-Heptanon, 2-Methyl-3-heptanon, 5-Methyl-3-heptanon, 2,6-Dimethyl-4-heptanon, 2-Octanon, 3-Octanon, 2-Nonanon, 3-Nonanon, 5-Nonanon, 2-Decanon, 3-Decanon, 4-Decanon, 5-Hexen-2-on, 3-Penten-2-on, Cyclopentanon, 2-Methylcyclopentanon, 3-Methylcyclopentanon, 2,2-Dimethylcyclopentanon, 2,4,4-Trimethylcyclopentanon, Cyclohexanon, 3-Methylcyclohexanon, 4-Methylcyclohexanon, 4-Ethylcyclohexanon, 2,2-Dimethylcyclohexanon, 2,6-Dimethylcyclohexanon, 2,2,6-Trimethylcyclohexanon, Cycloheptanon, 2-Methylcycloheptanon, 3-Methylcycloheptanon, Propylencarbonat, Vinylencarbonat, Ethylencarbonat und Butylencarbonat, Acetat-2-methoxyethyl, Acetat-2-ethoxyethyl, Acetat-2-(2-ethoxyethoxy)ethyl, Acetat-3-methoxy-3-methylbutyl, Acetat-1-methoxy-2-propyl, Dipropylenglycol, Monomethylether, Monoethylether, Monopropylether, Monobutylether, Monophenylether, Dipropylenglycolmonoacetat, Dioxan, Ethyllactat, Methylacetat, Ethylacetat, Butylacetat, Methylpyruvat, Ethylpyruvat, Propylpyruvat, Methylmethoxypropionat, Ethylethoxypropionat, n-Methylpyrrolidon (NMP), 2-Methoxyethylether (Diglym), Ethylenglycolmonomethylether, Propylenglycolmonomethylether; Methylproponiat, Ethylproponiat und Ethylethoxyproponiat, Methylethylketon, Cyclohexanon, 2-Heptanon, Carbondioxid, Cyclopentanon, Cyclohexanon, Ethyl 3-ethoxypropionat, Propylenglycolmethyletheracetat (PGMEA), Methylencellosolve, Butylacetat und 2-Ethoxyethanol, N-Methylformamid, N,N-Dimethylformamid, N-Methylformanilid, N-Methylacetamid, N,N-Dimethylacetamid, N-Methylpyrrolidon, Dimethylsulfoxid, Benzylethylether, Dihexylether, Acetonylaceton, Isophoron, Capronsäure, Caprylsäure, 1-Octanol, 1-Nonanol, Benzylalkohol, Benzylacetat, Ethylbenzoat, Diethyloxalat, Diethylmaleat, γ-Butyrolacton, Phenylcellosolveacetat oder dergleichen.Specific examples of the solvents include acetone, methanol, ethanol, toluene, xylene, 4-hydroxy-4-methyl-2-pentanone, tetrahydrofuran, methyl ethyl ketone, cyclohexanone, methyl isoamyl ketone, 2-heptanone, ethylene glycol, ethylene glycol monoacetate, ethylene glycol dimethyl ether, ethylene glycol methyl ethyl ether, ethylene glycol monoethyl ether, methyl cellosolve acetate , Ethyl cellosolve acetate, Diethylene glycol, Diethylene glycol monoacetate, Diethylene glycol monomethyl ether, Diethylene glycol diethyl ether, Diethylene glycol dimethyl ether, Diethylene glycol ethyl methyl ether, Diethylene glycol monoethyl ether, Diethylene glycol monobutyl ether, Ethyl 2-hydroxypropionate, Methyl 2-hydroxy-2-methylpropionate, Ethyl 2-hydroxy-2-methylpropionate, Ethyl ethoxy acetate, Ethyl hydroxyacetate, Methyl 2- hydroxy -2-methylbutanate, methyl 3-methoxypropionate, ethyl 3-methoxypropionate, methyl 3-ethoxypropionate, ethyl 3-ethoxypropionate, ethyl acetate, butyl acetate, methyl lactate and ethyl lactate, propylene glycol, propylene glycol monoacetate, propylene glycol monoethyl ether acetate, propylene glycol monomethyl ether acetate, propylene glycol monopropyl methyl ether acetate, propylene glycol monobutyl ether acetate, propylene glycol monobutyl ether acetate, propylene glycol monomethyl ether propionate, propylene glycol monoethyl ether propionate , propylene glycol methyl ether acetate, propylene glycol ethyl ether acetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl ether acetate, propylene glycol monomethyl ether, propylene glycol monoethyl ether, propylene glycol monopropyl ether, propylene glycol monobutyl ether, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, propyl lactate, butyl lactate, ethyl 3-ethoxypropionate, methyl 3-methoxypropionate, methyl 3-ethoxypropionate and ethyl 3-methoxy propionate, β-propiolactone, β -Butyrolactone, γ-butyrolactone, α-methyl-γ-butyrolactone, β-methyl-γ-butyrolactone, γ-valerolactone, γ-caprolactone, γ-octanoic acid lactone, α-hydroxy-γ-butyrolactone, 2-butanone, 3-methylbutanone , pinacolone, 2-pentanone, 3-pentanone, 4-methyl-2-pentanone, 2-methyl-3-pentanone, 4,4-dimethyl-2-pentanone, 2,4-dimethyl-3-pentanone, 2,2 ,4,4-Tetramethyl-3-pentanone, 2-hexanone, 3-hexanone, 5-methyl-3-hexanone, 2-heptanone, 3-heptanone, 4-heptanone, 2-methyl-3-heptanone, 5-methyl -3-heptanone, 2,6-dimethyl-4-heptanone, 2-octanone, 3-octanone, 2-nonanone, 3-nonanone, 5-nonanone, 2-decanone, 3-decanone, 4-decanone, 5-hexene -2-one, 3-penten-2-one, cyclopentanone, 2-methylcyclopentanone, 3-methylcyclopentanone, 2,2-dimethylcyclopentanone, 2,4,4-trimethylcyclopentanone, cyclohexanone, 3-methylcyclohexanone, 4-methylcyclohexanone, 4-ethylcyclohexanone , 2,2-dimethylcyclohexanone, 2,6-dimethylcyclohexanone, 2,2,6-trimethylcyclohexanone, cycloheptanone, 2-methylcycloheptanone, 3-methylcycloheptanone, propylene carbonate, vinylene carbonate, ethylene carbonate and butylene carbonate, acetate-2-methoxyethyl, acetate-2-ethoxyethyl , Acetate-2-(2-ethoxyethoxy)ethyl, Acetate-3-methoxy-3-methylbutyl, Acetate-1-methoxy-2-propyl, Dipropylene Glycol, Monomethyl Ether, Monoethyl Ether, Monopropyl Ether, Monobutyl Ether, Monophenyl Ether, Dipropylene Glycol Monoacetate, Dioxane, Ethyl Lactate, methyl acetate, ethyl acetate, butyl acetate, methyl pyruvate, ethyl pyruvate, propyl pyruvate, methyl methoxypropionate, ethyl ethoxypropionate, n-methylpyrrolidone (NMP), 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether; Methyl Proponiate, Ethyl Proponiate and Ethyl Ethoxyproponiate, Methyl Ethyl Ketone, Cyclohexanone, 2-Heptanone, Carbon Dioxide, Cyclopentanone, Cyclohexanone, Ethyl 3-Ethoxypropionate, Propylene Glycol Methyl Ether Acetate (PGMEA), Methylene Cellosolve, Butyl Acetate and 2-Ethoxyethanol, N-Methylformamide, N,N-dimethylformamide, N- Methylformanilide, N-Methylacetamide, N,N-dimethylacetamide, N-methylpyrrolidone, dimethyl sulfoxide, benzyl ethyl ether, dihexyl ether, acetonylacetone, isophorone, caproic acid, caprylic acid, 1-octanol, 1-nonanol, benzyl alcohol, benzyl acetate, ethyl benzoate, diethyl oxalate, diethyl maleate, γ- butyrolactone, phenylcellosolve acetate or the like.

Ein Durchschnittsfachmann auf dem Gebiet wird erkennen, dass die oben stehende Beschreibung für die verschiedenen Verbindungen (Monomer, Säure/Basenbildner, Lösemittel usw.), die für das Haftschichtgemisch verwendet werden können, veranschaulichend sein soll und die Ausführungsformen in keiner Weise einschränken soll. Vielmehr kann jede geeignete Verbindung oder Kombination von Verbindungen, die die gewünschten, hier beschriebenen Funktionen ausführen, ebenso verwendet werden. Alle solche Verbindungen sollen vollständig im Umfang der Ausführungsformen enthalten sein.One of ordinary skill in the art will recognize that the above description is intended to be illustrative of the various compounds (monomer, acid/base former, solvent, etc.) that can be used for the adhesive layer mixture and is not intended to limit the embodiments in any way. Rather, any suitable compound or combination of compounds that perform the desired functions described herein may also be used. All such connections are intended to be fully included within the scope of the embodiments.

In manchen Ausführungsformen ist eine Dicke der Haftschicht 32 in einem Bereich von etwa 2 nm bis etwa 50 nm und ist in anderen Ausführungsformen in einem Bereich von etwa 5 nm bis etwa 25 nm. Wenn die Dicke kleiner als diese Bereiche ist, kann keine ausreichende Hafteigenschaft erhalten werden, und wenn die Dicke größer als diese Bereiche ist, kann Strukturgenauigkeit nach dem Ätzen der Haftschicht verschlechtert sein.In some embodiments, a thickness of the adhesive layer 32 is in a range from about 2 nm to about 50 nm, and in other embodiments is in a range from about 5 nm to about 25 nm. If the thickness is smaller than these ranges, sufficient adhesive property may not be obtained can be obtained, and if the thickness is larger than these ranges, pattern accuracy may be deteriorated after etching the adhesion layer.

In manchen Ausführungsformen wird, nachdem das Haftschichtgemisch über der Hartmaskenschicht 30 aufgetragen wurde, ein Polymerisationsprozess zum Polymerisieren der Monomere in dem Gemisch durchgeführt. In manchen Ausführungsformen wird der Maskenrohling, auf den das Haftschichtgemisch aufgetragen ist, einem thermischen Arbeitsschritt, z.B. Backen, unterzogen. In manchen Ausführungsformen umfasst der Backarbeitsschritt Platzieren des Maskenrohlings auf einer heißen Platte. In anderen Ausführungsformen umfasst der thermische Arbeitsschritt ein Infrarottempern (IR-Tempern) unter Verwendung einer IR-Lampe mit einer Wellenlänge in einem Bereich von etwa 800 bis 1200 nm. Die Back- oder Tempertemperatur ist in manchen Ausführungsformen in einem Bereich von etwa 90 °C bis etwa 300 °C. In manchen Ausführungsformen ist die Back- oder Temperdauer in einem Bereich von etwa 30 sec bis etwa 3000 sec. Während des thermischen Arbeitsschritts erzeugt die angewendete Wärme Säuren oder Basen aus dem Vernetzungsmittel, die die Polymerisation in manchen Ausführungsformen einleiten und/oder verstärken.In some embodiments, after the bond coat mixture is applied over the hard mask layer 30, a polymerization process is performed to polymerize the monomers in the mixture. In some embodiments, the mask blank to which the bond coat mixture is applied is subjected to a thermal operation, such as baking. In some embodiments, the baking step includes placing the mask blank on a hot plate. In other embodiments, the thermal operation includes an infrared (IR) anneal using an IR lamp with a wavelength in a range of about 800 to 1200 nm. The baking or annealing temperature is in a range of about 90°C in some embodiments up to about 300 °C. In some embodiments, the baking or annealing time is in a range from about 30 seconds to about 3000 seconds. During the thermal processing step, the applied heat generates acids or bases from the crosslinking agent, which in some embodiments initiate and/or enhance polymerization.

In manchen Ausführungsformen wird die Polymerisation durch Anwenden von UV- oder DUV-Licht durchgeführt. In manchen Ausführungsformen hat das UV-Licht eine Spitzenwellenlänge in einem Bereich von etwa 100 nm bis etwa 800 nm. In manchen Ausführungsformen wird eine Niederdruck-Hg-Lampe als UV-Lichtquelle (für etwa 150 nm bis etwa 400 nm Spitzen) verwendet. In manchen Ausführungsformen ist die UV-Polymerisationsdauer in einem Bereich von etwa 30 sec bis etwa 3000 sec.In some embodiments, the polymerization is performed by applying UV or DUV light. In some embodiments, the UV light has a peak wavelength in a range from about 100 nm to about 800 nm. In some embodiments, a low-pressure Hg lamp is used as the UV light source (for about 150 nm to about 400 nm peaks). In some embodiments, the UV polymerization time is in a range from about 30 seconds to about 3000 seconds.

Nach der Polymerisation des Haftschichtgemisches zur Bildung der Haftschicht 32 wird die erste Fotolackschicht 35 auf der Haftschicht 32 gebildet.After the adhesive layer mixture is polymerized to form the adhesive layer 32, the first photoresist layer 35 is formed on the adhesive layer 32. FIG.

In manchen Ausführungsformen enthält die Haftschicht 32 Kohlenstoffnanopartikel, die in einem Polymer angeordnet sind. In manchen Ausführungsformen reicht der Durchmesser der Nanopartikel von etwa 1 nm bis etwa 10 nm. In manchen Ausführungsformen enthält die Haftschicht 32 mehr als 90 Atom% Kohlenstoff. In manchen Ausführungsformen ist die Haftschicht 32 aus einer oder mehreren Schichten (z.B. 2-10 Schichten) Graphen hergestellt. In manchen Ausführungsformen ist die Haftschicht 32 aus amorphem Kohlenstoff mit einer Dicke von etwa 1 nm bis etwa 10 nm hergestellt. In manchen Ausführungsformen werden zusätzlich eine oder mehrere Graphenschichten und/oder eine amorphe Kohlenstoffschicht über der Polymer-basierten Haftschicht gebildet, wie oben beschrieben.In some embodiments, the adhesion layer 32 contains carbon nanoparticles arranged in a polymer. In some embodiments, the diameter of the nanoparticles ranges from about 1 nm to about 10 nm. In some embodiments, the adhesion layer 32 contains greater than 90 atomic percent carbon. In some embodiments, adhesion layer 32 is made of one or more layers (e.g., 2-10 layers) of graphene. In some embodiments, the adhesion layer 32 is made of amorphous carbon with a thickness of about 1 nm to about 10 nm. In some embodiments, one or more graphene layers and/or an amorphous carbon layer are additionally formed over the polymer-based adhesion layer, as described above.

In manchen Ausführungsformen ist der Oberflächenteil der Hartmaskenschicht 30 zu einer kohlenstoffreichen Schicht als die Haftschicht 32 umgewandelt. In manchen Ausführungsformen wird Kohlenstoff in den Oberflächenteil der Hartmaskenschicht 30 in einer Tiefe implantiert, die von etwa 5 nm bis etwa 20 nm reicht. In manchen Ausführungsformen enthält die Haftschicht 32 Ta, Cr, Ru und/oder Si (z.B. Materialien der Hartmaskenschicht) und Kohlenstoff mit einer höheren Kohlenstoffkonzentration als der übrige Teil der Hartmaskenschicht 30. In manchen Ausführungsformen wird Kohlenstoff unter Verwendung von Plasma eingebracht, das aus einem kohlenstoffhaltigen Gas erzeugt wird. In manchen Ausführungsformen diffundiert Kohlenstoff in den Oberflächenteil der Hartmaskenschicht 30 zu einer Tiefe, die von etwa 2 nm bis etwa 10 nm reicht.In some embodiments, the surface portion of the hard mask layer 30 is converted to a carbon rich layer as the adhesion layer 32 . In some embodiments, carbon is implanted into the surface portion of hard mask layer 30 to a depth ranging from about 5 nm to about 20 nm. In some embodiments, adhesion layer 32 includes Ta, Cr, Ru, and/or Si (e.g., hard mask layer materials) and carbon with a higher carbon concentration than the remainder of hard mask layer 30. In some embodiments, carbon is deposited using plasma generated from a carbonaceous gas is generated. In some embodiments, carbon diffuses into the surface portion of hard mask layer 30 to a depth ranging from about 2 nm to about 10 nm.

Nachdem die Haftschicht 32 und die erste Fotolackschicht 35 gebildet wurden, wird die erste Fotolackschicht 35 selektiv aktinischer Strahlung EB ausgesetzt, wie in 2B gezeigt. Bevor die erste Fotolackschicht 35 gebildet wird, wird der EUV-Fotomaskenrohling in manchen Ausführungsformen überprüft. Die selektiv belichtete erste Fotolackschicht 35 wird entwickelt, um eine Struktur 40 in der ersten Fotolackschicht 35 zu bilden, wie in 2C gezeigt. In manchen Ausführungsformen ist die aktinische Strahlung EB ein Elektronenstrahl oder ein Ionenstrahl. In manchen Ausführungsformen entspricht die Struktur 40 einer Schaltungsstruktur von Strukturelementen einer Halbleitervorrichtung, zu deren Bildung die EUV-Fotomaske in anschließenden Arbeitsschritten verwendet wird. In manchen Ausführungsformen ist die Dicke der ersten Fotolackschicht 35 auf der Haftschicht 32 in einem Bereich von etwa 100 nm bis etwa 500 nm. In manchen Ausführungsformen, wie in 2C gezeigt, erstreckt sich keine Struktur zu der Haftschicht 32. In manchen Ausführungsformen, wenn die Haftschicht 32 ein polymeres Material enthält, wurde die Haftschicht 32 vor der E-Strahlanwendung teilweise oder vollständig polymerisiert. In anderen Ausführungsformen wurde die Haftschicht 32 nicht vollständig polymerisiert und die Anwendung des E-Strahls bewirkt eine volle Polymerisation der Haftschicht.After the adhesion layer 32 and the first photoresist layer 35 have been formed, the first photoresist layer 35 is selectively exposed to actinic radiation EB, as in FIG 2 B shown. Before the first photoresist layer 35 is formed, the EUV photomask blank is inspected in some embodiments. The selectively exposed first photoresist layer 35 is developed to form a pattern 40 in the first photoresist layer 35 as shown in FIG 2C shown. In some embodiments, the actinic radiation EB is an electron beam or an ion beam. In some embodiments, the pattern 40 corresponds to a circuit pattern of features of a semiconductor device that the EUV photomask is used to form in subsequent processing steps. In some embodiments, the thickness of the first photoresist layer 35 on the adhesion layer 32 is in a range from about 100 nm to about 500 nm. In some embodiments, such as in 2C 1, no structure extends to the adhesive layer 32. In some embodiments, when the adhesive layer 32 includes a polymeric material, the adhesive layer 32 has been partially or fully polymerized prior to e-beam application. In other embodiments, the adhesive layer 32 has not been fully polymerized and application of the e-beam causes the adhesive layer to fully polymerize.

Anschließend wird die Struktur 40 in der ersten Fotolackschicht 35 in die Haftschicht 32 und die Hartmaskenschicht 30 verlängert, wodurch eine Struktur 41 in der Hartmaskenschicht 30 gebildet wird, die Teile der Absorptionsschicht 25 (oder der antireflektierenden Schicht 27) freilegt, wie in 2D gezeigt. In manchen Ausführungsformen umfasst der Ätzprozess mindestens zwei Ätzschritte, enthaltend eine erste Ätzung zum Ätzen der Haftschicht 32 und eine zweite Ätzung zum Ätzen der Hartmaskenschicht 30 unter Verwendung verschiedener Ätzgase. In anderen Ausführungsformen ätzt ein Ätzprozess unter Verwendung desselben Ätzgases sowohl die Haftschicht 32 als auch die Hartmaskenschicht 30.Subsequently, the structure 40 in the first photoresist layer 35 is extended into the adhesion layer 32 and the hard mask layer 30, thereby forming a structure 41 in the hard mask layer 30 which includes parts of the absorption layer 25 (or the anti-reflective layer 27) exposed, as in 2D shown. In some embodiments, the etch process includes at least two etch steps, including a first etch to etch the adhesion layer 32 and a second etch to etch the hard mask layer 30 using different etch gases. In other embodiments, an etch process etches both adhesion layer 32 and hard mask layer 30 using the same etchant gas.

In manchen Ausführungsformen ist der Ätzprozess ein Plasmatrockenätzarbeitsschritt unter Verwendung eines chlorhaltigen Gases (z.B. Cl2, HCl, BCl und CCl4) und eines sauerstoffhaltigen Gases (z.B. O2), um die Hartmaskenschicht 30 zu strukturieren. In manchen Ausführungsformen verwendet ein Plasmatrockenätzarbeitsschritt ein fluorhaltiges Gas (z.B. einen Fluorkohlenstoff (CF4, CHF3 usw.) und SF6) zum Strukturieren der Hartmaskenschicht 30.In some embodiments, the etch process is a plasma dry etch operation using a chlorine-containing gas (eg, Cl 2 , HCl, BCl, and CCl 4 ) and an oxygen-containing gas (eg, O 2 ) to pattern the hard mask layer 30 . In some embodiments, a plasma dry etch operation uses a fluorine-containing gas (e.g., a fluorocarbon (CF 4 , CHF 3 , etc.) and SF 6 ) to pattern hard mask layer 30 .

In manchen Ausführungsformen ist während des Ätzprozesses der Hartmaskenschicht 30 eine Ätzrate R1 der Fotolackschicht 35 gleich oder kleiner als eine Ätzrate R2 der Haftschicht 32, sodass die Haftschicht 32 als eine Opferschicht dient. In manchen Ausführungsformen ist etwa 1 ≤ R2/R1 ≤ etwa 10 erfüllt. In anderen Ausführungsformen ist etwa 2 ≤ R2/R1 ≤ etwa 8 erfüllt. In anderen Ausführungsformen ist R1 kleiner als R2, um die Strukturübertragungsgenauigkeit zu verbessern, und etwa 1 < R1/R2 ≤ etwa 10 ist erfüllt. In anderen Ausführungsformen ist etwa 2 ≤ R1/R2 ≤ etwa 8 erfüllt. Die Ätzselektivität kann durch Einstellen einer/eines oder mehrerer Bedingungen/Parameter des Ätzens kontrolliert werden, wie Ätzgaschemie, Eingangsleistung oder Substrattemperatur.In some embodiments, during the etch process of hard mask layer 30, an etch rate R1 of photoresist layer 35 is equal to or less than an etch rate R2 of adhesion layer 32, such that adhesion layer 32 serves as a sacrificial layer. In some embodiments, about 1≦R2/R1≦about 10 is satisfied. In other embodiments, about 2≦R2/R1≦about 8 is satisfied. In other embodiments, R1 is smaller than R2 to improve pattern transfer accuracy, and about 1<R1/R2≦about 10 is satisfied. In other embodiments, about 2≦R1/R2≦about 8 is satisfied. The etch selectivity can be controlled by adjusting one or more conditions/parameters of the etch, such as etch gas chemistry, input power, or substrate temperature.

Nachdem die Struktur 41 in der Hartmaskenschicht 30 gebildet wurde, werden die erste Fotolackschicht 35 und die Haftschicht 32 entfernt. In manchen Ausführungsformen wird die Haftschicht 32 gemeinsam mit der Fotolackschicht 35 unter Verwendung eines Fotolackabstreifers, wie eines Gemisches aus entionisiertem Wasser, Ammoniak und Wasserstoffperoxid; eines Gemisches aus entionisiertem Wasser, Salzsäure und Wasserstoffperoxid; eines Gemisches aus entionisiertem Wasser, Schwefelperoxid und Wasserstoffperoxid, organischer Lösemittel (z.B. PGEE oder PGMEA) entfernt. In manchen Ausführungsformen wird ein Plasmaveraschungsarbeitsschritt unter Verwendung eines sauerstoffhaltigen Gases (O2, O3, CO, CO2 und/oder H2O) oder eines Gases, das N2, H2, NH3 und/oder N2H4 (reduzierende Plasmachemie) beinhaltet, verwendet. In manchen Ausführungsformen, wenn die Haftschicht 32 der kohlenstoffreiche Oberflächenteil der Hartmaske 30 ist, wird die Haftschicht 32 in dieser Stufe nicht entfernt.After the structure 41 has been formed in the hard mask layer 30, the first photoresist layer 35 and the adhesion layer 32 are removed. In some embodiments, adhesion layer 32 is attached along with photoresist layer 35 using a photoresist stripper, such as a mixture of deionized water, ammonia, and hydrogen peroxide; a mixture of deionized water, hydrochloric acid and hydrogen peroxide; a mixture of deionized water, sulfur peroxide and hydrogen peroxide, organic solvents (e.g. PGEE or PGMEA). In some embodiments, a plasma ashing operation is performed using an oxygen-containing gas (O 2 , O 3 , CO, CO 2 and/or H 2 O) or a gas containing N 2 , H 2 , NH 3 and/or N 2 H 4 ( reducing plasma chemistry) includes used. In some embodiments, when the adhesion layer 32 is the carbon-rich surface portion of the hard mask 30, the adhesion layer 32 is not removed at this stage.

Dann wird die Struktur 41 in der Hartmaskenschicht 30 in die Absorptionsschicht 25 (und die antireflektierende Schicht 27) verlängert, wodurch eine Struktur 42 in der Absorptionsschicht 25 (und der antireflektierenden Schicht 27) gebildet wird, die Teile der Abdeckschicht 25 freilegt, wie in 3A gezeigt. Die antireflektierende Schicht 27 und die Absorptionsschicht 25 werden unter Verwendung eines geeigneten Nass- oder Trockenätzmittels geätzt, das für die Hartmaskenschicht 30 selektiv ist. In manchen Ausführungsformen wird ein Plasmatrockenätzarbeitsschritt, der ein chlorhaltiges Gas (z.B. Cl2, HCl, BCl und CCl4) und ein sauerstoffhaltiges Gas (z.B. O2) verwendet, zum Strukturieren der Absorptionsschicht 25 verwendet.Then the structure 41 in the hard mask layer 30 is extended into the absorption layer 25 (and the anti-reflective layer 27), whereby a structure 42 is formed in the absorption layer 25 (and the anti-reflective layer 27) which exposes parts of the cover layer 25, as in 3A shown. The anti-reflective layer 27 and the absorption layer 25 are etched using a suitable wet or dry etchant that is selective for the hard mask layer 30 . In some embodiments, a plasma dry etch operation using a chlorine-containing gas (eg, Cl 2 , HCl, BCl, and CCl 4 ) and an oxygen-containing gas (eg, O 2 ) is used to pattern absorption layer 25 .

Dann wird die Hartmaskenschicht 30 unter Verwendung von Nassätzen und/oder Trockenätzen entfernt, wie in 3B gezeigt. In manchen Ausführungsformen wird ein Plasmatrockenätzarbeitsschritt unter Verwendung eines fluorhaltigen Gases (z.B. ein Fluorkohlenstoff (CF4, CHF3 usw.) und SF6) zum Entfernen der Hartmaskenschicht 30 verwendet.Then the hard mask layer 30 is removed using wet etching and/or dry etching as in FIG 3B shown. In some embodiments, a plasma dry etch operation using a fluorine-containing gas (eg, a fluorocarbon (CF 4 , CHF 3 , etc.) and SF 6 ) is used to remove the hard mask layer 30 .

Weiter, wie in 3C gezeigt, wird eine zweite Fotolackschicht 50 über der Absorptionsschicht 25 gebildet, die die Struktur 42 in der Absorptionsschicht 25 füllt. In manchen Ausführungsformen wird keine Haftschicht aufgetragen, bevor die zweite Fotolackschicht 50 gebildet wird. Die zweite Fotolackschicht 50 wird selektiv mit aktinischer Strahlung wie einem Elektronenstrahl, Ionenstrahl oder UV-Strahlung belichtet. Die selektiv belichtete zweite Fotolackschicht 50 wird entwickelt, um eine Struktur 55 in der zweiten Fotolackschicht 50 zu bilden, wie in 3C gezeigt. Die Struktur 55 entspricht einem schwarzen Rand, der die Schaltungsstrukturen umgibt. Ein schwarzer Rand ist eine Rahmenformfläche, die durch Entfernen aller mehrfachen Schichten auf der EUV-Fotomaske in dem Gebiet um eine Schaltungsstrukturfläche erzeugt wird. Sie wird erzeugt, um Belichtung benachbarter Felder zu verhindern, wenn eine EUV-Fotomaske auf einem Wafer gedruckt wird. Die Breite des schwarzen Rands ist in manchen Ausführungsformen in einem Bereich von etwa 1 mm bis etwa 5 mm.Continue as in 3C As shown, a second photoresist layer 50 is formed over absorber layer 25 filling structure 42 in absorber layer 25 . In some embodiments, no adhesion layer is applied before the second photoresist layer 50 is formed. The second photoresist layer 50 is selectively exposed to actinic radiation such as an electron beam, ion beam, or UV radiation. The selectively exposed second photoresist layer 50 is developed to form a pattern 55 in the second photoresist layer 50 as shown in FIG 3C shown. The structure 55 corresponds to a black border surrounding the circuit structures. A black border is a frame shape area created by removing all multiple layers on the EUV photomask in the area around a circuit pattern area. It is created to prevent exposure of adjacent fields when printing an EUV photomask on a wafer. The width of the black border is in a range from about 1 mm to about 5 mm in some embodiments.

Anschließend wird die Struktur 55 in der zweiten Fotolackschicht 50 in die antireflektierende Schicht 27, falls verwendet, die Absorptionsschicht 25, die Abdeckschicht 20 und die Mo/Si-Mehrfachschicht 15 verlängert, wodurch eine Struktur 57 in der antireflektierenden Schicht 27, der Absorptionsschicht 25, der Abdeckschicht 20 und der Mo/Si-Mehrfachschicht 15 gebildet wird, die Teile des Substrats 10 freilegt, wie in 3D gezeigt. Die Struktur 57 wird durch Ätzen gebildet, in manchen Ausführungsformen unter Verwendung eines oder mehrerer geeigneter Nass- oder Trockenätzmittel, die für jede der geätzten Schichten selektiv sind. In manchen Ausführungsformen wird Plasmatrockenätzen verwendet.Subsequently, the pattern 55 in the second photoresist layer 50 is extended into the anti-reflective layer 27, if used, the absorption layer 25, the capping layer 20 and the Mo/Si multilayer 15, creating a pattern 57 in the anti-reflective layer 27, the absorption layer 25, the cap layer 20 and the Mo/Si multilayer 15 exposing parts of the substrate 10, as in FIG 3D shown. Structure 57 is formed by etching, in some embodiments using one or more suitable wet or dry etchants specific to each of the etched layers are selective. In some embodiments, plasma dry etching is used.

Dann wird die zweite Fotolackschicht 50 durch einen geeigneten Fotolackabstreifer entfernt, um die obere Oberfläche der Oxidschicht 27 freizulegen, wie in 3E gezeigt. Die schwarze Randstruktur 57 in der antireflektierenden Schicht 27, der Absorptionsschicht 25, der Abdeckschicht 20 und der Mo/Si-Mehrfachschicht 15 definiert in manchen Ausführungsformen der Offenbarung einen schwarzen Rand der Fotomaske. Weiter wird die Fotomaske einem Reinigungsarbeitsschritt, einer Überprüfung unterzogen und die Fotomaske wird bei Bedarf repariert, um eine fertige Fotomaske bereitzustellen.Then the second photoresist layer 50 is removed by a suitable photoresist stripper to expose the upper surface of the oxide layer 27 as in FIG 3E shown. The black border structure 57 in the anti-reflective layer 27, the absorption layer 25, the capping layer 20 and the Mo/Si multilayer 15 defines a black border of the photomask in some embodiments of the disclosure. Further, the photomask is subjected to a cleaning operation, inspection, and the photomask is repaired as necessary to provide a finished photomask.

4A-4F veranschaulichen schematisch ein anschließendes Verfahren zum Fertigen einer EUV-Fotomaske zur Verwendung in extremer Ultraviolettlithographie (EUVL) und 4G ist ein Ablaufdiagramm dafür gemäß Ausführungsformen der vorliegenden Offenbarung. Es ist klar, dass zusätzliche Arbeitsschritte vor, während und nach den Prozessen bereitgestellt sein können, die in 4A-4G gezeigt sind, und manche der unten beschriebenen Arbeitsschritte für zusätzliche Ausführungsformen des Verfahrens ersetzt und/oder eliminiert werden können. Die Reihenfolge der Arbeitsschritte/Prozesse kann austauschbar sein. Materialien, Prozesse, Konfigurationen und/oder Dimensionen, wie oben erklärt, können bei den folgenden Ausführungsformen angewendet werden und auf eine ausführliche Erklärung kann verzichtet werden. 4A-4F schematically illustrate a subsequent method for fabricating an EUV photomask for use in extreme ultraviolet lithography (EUVL) and 4G 12 is a flowchart therefor according to embodiments of the present disclosure. It is clear that additional work steps can be provided before, during and after the processes included in 4A-4G are shown, and some of the operations described below may be substituted and/or eliminated for additional embodiments of the method. The order of the work steps/processes can be interchangeable. Materials, processes, configurations, and/or dimensions explained above may be applied to the following embodiments, and a detailed explanation may be omitted.

In manchen Ausführungsformen wird eine mittlere Schicht 34 zwischen der Haftschicht 32 und der Hartmaskenschicht 30 gebildet, wie in 4A gezeigt. In manchen Ausführungsformen ist die mittlere Schicht 34 eine siliziumhaltige Schicht. In manchen Ausführungsformen ist die mittlere Schicht 34 eine oder mehrere Schichten aus Siliziumoxid, Siliziumnitrid, SiON, SiBN, SiBC, SiBCN, SiC, SiOC, SiOCN oder einer geeigneten anorganischen Siliziumverbindung. In manchen Ausführungsformen ist die mittlere Schicht amorphes oder polykristallines Si, SiGe oder SiC. In manchen Ausführungsformen enthält die Haftschicht 32 eine kohlenstoffreiche Schicht mit einer höheren Kohlenstoffkonzentration als die mittlere Schicht 34.In some embodiments, a middle layer 34 is formed between the adhesion layer 32 and the hard mask layer 30, as shown in FIG 4A shown. In some embodiments, middle layer 34 is a silicon-containing layer. In some embodiments, the middle layer 34 is one or more layers of silicon oxide, silicon nitride, SiON, SiBN, SiBC, SiBCN, SiC, SiOC, SiOCN, or a suitable inorganic silicon compound. In some embodiments, the middle layer is amorphous or polycrystalline Si, SiGe, or SiC. In some embodiments, adhesion layer 32 includes a carbon-rich layer having a higher carbon concentration than middle layer 34.

In manchen Ausführungsformen enthält die mittlere Schicht 34 ein siliziumhaltiges Polymer, wie Polysiloxan. Eine Siliziummenge von Polysiloxan ist in manchen Ausführungsformen etwa 40 Gew% bis etwa 70 Gew%. Im Gegensatz dazu enthält die mittlere Schicht der vorliegenden Offenbarung Silizium in einer Menge von 50 Gew% oder mehr.In some embodiments, middle layer 34 includes a silicon-containing polymer, such as polysiloxane. A silicon amount of polysiloxane is about 40% to about 70% by weight in some embodiments. In contrast, the middle layer of the present disclosure contains silicon in an amount of 50% by weight or more.

Daher werden eine höhere Ätzselektivität und eine geringere CD-Variation zwischen der mittleren Schicht 34 und einer unteren Schicht 30 erhalten. In manchen Ausführungsformen ist die mittlere Schicht 34 frei von einem Siliziumpolymer, wie Polysiloxan. In anderen Ausführungsformen beinhaltet die mittlere Schicht 34 ein Siliziumpolymer, wie Polysiloxan, und Siliziumpartikel oder -cluster, sodass die Siliziummenge in der mittleren Schicht 34 etwa 40 Gew% bis etwa 70 Gew% ist. In manchen Ausführungsformen ist ein Durchmesser der Siliziumpartikel in einem Bereich von 1 nm bis 20 nm und ist in anderen Ausführungsformen in einem Bereich von etwa 2 nm bis etwa 10 nm. In manchen Ausführungsformen enthält die mittlere Schicht 34 ein Metall oder eine Metalllegierung, das bzw. die EUV-Licht absorbieren kann. In manchen Ausführungsformen enthält die mittlere Schicht ein Übergangsmetall, wie Ta, Pd, Ir, Ni, Ti, Sn, Au oder Legierungen davon. In manchen Ausführungsformen enthält die mittlere Schicht ein oder mehrere Materialien, die für die Absorptionsschicht 25 verwendet werden, wie oben beschrieben. In anderen Ausführungsformen enthält die mittlere Schicht 34 ein anderes Material als die Absorptionsschicht 25. In manchen Ausführungsformen ist das Metall oder die Metalllegierung in der mittleren Schicht in Form von Partikeln mit einem Durchmesser in einem Bereich von 1 nm bis 20 nm oder etwa 2 nm bis etwa 10 nm enthalten. In manchen Ausführungsformen ist die mittlere Schicht 34 ein organisches Polymer, das Siliziumpartikel und/oder Metallpartikel enthält, wie oben beschrieben.Therefore, a higher etch selectivity and a lower CD variation between the middle layer 34 and a lower layer 30 are obtained. In some embodiments, middle layer 34 is free of a silicon polymer, such as polysiloxane. In other embodiments, the middle layer 34 includes a silicon polymer, such as polysiloxane, and silicon particles or clusters, such that the amount of silicon in the middle layer 34 is from about 40% to about 70% by weight. In some embodiments, a diameter of the silicon particles is in a range from 1 nm to 20 nm, and in other embodiments is in a range from about 2 nm to about 10 nm .which can absorb EUV light. In some embodiments, the middle layer contains a transition metal, such as Ta, Pd, Ir, Ni, Ti, Sn, Au, or alloys thereof. In some embodiments, the middle layer includes one or more materials used for the absorbent layer 25, as described above. In other embodiments, the middle layer 34 contains a different material than the absorption layer 25. In some embodiments, the metal or metal alloy in the middle layer is in the form of particles having a diameter in a range from 1 nm to 20 nm or about 2 nm to contain about 10 nm. In some embodiments, the middle layer 34 is an organic polymer containing silicon particles and/or metal particles, as described above.

In manchen Ausführungsformen ist eine Mindestdicke der mittleren Schicht etwa 2 nm, etwa 5 nm oder etwa 10 nm und eine Maximaldicke der mittleren Schicht ist etwa 30 nm, etwa 50 nm, etwa 100 nm, etwa 150 nm oder etwa 200 nm. Die mittlere Schicht 34 wird durch CVD, PVD, ALD oder einen anderen geeigneten Filmbildungsprozess gebildet. In manchen Ausführungsformen ist eine Mindestdicke der Haftschicht etwa 2 nm, etwa 5 nm oder etwa 10 nm und eine Maximaldicke der Haftschicht ist etwa 15 nm, etwa 25 nm oder etwa 50 nm.In some embodiments, a minimum thickness of the middle layer is about 2 nm, about 5 nm, or about 10 nm and a maximum thickness of the middle layer is about 30 nm, about 50 nm, about 100 nm, about 150 nm, or about 200 nm. The middle layer 34 is formed by CVD, PVD, ALD, or other suitable film formation process. In some embodiments, a minimum thickness of the adhesion layer is about 2 nm, about 5 nm, or about 10 nm and a maximum thickness of the adhesion layer is about 15 nm, about 25 nm, or about 50 nm.

Nachdem die Fotolackschicht 35 gebildet wurde, ähnlich 2B und 2C, wird eine Fotolackstruktur 40 gebildet, wie in 4B gezeigt.After the photoresist layer 35 is formed, similarly 2 B and 2C , a photoresist pattern 40 is formed as in FIG 4B shown.

Dann werden die Haftschicht 32 und die mittlere Schicht 34 unter Verwendung der Fotolackschicht 35 als eine Ätzmaske geätzt, wie in 4C gezeigt. Die mittlere Schicht 34 weist eine hohe Ätzselektivität für die Fotolackschicht 35 und die Hartmaskenschicht 30 auf. In manchen Ausführungsformen kann eine siliziumhaltige mittlere Schicht 34 durch Mischen von Gasplasma CF4 und O2 mit einer Ätzrate bis zu etwa 50 nm/s bis etwa 70 nm/s geätzt werden, während die Hartmaskenschicht 30, die zum Beispiel aus CrON hergestellt ist, mit einer Ätzrate bis zu etwa 3 nm/s bis etwa 5 nm/s geätzt werden kann und die Ätzrate der Fotolackschicht ist etwa 22 nm/s bis etwa 24 nm/s. In manchen Ausführungsformen ist die Ätzselektivität der mittleren Schicht 34 für die Hartmaskenschicht 30 in einem Bereich von etwa 60 bis etwa 100, was dazu beitragen kann, ein Ausdünnen der mittleren Schicht zu erleichtern.Then, the adhesion layer 32 and the middle layer 34 are etched using the photoresist layer 35 as an etching mask, as in FIG 4C shown. The middle layer 34 has a high etch selectivity for the photoresist layer 35 and the hard mask layer 30 . In some embodiments, a silicon-containing middle layer 34 can be etched by mixing gas plasma CF 4 and O 2 at an etch rate of up to about 50 nm/s to about 70 nm/s, while the hard mask layer 30, made of CrON, for example, with a Etch rate can be etched up to about 3 nm/s to about 5 nm/s and the etch rate of the photoresist layer is about 22 nm/s to about 24 nm/s. In some embodiments, the etch selectivity of the middle layer 34 to the hard mask layer 30 is in a range from about 60 to about 100, which may help facilitate thinning of the middle layer.

In manchen Ausführungsformen wird die Hartmaskenschicht 30 der Reihe nach und/oder fortlaufend unter Verwendung der Fotolackschicht 35, der Haftschicht 32 und der mittleren Schicht 34 als eine Ätzmaske geätzt, um die Struktur 41 in der Hartmaskenschicht 30 zu bilden, wie in 4C gezeigt. Nachdem die Struktur 41 gebildet wurde, wie in 4C gezeigt, werden die Fotolackschicht 35 und die Haftschicht 32 entfernt, wie in Bezug auf 2E erklärt, wie in 4D gezeigt. In manchen Ausführungsformen wird Plasmatrockenätzen oder Nassätzen verwendet, um die mittlere Schicht 34 zu entfernen. In manchen Ausführungsformen, wenn die mittlere Schicht 34 aus einem Siliziumoxid-basierten Material hergestellt ist, kann eine HF-basierte Lösung verwendet werden, um die mittlere Schicht zu entfernen. In manchen Ausführungsformen, wenn die mittlere Schicht 34 aus einem Siliziumnitridbasierten Material hergestellt ist, kann eine H3PO4-basierte Lösung zum Entfernen der mittleren Schicht verwendet werden. In manchen Ausführungsformen wird ein Mischen von Gasplasma aus Fluorkohlenstoff (z.B. CF4) und O2 zum Entfernen der siliziumhaltigen mittleren Schicht verwendet. Wenn die mittlere Schicht 34 ein organisches Polymer-basiertes Material ist, kann die mittlere Schicht 34 in manchen Ausführungsformen gemeinsam mit der Fotolackschicht 35 und der Haftschicht 32 entfernt werden.In some embodiments, hard mask layer 30 is sequentially and/or sequentially etched using photoresist layer 35, adhesion layer 32, and middle layer 34 as an etch mask to form structure 41 in hard mask layer 30, as shown in FIG 4C shown. After the structure 41 has been formed as in 4C As shown, the photoresist layer 35 and the adhesion layer 32 are removed as in relation to FIG 2E explained as in 4D shown. In some embodiments, plasma dry etching or wet etching is used to remove middle layer 34 . In some embodiments, when the middle layer 34 is made of a silicon oxide-based material, an HF-based solution can be used to remove the middle layer. In some embodiments, when the middle layer 34 is made of a silicon nitride-based material, a H 3 PO 4 -based solution can be used to remove the middle layer. In some embodiments, a fluorocarbon (eg, CF 4 ) and O 2 gas plasma mixing is used to remove the siliceous middle layer. If middle layer 34 is an organic polymer-based material, middle layer 34 may be removed along with photoresist layer 35 and adhesion layer 32 in some embodiments.

In manchen Ausführungsformen stoppt das Ätzen an der Hartmaskenschicht 30 und dann werden die Fotolackschicht 35 und die Haftschicht 32 entfernt. Dann wird die Hartmaskenschicht 30 unter Verwendung der strukturierten mittleren Schicht 34 als eine Ätzmaske strukturiert, wie in 4E gezeigt. Dann wird die mittlere Schicht 34 entfernt.In some embodiments, the etch stops at hard mask layer 30 and then photoresist layer 35 and adhesion layer 32 are removed. Then the hard mask layer 30 is patterned using the patterned middle layer 34 as an etch mask as in FIG 4E shown. Then the middle layer 34 is removed.

Anschließend, wie in 4F gezeigt, wird die Absorptionsschicht 25 (und die antireflektierende Schicht 27) unter Verwendung der strukturierten Hartmaskenschicht 30 als eine Ätzmaske strukturiert. Dann werden die Arbeitsschritte, die in Bezug auf 3B-3E erklärt wurden, durchgeführt.Subsequently, as in 4F As shown, the absorption layer 25 (and the anti-reflective layer 27) is patterned using the patterned hard mask layer 30 as an etch mask. Then the work steps related to 3B-3E were explained, carried out.

5A-5F veranschaulichen schematisch ein sequenzielles Verfahren zum Fertigen einer EUV-Fotomaske zur Verwendung in extremer Ultraviolettlithographie (EUVL) und 5G ist ein Ablaufdiagramm dafür gemäß Ausführungsformen der vorliegenden Offenbarung. Es ist klar, dass zusätzliche Arbeitsschritte vor, während und nach den Prozessen bereitgestellt sein können, die in 5A-5G gezeigt sind, und manche der unten beschriebenen Arbeitsschritte für zusätzliche Ausführungsformen des Verfahrens ersetzt und/oder eliminiert werden können. Die Reihenfolge der Arbeitsschritte/Prozesse kann austauschbar sein. Materialien, Prozesse, Konfigurationen und/oder Dimensionen, wie oben erklärt, können bei den folgenden Ausführungsformen angewendet werden und auf eine ausführliche Erklärung kann verzichtet werden. 5A-5F schematically illustrate a sequential method for fabricating an EUV photomask for use in extreme ultraviolet lithography (EUVL) and 5G 12 is a flowchart therefor according to embodiments of the present disclosure. It is clear that additional work steps can be provided before, during and after the processes included in 5A-5G are shown, and some of the operations described below may be substituted and/or eliminated for additional embodiments of the method. The order of the work steps/processes can be interchangeable. Materials, processes, configurations, and/or dimensions explained above may be applied to the following embodiments, and a detailed explanation may be omitted.

In manchen Ausführungsformen wird die mittlere Schicht 34 zwischen der Haftschicht 32, die auf der Hartmaskenschicht 30 gebildet ist, und der Fotolackschicht 35 gebildet, wie in 5A gezeigt. Die Haftschicht 32 verbessert das Haftvermögen zwischen der mittleren Schicht 34 und der Hartmaskenschicht 30 und verbessert Linienbreite/Kantenrauheit der strukturierten Hartmaskenschicht 30. In manchen Ausführungsformen wird eine Hexamethyldisilazan-Behandlung (HMDS-Behandlung), die sich von der mittleren Schicht unterscheidet, durchgeführt, bevor der Fotolack über der mittleren Schicht 34 aufgetragen wird.In some embodiments, middle layer 34 is formed between adhesion layer 32 formed on hard mask layer 30 and photoresist layer 35, as shown in FIG 5A shown. The adhesion layer 32 improves the adhesion between the middle layer 34 and the hard mask layer 30 and improves linewidth/edge roughness of the patterned hard mask layer 30. In some embodiments, a hexamethyldisilazane treatment (HMDS treatment), which is different from the middle layer, is performed before the photoresist is applied over the middle layer 34 .

In manchen Ausführungsformen ist eine Mindestdicke der mittleren Schicht 34 etwa 2 nm, etwa 5 nm oder etwa 10 nm und ein Maximaldicke der mittleren Schicht ist etwa 30 nm, etwa 50 nm, etwa 100 nm, etwa 150 nm oder etwa 200 nm. In manchen Ausführungsformen ist eine Mindestdicke der Haftschicht etwa 2 nm, etwa 5 nm oder etwa 10 nm und eine Maximaldicke der Haftschicht ist etwa 50 nm, etwa 100 nm, etwa 200 nm, etwa 400 nm oder etwa 800 nm.In some embodiments, a minimum thickness of the middle layer 34 is about 2 nm, about 5 nm, or about 10 nm and a maximum thickness of the middle layer is about 30 nm, about 50 nm, about 100 nm, about 150 nm, or about 200 nm Embodiments, a minimum thickness of the adhesion layer is about 2 nm, about 5 nm or about 10 nm and a maximum thickness of the adhesion layer is about 50 nm, about 100 nm, about 200 nm, about 400 nm or about 800 nm.

Nachdem die Fotolackschicht 35 gebildet wurde, ähnlich 2B, 2C und 4B, wird eine Fotolackstruktur 40 gebildet, wie in 5B gezeigt. Dann werden in manchen Ausführungsformen die mittlere Schicht 34, die Haftschicht 32 und die Hartmaskenschicht 30 unter Verwendung der Fotolackschicht 35 als eine Ätzmaske geätzt (strukturiert), wie in 5C gezeigt. Dann werden die Fotolackschicht 35, die mittlere Schicht 34 und die Haftschicht 32 entfernt und die Absorptionsschicht 25 wird unter Verwendung der strukturierten Hartmaskenschicht 30 als eine Ätzmaske strukturiert, wie in 5F gezeigt.After the photoresist layer 35 is formed, similarly 2 B , 2C and 4B , a photoresist pattern 40 is formed as in FIG 5B shown. Then, in some embodiments, the middle layer 34, the adhesion layer 32, and the hard mask layer 30 are etched (patterned) using the photoresist layer 35 as an etch mask, as shown in FIG 5C shown. Then the photoresist layer 35, the middle layer 34 and the adhesion layer 32 are removed and the absorption layer 25 is patterned using the patterned hard mask layer 30 as an etch mask as in FIG 5F shown.

In anderen Ausführungsformen wird, nachdem die mittlere Schicht 34 strukturiert wurde, die Fotolackschicht 35 entfernt und dann werden die Haftschicht 32 und die Hartmaskenschicht 30 unter Verwendung der mittleren Schicht 34 als eine Ätzmaske strukturiert, wie in 5D gezeigt. Dann werden die mittlere Schicht 34 und die Haftschicht 32 entfernt und die Absorptionsschicht 25 wird unter Verwendung der strukturierten Hartmaskenschicht 30 als eine Ätzmaske strukturiert, wie in 5F gezeigt.In other embodiments, after middle layer 34 has been patterned, photoresist layer 35 is removed and then adhesion layer 32 and hard mask layer 30 are patterned using middle layer 34 as an etch mask, as in FIG 5D shown. Then the middle layer 34 and the adhesion layer 32 are removed and the absorption layer 25 is formed using the patterned hard mask layer 30 structured as an etch mask, as in 5F shown.

In anderen Ausführungsformen wird, nachdem die mittlere Schicht 34 und die Haftschicht 32 strukturiert wurden, die Fotolackschicht 35 entfernt, wie in 5E gezeigt, und dann wird die Hartmaskenschicht 30 unter Verwendung der mittleren Schicht 34 als eine Ätzmaske strukturiert, wie in 5D gezeigt. Dann werden die mittlere Schicht 34 und die Haftschicht 32 entfernt und die Absorptionsschicht 25 wird unter Verwendung der strukturierten Hartmaskenschicht 30 als eine Ätzmaske strukturiert, wie in 5F gezeigt.In other embodiments, after the middle layer 34 and the adhesion layer 32 have been patterned, the photoresist layer 35 is removed, as in FIG 5E shown, and then the hard mask layer 30 is patterned using the middle layer 34 as an etch mask, as in FIG 5D shown. Then the middle layer 34 and the adhesion layer 32 are removed and the absorption layer 25 is patterned using the patterned hard mask layer 30 as an etch mask as in FIG 5F shown.

6A-6F veranschaulichen schematisch ein sequenzielles Verfahren zum Fertigen einer EUV-Fotomaske zur Verwendung in extremer Ultraviolettlithographie (EUVL). Es ist klar, dass zusätzliche Arbeitsschritte vor, während und nach dem Prozess bereitgestellt sein können, der in 6A-6F gezeigt ist, und manche der unten beschriebenen Arbeitsschritte für zusätzliche Ausführungsformen des Verfahrens ersetzt und/oder eliminiert werden können. Die Reihenfolge der Arbeitsschritte/Prozesse kann austauschbar sein. Materialien, Prozesse, Konfigurationen und/oder Dimensionen, wie oben erklärt, können bei den folgenden Ausführungsformen angewendet werden und auf eine ausführliche Erklärung kann verzichtet werden. 6A-6F schematically illustrate a sequential method for fabricating an EUV photomask for use in extreme ultraviolet lithography (EUVL). It is clear that additional work steps can be provided before, during and after the process, which is 6A-6F is shown, and some of the operations described below may be substituted and/or eliminated for additional embodiments of the method. The order of the work steps/processes can be interchangeable. Materials, processes, configurations, and/or dimensions explained above may be applied to the following embodiments, and a detailed explanation may be omitted.

In manchen Ausführungsformen werden zwei Haftschichten 32 bereitgestellt. Eine Haftschicht 32 liegt unter der mittleren Schicht 34 (ähnlich 5A) und die andere liegt über der mittleren Schicht 34 (ähnlich 4A), wie in 6A gezeigt. In manchen Ausführungsformen ist die Dicke der Haftschicht 32 unter der mittleren Schicht 34 kleiner als die Dicke der Haftschicht 32 über der mittleren Schicht 34. In manchen Ausführungsformen ist das Material der Haftschicht 32 unter der mittleren Schicht 34 dasselbe wie das Material der Haftschicht 32 über der mittleren Schicht 34. In anderen Ausführungsformen ist das Material der Haftschicht 32 unter der mittleren Schicht 34 anders als das Material der Haftschicht 32 über der mittleren Schicht 34.In some embodiments, two adhesive layers 32 are provided. An adhesive layer 32 underlies the middle layer 34 (similar to 5A) and the other overlies the middle layer 34 (similar 4A) , as in 6A shown. In some embodiments, the thickness of the adhesive layer 32 under the middle layer 34 is less than the thickness of the adhesive layer 32 over the middle layer 34. In some embodiments, the material of the adhesive layer 32 under the middle layer 34 is the same as the material of the adhesive layer 32 over the middle layer 34. In other embodiments, the material of adhesive layer 32 under middle layer 34 is different than the material of adhesive layer 32 over middle layer 34.

Nachdem die Fotolackschicht 35 gebildet wurde, wird eine Fotolackstruktur 40 gebildet, wie in 6B gezeigt. Dann werden in manchen Ausführungsformen die obere Haftschicht 32, die mittlere Schicht 34, die untere Haftschicht 32 und die Hartmaskenschicht 30 unter Verwendung der Fotolackschicht 35 als eine Ätzmaske geätzt (strukturiert), wie in 6C gezeigt. Dann werden die Fotolackschicht 35, die obere Haftschicht 32, die mittlere Schicht 34 und die untere Haftschicht 32 entfernt und die Absorptionsschicht 25 wird unter Verwendung der strukturierten Hartmaskenschicht 30 als eine Ätzmaske strukturiert, wie in 6F gezeigt.After the photoresist layer 35 has been formed, a photoresist pattern 40 is formed as shown in FIG 6B shown. Then, in some embodiments, the top adhesion layer 32, the middle layer 34, the bottom adhesion layer 32, and the hard mask layer 30 are etched (patterned) using the photoresist layer 35 as an etch mask, as shown in FIG 6C shown. Then the photoresist layer 35, the top adhesion layer 32, the middle layer 34 and the bottom adhesion layer 32 are removed and the absorption layer 25 is patterned using the patterned hard mask layer 30 as an etch mask as in FIG 6F shown.

In anderen Ausführungsformen werden die obere Haftschicht 32 und die mittlere Schicht 34 unter Verwendung der Fotolackschicht 35 als eine Ätzmaske strukturiert, wie in 6D gezeigt, die Fotolackschicht 35 und die obere Haftschicht 32 werden entfernt. Dann werden die untere Haftschicht 32 und die Hartmaskenschicht 30 unter Verwendung der mittleren Schicht 34 als eine Ätzmaske strukturiert, wie in 6E gezeigt. Dann werden die mittlere Schicht 34 und die untere Haftschicht 32 entfernt und die Absorptionsschicht 25 wird unter Verwendung der strukturierten Hartmaskenschicht 30 als eine Ätzmaske strukturiert, wie in 6F gezeigt.In other embodiments, the top adhesion layer 32 and the middle layer 34 are patterned using the photoresist layer 35 as an etch mask, as in FIG 6D shown, the photoresist layer 35 and the top adhesion layer 32 are removed. Then the bottom adhesion layer 32 and the hard mask layer 30 are patterned using the middle layer 34 as an etch mask as in FIG 6E shown. Then the middle layer 34 and the lower adhesion layer 32 are removed and the absorption layer 25 is patterned using the patterned hard mask layer 30 as an etch mask as in FIG 6F shown.

In den vorliegenden Ausführungsformen wird eine Haftschicht unter der Fotolackschicht verwendet, um Haftvermögen zwischen der Hartmaskenschicht und den Fotolackstrukturen zu verbessern, wodurch ein Zusammenfallen und/oder Ablösen der feinen Fotolackstrukturen unterdrückt wird.In the present embodiments, an adhesion layer is used under the photoresist layer to improve adhesion between the hardmask layer and the photoresist patterns, thereby suppressing collapse and/or peeling of the fine photoresist patterns.

Es ist klar, dass nicht unbedingt alle Vorteile hier besprochen wurden, kein bestimmter Vorteil für alle Ausführungsformen oder Beispiele erforderlich ist und andere Ausführungsformen oder Beispiele verschiedene Vorteile bieten können.It is understood that not all advantages have necessarily been discussed herein, no particular advantage is required for all embodiments or examples, and other embodiments or examples may provide different advantages.

Gemäß einem Aspekt der vorliegenden Anmeldung wird in einem Verfahren zur Herstellung einer reflektierenden Maske eine Haftschicht über einem Maskenrohling gebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht, die über dem Substrat angeordnet ist, eine Abdeckschicht, die über der reflektierenden Mehrfachschicht angeordnet ist, eine Absorptionsschicht, die über der Abdeckschicht angeordnet ist, und eine Hartmaskenschicht, die über der Absorptionsschicht angeordnet ist, auf. Eine Fotolackstruktur wird über der Haftschicht gebildet, die Haftschicht wird strukturiert, die Hartmaskenschicht wird strukturiert und die Absorptionsschicht wird unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske strukturiert. Die Fotolackschicht weist ein höheres Haftvermögen an der Haftschicht als an der Hartmaskenschicht auf. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen weist die Haftschicht eine kohlenstoffreiche Schicht mit einer höheren Kohlenstoffkonzentration als mindestens eine der Fotolackschicht oder der Hartmaskenschicht auf. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen ist eine Dicke der Haftschicht in einem Bereich von 2 nm bis 50 nm. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen weist die Haftschicht ein organisches Polymer auf. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält die Haftschicht Kohlenstoff von mehr als 90 Atom%. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält die Haftschicht eine oder mehrere Schichten aus Graphen. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält die Haftschicht einen amorphen Kohlenstoff.In accordance with one aspect of the present application, in a method of making a reflective mask, an adhesion layer is formed over a mask blank. The mask blank has a substrate, a reflective multilayer arranged over the substrate, a cover layer arranged over the reflective multilayer, an absorption layer arranged over the cover layer, and a hard mask layer arranged over the absorption layer . A photoresist pattern is formed over the adhesion layer, the adhesion layer is patterned, the hardmask layer is patterned, and the absorption layer is patterned using the patterned hardmask layer as an etch mask. The photoresist layer has higher adhesion to the adhesion layer than to the hard mask layer. In one or more of the foregoing and following embodiments, the adhesion layer comprises a carbon-rich layer having a higher carbon concentration than at least one of the photoresist layer or the hardmask layer. In one or more of the preceding and following embodiments, a thickness of the adhesive layer is in a range of 2 nm to 50 nm. In one or more of the preceding and following embodiments, the adhesive layer comprises an organic polymer. In one or more of the preceding and following embodiments, the adhesion layer contains carbon in excess of 90 atomic percent. In one or more of the foregoing and following embodiments, the adhesive layer includes one or more layers of graphene. In one or more of the foregoing and following embodiments, the adhesion layer includes an amorphous carbon.

Gemäß einem anderen Aspekt der vorliegenden Offenbarung wird in einem Verfahren zur Herstellung einer reflektierenden Maske eine Haftschicht über einem Maskenrohling ausgebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht, die über dem Substrat angeordnet ist, eine Abdeckschicht, die über der reflektierenden Mehrfachschicht angeordnet ist, eine Absorptionsschicht, die über der Abdeckschicht angeordnet ist, und eine Hartmaskenschicht, die über der Absorptionsschicht angeordnet ist, auf. Eine Fotolackstruktur über der Haftschicht, die Haftschicht wird strukturiert, die Hartmaskenschicht wird strukturiert und die Absorptionsschicht wird unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske strukturiert. Die Haftschicht wird durch Auftragen eines Haftschichtgemisches über der Hartmaskenschicht und Anwenden von Wärme an dem aufgetragenen Haftschichtgemisch gebildet. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält das Haftschichtgemisch ein polymeres Material, ein Vernetzungsmittel, einen Vernetzungsinitiator und Lösemittel. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält das polymere Material ein Kohlenwasserstoffketten-Rückgrat mit mindestens einem vernetzenden Monomer. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält das Kohlenwasserstoffketten-Rückgrat eines oder mehrere von einem Polyacrylat, einem Polyimid oder einem Polyurethan. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält das vernetzende Monomer mindestens eines, das ausgewählt ist aus der Gruppe bestehend aus einer Kohlenwasserstoffkette, die eine Hydroxylgruppe, eine Alkoxylgruppe mit einer Kohlenstoffanzahl kleiner als 6, eine Amingruppe, eine Thiolgruppe, eine Estergruppe, eine Alkengruppe, eine Alkyngruppe, eine Epoxygruppe, eine Aziridingruppe, eine Oxetangruppe, eine Aldehydgruppe, eine Ketongruppe und eine Kohlenstoffsäuregruppe beinhaltet. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält das vernetzende Monomer mindestens eines von einem Homopolymer oder einem Copolymer, das durch Polymerisation von mindestens einem Monomer erhalten wird, das ausgewählt ist aus der Gruppe bestehend aus Styrol, Hydroxystyrol, Hydroxyetheyl(meth)acrylat, Ethyl(meth)acrylat, (Meth)acrylsäure, Poly(Hydroxystyrol-Styrol-Methacrylat), Poly(4-Hydroxystyerol) und Poly(pyromellitischer Dianhydrid-Ethylenglycol-Propylenoxid). In einer oder mehreren der vorangehenden und folgenden Ausführungsformen reicht ein Molekulargewicht des polymeren Materials von 100 Dalton bis 20.000 Dalton. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält das Vernetzungsmittel mindestens eines, das ausgewählt ist aus der Gruppe bestehend aus einem Polyetherpolyol, einem Polyglycidylether, einem Vinylether, einem Glycouril und einem Triazen. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen wird das aufgetragene Haftschichtgemisch einer Polymerisation durch Anwenden von Wärme oder Ultraviolettlicht (UV-Licht) unterzogen.According to another aspect of the present disclosure, in a method of manufacturing a reflective mask, an adhesion layer is formed over a mask blank. The mask blank has a substrate, a reflective multilayer arranged over the substrate, a cover layer arranged over the reflective multilayer, an absorption layer arranged over the cover layer, and a hard mask layer arranged over the absorption layer . A photoresist pattern over the adhesion layer, the adhesion layer is patterned, the hardmask layer is patterned, and the absorption layer is patterned using the patterned hardmask layer as an etch mask. The bond coat is formed by applying a bond coat mixture over the hard mask layer and applying heat to the applied bond coat mixture. In one or more of the foregoing and following embodiments, the bond coat composition includes a polymeric material, a crosslinking agent, a crosslinking initiator, and solvent. In one or more of the foregoing and following embodiments, the polymeric material contains a hydrocarbon chain backbone with at least one crosslinking monomer. In one or more of the foregoing and following embodiments, the hydrocarbon chain backbone includes one or more of a polyacrylate, a polyimide, or a polyurethane. In one or more of the preceding and following embodiments, the crosslinking monomer contains at least one selected from the group consisting of a hydrocarbon chain containing a hydroxyl group, an alkoxyl group having a carbon number less than 6, an amine group, a thiol group, an ester group, a alkene group, an alkyne group, an epoxy group, an aziridine group, an oxetane group, an aldehyde group, a ketone group and a carboxylic acid group. In one or more of the preceding and following embodiments, the crosslinking monomer contains at least one of a homopolymer or a copolymer obtained by polymerizing at least one monomer selected from the group consisting of styrene, hydroxystyrene, hydroxyethyl (meth)acrylate, ethyl (meth)acrylate, (meth)acrylic acid, poly(hydroxystyrene-styrene methacrylate), poly(4-hydroxystyrene) and poly(pyromellitic dianhydride-ethylene glycol-propylene oxide). In one or more of the foregoing and following embodiments, a molecular weight of the polymeric material ranges from 100 daltons to 20,000 daltons. In one or more of the foregoing and following embodiments, the crosslinking agent includes at least one selected from the group consisting of a polyether polyol, a polyglycidyl ether, a vinyl ether, a glycouril, and a triazene. In one or more of the foregoing and following embodiments, the applied make coat mixture undergoes polymerization by application of heat or ultraviolet (UV) light.

Gemäß einem anderen Aspekt der vorliegenden Offenbarung wird in einem Verfahren zur Herstellung einer reflektierenden Maske eine mittlere Schicht über einem Maskenrohling gebildet. Der Maskenrohling weist ein Substrat, eine reflektierende Mehrfachschicht, die über dem Substrat angeordnet ist, eine Abdeckschicht, die über der reflektierenden Mehrfachschicht angeordnet ist, eine Absorptionsschicht, die über der Abdeckschicht angeordnet ist, und eine Hartmaskenschicht, die über der Absorptionsschicht angeordnet ist, auf. Eine erste Haftschicht wird über der mittleren Schicht gebildet, eine Fotolackstruktur wird über der Haftschicht gebildet, die Haftschicht wird strukturiert, die Hartmaskenschicht wird strukturiert und die Absorptionsschicht wird unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske strukturiert. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält die erste Haftschicht eine kohlenstoffreiche Schicht mit einer höheren Kohlenstoffkonzentration als mindestens eine der Fotolackschicht, der mittleren Schicht oder der Hartmaskenschicht. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält die mittlere Schicht mindestens eines, ausgewählt aus der Gruppe bestehend aus Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid, Siliziumbornitrid, Siliziumborcarbid und Siliziumborcarbonitrid. In einer oder mehreren der vorangehenden und folgenden Ausführungsformen enthält die mittlere Schicht Polysiloxane, die mindestens ein Metallelement beinhalten, das die Absorptionsmittelschicht bildet.According to another aspect of the present disclosure, in a method of manufacturing a reflective mask, a middle layer is formed over a mask blank. The mask blank has a substrate, a reflective multilayer arranged over the substrate, a cover layer arranged over the reflective multilayer, an absorption layer arranged over the cover layer, and a hard mask layer arranged over the absorption layer . A first adhesion layer is formed over the middle layer, a photoresist pattern is formed over the adhesion layer, the adhesion layer is patterned, the hardmask layer is patterned, and the absorption layer is patterned using the patterned hardmask layer as an etch mask. In one or more of the preceding and following embodiments, the first adhesion layer includes a carbon-rich layer having a higher carbon concentration than at least one of the photoresist layer, the middle layer, or the hardmask layer. In one or more of the foregoing and following embodiments, the middle layer includes at least one selected from the group consisting of silicon oxide, silicon oxynitride, silicon nitride, silicon boron nitride, silicon boron carbide, and silicon boron carbonitride. In one or more of the preceding and following embodiments, the middle layer contains polysiloxanes containing at least one metal element forming the absorbent layer.

Das Vorangehende umreißt Merkmale einiger Ausführungsformen oder Beispiele, sodass Fachkundige die Aspekte der vorliegenden Offenbarung besser verstehen werden. Fachkundige sollten begrüßen, dass sie die vorliegende Offenbarung bereits als eine Basis dafür verwenden können, andere Prozesse und Strukturen zum Umsetzen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen oder Beispiele zu gestalten oder zu modifizieren. Fachkundige sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen können, ohne von dem Wesen und Umfang der vorliegenden Offenbarung abzuweichen.The foregoing outlines features of some embodiments or examples so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should appreciate that they can already use the present disclosure as a basis for designing or modifying other processes and structures to implement the same purposes and/or achieve the same advantages of the embodiments or examples presented herein. Those skilled in the art should also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that they may make various changes, substitutions and modifications therein, without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent Literature Cited

  • US 63283162 [0001]US63283162 [0001]

Claims (20)

Verfahren zur Herstellung einer reflektierenden Maske, wobei das Verfahren umfasst: Bilden einer Haftschicht über einem Maskenrohling, wobei der Maskenrohling ein Substrat, eine reflektierende Mehrfachschicht, die über dem Substrat angeordnet ist, eine Abdeckschicht, die über der reflektierenden Mehrfachschicht angeordnet ist, eine Absorptionsschicht, die über der Abdeckschicht angeordnet ist, und eine Hartmaskenschicht, die über der Absorptionsschicht angeordnet ist aufweist; Bilden einer Fotolackstruktur über der Haftschicht; Strukturieren der Haftschicht, die Kohlenstoff beinhaltet; Strukturieren der Hartmaskenschicht; und Strukturieren der Absorptionsschicht unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske, wobei die Fotolackschicht ein höheres Haftvermögen an der Haftschicht als an der Hartmaskenschicht aufweist.A method of making a reflective mask, the method comprising: Forming an adhesion layer over a mask blank, the mask blank comprising a substrate, a reflective multilayer disposed over the substrate, a capping layer disposed over the reflective multilayer, an absorbing layer disposed over the capping layer, and a hardmask layer comprising disposed over the absorbent layer comprises; forming a photoresist pattern over the adhesion layer; patterning the adhesion layer including carbon; patterning the hard mask layer; and patterning the absorption layer using the patterned hard mask layer as an etch mask, wherein the photoresist layer has higher adhesion to the adhesion layer than to the hard mask layer. Verfahren nach Anspruch 1, wobei die Haftschicht eine kohlenstoffreiche Schicht mit einer höheren Kohlenstoffkonzentration als mindestens eine der Fotolackschicht oder der Hartmaskenschicht aufweist.procedure after claim 1 wherein the adhesion layer comprises a carbon-rich layer having a higher carbon concentration than at least one of the photoresist layer and the hard mask layer. Verfahren nach Anspruch 1 oder 2, wobei eine Dicke der Haftschicht in einem Bereich von 2 nm bis 50 nm ist.procedure after claim 1 or 2 , wherein a thickness of the adhesive layer is in a range from 2 nm to 50 nm. Verfahren nach einem der vorstehenden Ansprüche, wobei die Haftschicht ein organisches Polymer aufweist.A method according to any one of the preceding claims, wherein the adhesive layer comprises an organic polymer. Verfahren nach einem der vorstehenden Ansprüche, wobei die Haftschicht mehr als 90 Atom% Kohlenstoff enthält.A method according to any one of the preceding claims, wherein the adhesion layer contains more than 90 atomic percent carbon. Verfahren nach Anspruch 5, wobei die Haftschicht eine oder mehrere Schichten aus Graphen aufweist.procedure after claim 5 , wherein the adhesion layer comprises one or more layers of graphene. Verfahren nach Anspruch 5, wobei die Haftschicht einen amorphen Kohlenstoff enthält.procedure after claim 5 , wherein the adhesion layer contains an amorphous carbon. Verfahren zur Herstellung einer reflektierenden Maske, wobei das Verfahren umfasst: Bilden einer Haftschicht über einem Maskenrohling, wobei der Maskenrohling ein Substrat, eine reflektierende Mehrfachschicht, die über dem Substrat angeordnet ist, eine Abdeckschicht, die über der reflektierenden Mehrfachschicht angeordnet ist, eine Absorptionsschicht, die über der Abdeckschicht angeordnet ist, und eine Hartmaskenschicht, die über der Absorptionsschicht angeordnet ist, aufweist; Bilden einer Fotolackstruktur über der Haftschicht; Strukturieren der Haftschicht; Strukturieren der Hartmaskenschicht; und Strukturieren der Absorptionsschicht unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske, wobei die Haftschicht durch Auftragen eines Haftschichtgemisches über der Hartmaskenschicht und Anwenden von Wärme an dem aufgetragenen Haftschichtgemisch gebildet wird.A method of making a reflective mask, the method comprising: Forming an adhesion layer over a mask blank, the mask blank comprising a substrate, a reflective multilayer disposed over the substrate, a capping layer disposed over the reflective multilayer, an absorbing layer disposed over the capping layer, and a hardmask layer comprising disposed over the absorbent layer; forming a photoresist pattern over the adhesion layer; patterning of the adhesive layer; patterning the hard mask layer; and patterning the absorption layer using the patterned hard mask layer as an etch mask, wherein the bond coat is formed by applying a bond coat mixture over the hard mask layer and applying heat to the applied bond coat mixture. Verfahren nach Anspruch 8, wobei das Haftschichtgemisch ein polymeres Material, ein Vernetzungsmittel, einen Vernetzungsinitiator und ein Lösemittel enthält.procedure after claim 8 wherein the bond coat mixture includes a polymeric material, a crosslinking agent, a crosslinking initiator, and a solvent. Verfahren nach Anspruch 9, wobei das polymere Material ein Kohlenwasserstoffketten-Rückgrat mit mindestens einem vernetzenden Monomer enthält.procedure after claim 9 wherein the polymeric material contains a hydrocarbon chain backbone with at least one crosslinking monomer. Verfahren nach Anspruch 10, wobei das Kohlenwasserstoffketten-Rückgrat eines oder mehrere von einem Polyacrylat, einem Polyimid oder einem Polyurethan enthält.procedure after claim 10 wherein the hydrocarbon chain backbone comprises one or more of a polyacrylate, a polyimide or a polyurethane. Verfahren nach Anspruch 10, wobei das vernetzende Monomer mindestens eines enthält, das ausgewählt ist aus der Gruppe bestehend aus einer Kohlenwasserstoffkette, die eine Hydroxylgruppe, eine Alkoxylgruppe mit einer Kohlenstoffanzahl kleiner als 6, eine Amingruppe, eine Thiolgruppe, eine Estergruppe, eine Alkengruppe, eine Alkyngruppe, eine Epoxygruppe, eine Aziridingruppe, eine Oxetangruppe, eine Aldehydgruppe, eine Ketongruppe, und eine Kohlenstoffsäuregruppe beinhaltet.procedure after claim 10 wherein the crosslinking monomer contains at least one selected from the group consisting of a hydrocarbon chain containing a hydroxyl group, an alkoxyl group having a carbon number less than 6, an amine group, a thiol group, an ester group, an alkene group, an alkyne group, an epoxy group , an aziridine group, an oxetane group, an aldehyde group, a ketone group, and a carboxylic acid group. Verfahren nach Anspruch 10, wobei das Kohlenwasserstoffketten-Rückgrat mindestens eines von einem Homopolymer oder einem Copolymer enthält, das durch Polymerisation von mindestens einem Monomer erhalten wird, das ausgewählt ist aus der Gruppe bestehend aus Styrol, Hydroxystyrol, Hydroxyetheyl(meth)acrylat, Ethyl(meth)acrylat und (Meth)acrylsäure oder einem Poly(Hydroxystyrol-Styrol-Methacrylat), einem Poly(4-Hydroxystyrol) und Poly(pyromellitischer Dianhydrid-Ethylenglycol-Propylenoxid).procedure after claim 10 wherein the hydrocarbon chain backbone contains at least one of a homopolymer or a copolymer obtained by polymerizing at least one monomer selected from the group consisting of styrene, hydroxystyrene, hydroxyethyl (meth)acrylate, ethyl (meth)acrylate and (meth)acrylic acid or a poly(hydroxystyrene-styrene methacrylate), a poly(4-hydroxystyrene) and poly(pyromellitic dianhydride-ethylene glycol-propylene oxide). Verfahren nach einem der vorstehenden Ansprüche 10, wobei ein gewichtgemitteltes Molekulargewicht des polymeren Materials von 100 Dalton bis 20.000 Dalton reicht.A method according to any one of the foregoing Claims 10 wherein a weight average molecular weight of the polymeric material ranges from 100 daltons to 20,000 daltons. Verfahren nach Anspruch 10, wobei das vernetzende Monomer mindestens eines, das ausgewählt ist aus der Gruppe bestehend aus einem Polyetherpolyol, einem Polyglycidylether, einem Vinylether, einem Glycouril und einem Triazen enthält.procedure after claim 10 wherein the crosslinking monomer contains at least one selected from the group consisting of a polyether polyol, a polyglycidyl ether, a vinyl ether, a glycouril and a triazene. Verfahren nach einem der vorstehenden Ansprüche 10 bis 15, wobei das aufgetragene Haftschichtgemisch einer Polymerisation durch Anwenden von Wärme oder Ultraviolettlicht (UV-Licht) unterzogen wird.A method according to any one of the foregoing Claims 10 until 15 wherein the applied adhesive layer mixture undergoes polymerization by application of heat or ultraviolet (UV) light. Verfahren zur Herstellung einer Halbleitervorrichtung, wobei das Verfahren umfasst: Herstellen einer reflektierenden Maske durch: Bilden einer mittleren Schicht über einem Maskenrohling, wobei der Maskenrohling eine Substrat, eine reflektierende Mehrfachschicht, die über dem Substrat angeordnet ist, eine Abdeckschicht, die über der reflektierenden Mehrfachschicht angeordnet ist, eine Absorptionsschicht, die über der Abdeckschicht angeordnet ist, und eine Hartmaskenschicht, die über der Absorptionsschicht angeordnet ist, aufweist; Bilden einer ersten Haftschicht über der mittleren Schicht; Bilden einer Fotolackstruktur über der Haftschicht; Strukturieren der Haftschicht; Strukturieren der Hartmaskenschicht; und Strukturieren der Absorptionsschicht unter Verwendung der strukturierten Hartmaskenschicht als eine Ätzmaske; Erhalten eines Halbleiterwafers, über dem eine Fotolackschicht gebildet ist; und Strukturieren der Fotolackschicht unter Verwendung der reflektierenden Maske.A method of manufacturing a semiconductor device, the method comprising: Making a reflective mask by: forming a middle layer over a mask blank, the mask blank comprising a substrate, a reflective multilayer disposed over the substrate, a cover layer disposed over the reflective multilayer, an absorber layer disposed over the cover layer, and a hard mask layer, disposed over the absorbent layer; forming a first adhesive layer over the middle layer; forming a photoresist pattern over the adhesion layer; patterning of the adhesive layer; patterning the hard mask layer; and patterning the absorption layer using the patterned hard mask layer as an etch mask; obtaining a semiconductor wafer over which a photoresist layer is formed; and Patterning the photoresist layer using the reflective mask. Verfahren nach Anspruch 17, wobei die erste Haftschicht eine kohlenstoffreiche Schicht mit einer höheren Kohlenstoffkonzentration als mindestens eine der Fotolackschicht, der mittleren Schicht oder der Hartmaskenschicht aufweist.procedure after Claim 17 wherein the first adhesion layer comprises a carbon rich layer having a higher carbon concentration than at least one of the photoresist layer, the middle layer or the hard mask layer. Verfahren nach Anspruch 18, wobei die mittlere Schicht mindestens eines, das ausgewählt ist aus der Gruppe bestehend aus Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid, Siliziumbornitrid, Siliziumborcarbid und Siliziumborcarboitrid, enthält.procedure after Claim 18 wherein the middle layer contains at least one selected from the group consisting of silicon oxide, silicon oxynitride, silicon nitride, silicon boron nitride, silicon boron carbide and silicon boron carboitride. Verfahren nach Anspruch 18, wobei die mittlere Schicht ein Polysiloxan enthält, das mindestens ein Metallelement beinhaltet, das die Absorptionsschicht bildet.procedure after Claim 18 wherein the middle layer contains a polysiloxane containing at least one metal element constituting the absorption layer.
DE102022122500.3A 2021-11-24 2022-09-06 MANUFACTURING PROCESS OF EUV PHOTOMASK Pending DE102022122500A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163283162P 2021-11-24 2021-11-24
US63/283,162 2021-11-24
US17/736,772 2022-05-04
US17/736,772 US20230161240A1 (en) 2021-11-24 2022-05-04 Manufacturing method of euv photo masks

Publications (1)

Publication Number Publication Date
DE102022122500A1 true DE102022122500A1 (en) 2023-05-25

Family

ID=86227579

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022122500.3A Pending DE102022122500A1 (en) 2021-11-24 2022-09-06 MANUFACTURING PROCESS OF EUV PHOTOMASK

Country Status (5)

Country Link
US (1) US20230161240A1 (en)
KR (1) KR20230076732A (en)
CN (1) CN116430667A (en)
DE (1) DE102022122500A1 (en)
TW (1) TW202334735A (en)

Also Published As

Publication number Publication date
TW202334735A (en) 2023-09-01
KR20230076732A (en) 2023-05-31
CN116430667A (en) 2023-07-14
US20230161240A1 (en) 2023-05-25

Similar Documents

Publication Publication Date Title
KR101439394B1 (en) Method for forming fine patterns by double patterning process using acid diffusion
EP0492253B1 (en) Photolithographic process
TWI442453B (en) Methods of forming electronic devices
TWI476816B (en) Self-aligned spacer multiple patterning methods
KR101766289B1 (en) Methods of forming electronic devices
DE112010004848B4 (en) Method for targeted self-assembly with immersion lithography at 193 nm
DE112010004884T5 (en) Methods for Directed Self-Assembly and Layer Structures Produced Therewith
DE112014000485B4 (en) Silicon-containing antireflective coatings containing non-polymers silsesquioxanes
DE112016000434T5 (en) Hybrid topographical and chemical precursors for the self-assembly of block copolymers
DE112014001478B4 (en) Wet stripping process for an anti-reflective coating layer
DE102006049920B4 (en) Method of forming a semiconductor device and immersion lithography method
EP0494383B1 (en) photoresist
US20050233259A1 (en) Resist material and pattern formation method using the same
DE102014119645B4 (en) Photoresist and Process
EP0492256B1 (en) Photolithographic patterning
US20090214981A1 (en) Photoresists and methods for optical proximity correction
US20010034131A1 (en) Method of forming a pattern
DE102019128448A1 (en) Protective composition and method for forming a photoresist structure
US20030064323A1 (en) Method for forming a pattern and method of manufacturing semiconductor device
DE112012004718B4 (en) Hybrid photoresist composition and patterning method using the same
US20020013059A1 (en) Pattern formation material and pattern formation method
DE112010005304B4 (en) Antireflective hardmask composition and method of making a patterned material therewith
DE112010004289T5 (en) Silicon-containing coating compositions and methods of use
DE102022122500A1 (en) MANUFACTURING PROCESS OF EUV PHOTOMASK
DE3827567A1 (en) WAITER DEVELOPER SOLUTION FOR POSITIVELY WORKING PHOTORE RESTS

Legal Events

Date Code Title Description
R012 Request for examination validly filed