DE102020133339A1 - CMOS STACK STRUCTURE - Google Patents

CMOS STACK STRUCTURE Download PDF

Info

Publication number
DE102020133339A1
DE102020133339A1 DE102020133339.0A DE102020133339A DE102020133339A1 DE 102020133339 A1 DE102020133339 A1 DE 102020133339A1 DE 102020133339 A DE102020133339 A DE 102020133339A DE 102020133339 A1 DE102020133339 A1 DE 102020133339A1
Authority
DE
Germany
Prior art keywords
source
drain
elements
over
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020133339.0A
Other languages
German (de)
Inventor
Yu-Xuan Huang
Chia-En HUANG
Ching-Wei Tsai
Kuan-Lun Cheng
Yih Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/116,552 external-priority patent/US20210366906A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020133339A1 publication Critical patent/DE102020133339A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Eine Halbleiterstruktur weist Folgendes auf: eine Betriebsspannungsleitung; ein erstes Source-/Drain-Element, das über der Betriebsspannungsleitung angeordnet ist; eine Durchkontaktierung, die die Betriebsspannungsleitung mit dem ersten Source-/Drain-Element verbindet; ein Isolationselement, das über dem ersten Source-/Drain-Element angeordnet ist; und ein zweites Source-/Drain-Element, das über dem Isolationselement angeordnet ist, wobei das erste und das zweite Source-/Drain-Element entgegengesetzte Leitfähigkeitstypen haben.A semiconductor structure includes: an operating voltage line; a first source/drain element disposed over the power supply line; a via connecting the power supply line to the first source/drain element; an isolation element disposed over the first source/drain element; and a second source/drain element disposed over the isolation element, the first and second source/drain elements having opposite conductivity types.

Description

Prioritätsanspruchpriority claim

Die vorliegende Anmeldung beansprucht die Priorität der am 20. Mai 2020 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 63/027.811 , die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.The present application claims priority to US provisional patent application filed on May 20, 2020 with the docket number 63/027.811 , which is incorporated by reference into the present application.

Hintergrundbackground

Herkömmlich werden CMOS-Vorrichtungen (CMOS: komplementärer Metalloxidhalbleiter) in integrierten Schaltkreisen (ICs) von einem Paar aus einem NMOS-Transistor und einem PMOS-Transistor gebildet, die nebeneinander angeordnet sind. Da die Integrationsdichte von Vorrichtungen weiter zunimmt, ist die Verkleinerung solcher Strukturen in einigen Fällen schwieriger geworden. Obwohl bestehende Ansätze bei der Halbleiterherstellung bisher im Großen und Ganzen für ihre angestrebten Zwecke ausreichend gewesen sind, sind sie nicht in jeder Hinsicht völlig zufriedenstellend gewesen.Conventionally, CMOS (CMOS: Complementary Metal Oxide Semiconductor) devices in integrated circuits (ICs) are formed by a pair of an NMOS transistor and a PMOS transistor arranged side by side. As the integration density of devices continues to increase, miniaturization of such structures has become more difficult in some cases. While existing approaches to semiconductor fabrication have by and large been adequate for their intended purposes, they have not been entirely satisfactory in every respect.

Figurenlistecharacter list

Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Erläuterung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • Die 1A, 1C, 1E, 1H und 1J zeigen schematische Darstellungen einiger beispielhafter Schaltkreise, die von verschiedenen Aspekten der vorliegenden Erfindung profitieren.
  • Die 1B, 1D, 1F, 1G, 1I und 1K zeigen Schaltkreise und Vorrichtungen, die gemäß verschiedenen Aspekten der vorliegenden Erfindung konfiguriert sind.
  • Die 2A, 2B, 2C und 2D zeigen ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Halbleitervorrichtung gemäß verschiedenen Aspekten der vorliegenden Erfindung.
  • Die 4A, 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12 und 22A zeigen Draufsichten eines Teils einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
  • Die 3, 4B, 5B, 5C, 6B, 6C, 6D, 7B, 7C, 7D, 8B, 8C, 8D, 9B, 9C, 9D, 10B, 10C, 100, 11B, 11C, 11D, 13A-1, 13A-2, 13A-3, 14A-1, 14A-2, 14A-3, 15A-1, 15A-2, 15A-3, 16A-1, 16A-2, 16A-3, 17A-1, 17A-2, 17A-3, 18A-1, 18A-2, 18A-3, 19A-1, 19A-2, 19A-3, 20A-1, 20A-2, 20A-3, 21A-1, 21A-2, 21A-3, 22B, 22C, 23, 24, 25, 26, 27, 28, 29, 30 und 31 zeigen Teil-Schnittansichten einer Halbleitervorrichtung gemäß einigen Ausführungsformen.
The present invention is best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard industry practice, various elements are not drawn to scale and are for explanation only. In fact, the dimensions of the various features may be arbitrarily exaggerated or minimized for the sake of clarity of explanation.
  • the 1A , 1C , 1E , 1H and 1y 12 show schematic representations of some example circuits that benefit from various aspects of the present invention.
  • the 1B , 1D , 1F , 1G , 1I and 1K 10 show circuits and devices configured in accordance with various aspects of the present invention.
  • the 2A , 2 B , 2C and 2D 12 shows a flow diagram of a method for manufacturing a semiconductor device according to various aspects of the present invention.
  • the 4A , 5A , 6A , 7A , 8A , 9A , 10A , 11A , 12 and 22A 12 show plan views of a portion of a semiconductor device according to some embodiments.
  • the 3 , 4B , 5B , 5C , 6B , 6C , 6D , 7B , 7C , 7D , 8B , 8C , 8D , 9B , 9C , 9D , 10B , 10C , 100, 11B , 11C , 11D , 13A-1 , 13A-2 , 13A-3 , 14A-1 , 14A-2 , 14A-3 , 15A-1 , 15A-2 , 15A-3 , 16A-1 , 16A-2 , 16A-3 , 17A-1 , 17A-2 , 17A-3 , 18A-1 , 18A-2 , 18A-3 , 19A-1 , 19A-2 , 19A-3 , 20A-1 , 20A-2 , 20A-3 , 21A-1 , 21A-2 , 21A-3 , 22B , 22C , 23 , 24 , 25 , 26 , 27 , 28 , 29 , 30 and 31 12 show partial cross-sectional views of a semiconductor device according to some embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The description below provides many different embodiments or examples for implementing various features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present invention. These are, of course, merely examples and are not intended to be limiting. For example, fabrication of a first element over or on a second element in the description below may include embodiments in which the first and second elements are fabricated in direct contact, and may also include embodiments in which additional elements are formed between the first and can be made with the second element so that the first and second elements are not in direct contact. Furthermore, in the present invention, reference numbers and/or letters may be repeated in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden. Außerdem soll, wenn eine Anzahl oder ein Bereich von Anzahlen mit den Begriffen „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Begriff Anzahlen umfassen, die innerhalb von bestimmten Abweichungen (wie etwa innerhalb von ±10 % oder von anderen Werten) der angegebenen Anzahl liegen, entsprechend dem Wissen des Fachmanns der hier offenbarten speziellen Technologie, wenn nicht anders angegeben. Zum Beispiel kann der Begriff „etwa 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm, von 4,0 nm bis 5,0 nm usw. umfassen.In addition, spatially relative terms such as "beneath", "below", "lower", "above", "upper" and the like may be used herein for ease of reference describing the relationship of an element or structure to one or more other elements or structures depicted in the figures. The spatially relative terms are intended to encompass other orientations of the device in use or operation in addition to the orientation depicted in the figures. The device can be oriented differently (rotated 90 degrees or in a different orientation) and the spatially relative descriptors used herein can correspond be interpreted accordingly. Additionally, when a number or range of numbers is described by the terms "about,""approximately," and the like, the term is intended to include numbers that are within certain deviations (such as within ±10% or other values) of number specified are within the knowledge of one skilled in the art of the particular technology disclosed herein, unless otherwise noted. For example, the term "about 5 nm" can encompass the dimensional range of 4.5 nm to 5.5 nm, 4.0 nm to 5.0 nm, and so on.

Die vorliegende Anmeldung betrifft allgemein Halbleiterstrukturen und deren Herstellungsverfahren und insbesondere Halbleitervorrichtungen mit gestapelten CMOS-Transistoren. Ziel der vorliegenden Erfindung ist es, vertikal gestapelte CMOS-Transistoren (oder -Strukturen) bereitzustellen, wobei Transistoren auf der Unterseite des Stapels von rückseitigen Betriebsspannungsleitungen gespeist werden und Transistoren auf der Oberseite des Stapels von vorderseitigen Betriebsspannungsleitungen gespeist werden. Durch Verwenden von vertikal gestapelten CMOS-Vorrichtungen wird die Fläche von CMOS-Schaltkreisen aggressiv reduziert. Die vertikal gestapelten CMOS-Vorrichtungen können zum Beispiel in SRAM-, NAND-Flash-, NOR-Flash- oder anderen Schaltkreisen verwendet werden. Durch Verwenden von vorderseitigen und rückseitigen Betriebsspannungsleitungen steigt die Anzahl von Metallleiterbahnen, die in der Vorrichtung zum direkten Verbinden mit Sources/Drains und Gates verfügbar ist. Dadurch steigt auch die Gatedichte für eine höhere Vorrichtungsintegration als bei bestehenden Strukturen. Die Einzelheiten der Strukturen und Herstellungsverfahren der vorliegenden Erfindung werden nachstehend in Verbindung mit den beigefügten Zeichnungen beschrieben, die ein Verfahren zum Herstellen einer GAA-Vorrichtung (GAA: Gate-all-around) gemäß einigen Ausführungsformen zeigen. Eine GAA-Vorrichtung ist eine Vorrichtung mit vertikal gestapelten, horizontal orientierten Mehrkanaltransistoren, wie etwa Nanodraht-Transistoren und Nanolagen-Transistoren. GAA-Vorrichtungen sind auf Grund ihrer besseren Gatesteuerbarkeit, ihres niedrigeren Leckstroms und ihrer vollen Layout-Kompatibilität mit FinFET-Vorrichtungen aussichtsreiche Kandidaten, um den CMOS auf die nächste Stufe der Roadmap zu bringen. Fachleute dürften erkennen, dass sie die vorliegende Erfindung ohne weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen (wie etwa FinFET-Vorrichtungen) zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können.The present application relates generally to semiconductor structures and methods of fabrication thereof, and more particularly to semiconductor devices having stacked CMOS transistors. It is an object of the present invention to provide vertically stacked CMOS transistors (or structures) wherein transistors on the bottom of the stack are fed from backside power supply lines and transistors on the top of the stack are fed from front side power supply lines. By using vertically stacked CMOS devices, the area of CMOS circuits is aggressively reduced. For example, the vertically stacked CMOS devices can be used in SRAM, NAND flash, NOR flash, or other circuits. Using front and back power supply lines increases the number of metal lines available in the device for direct connection to sources/drains and gates. This also increases gate density for higher device integration than existing structures. The details of the structures and manufacturing methods of the present invention are described below in conjunction with the accompanying drawings, which show a method of manufacturing a GAA (GAA: Gate-All-Around) device according to some embodiments. A GAA device is a device with vertically stacked, horizontally oriented multi-channel transistors, such as nanowire transistors and nanosheet transistors. GAA devices are strong candidates to take CMOS to the next stage of the roadmap because of their better gate controllability, lower leakage current, and full layout compatibility with FinFET devices. Those skilled in the art should appreciate that they can readily use the present invention as a basis for designing or modifying other methods and structures (such as FinFET devices) to achieve the same goals and/or achieve the same benefits as the embodiments presented herein .

1A zeigt eine Verriegelungsschaltung 30 mit zwei Invertern 20, die miteinander kreuzgekoppelt sind, um einen Speicherknoten zu bilden. Jeder Inverter 20 weist einen PMOS-Transistor (PU1 oder PU2) und einen NMOS-Transistor (PD1 oder PD2) auf, die ein gemeinsames Gate und einen gemeinsamen Drain nutzen. Zum Beispiel weist ein Inverter 20 einen PU1 und einen PD1 auf, die ein gemeinsames Gate und einen gemeinsamen Drain nutzen, wobei ein Source-Anschluss des PU1 mit einer positiven Stromquelle VDD verbunden ist und ein Source-Anschluss des PD1 mit einer negativen Stromquelle VSS (oder Erde) verbunden ist. 1B zeigt eine Implementierung des Inverters 20 mit einer CMOS-Stapelstruktur gemäß der vorliegenden Erfindung. In 1B weist der PMOS-Transistor PU1 zwei epitaxial aufgewachsene p-Halbleiterschichten („P-EPIs“) auf, die über einen Kanal 62 verbunden sind, und der NMOS-Transistor PD1 weist zwei epitaxial aufgewachsene n-Halbleiterschichten („N-EPIs“) auf, die über zwei Kanäle 62 verbunden sind. Die Kanäle 62 weisen bei einer Ausführungsform eigenleitendes Silizium auf. Die N-EPIs sind vertikal über den P-EPIs angeordnet. Ein Gate 54 umschließt die Kanäle 62 für den Transistor PU1 und den Transistor PD1. Die P-EPIs und die N-EPIs auf der linken Seite sind durch ein Isoliermaterial getrennt, das später näher erörtert wird. Die P-EPIs und die N-EPIs auf der rechten Seite sind physisch und elektrisch miteinander verbunden und funktionieren als der gemeinsame Drain für die Transistoren PU1 und PD1. Die P-EPIs auf der linken Seite sind mit der positiven Stromquelle VDD verbunden, die auf einer Rückseite eines Wafers implementiert ist. Die N-EPIs auf der linken Seite sind mit der negativen Stromquelle (oder Erde) VSS verbunden, die auf einer Vorderseite des Wafers implementiert ist. Im Grunde belegt der Inverter 20 (der die Transistoren PU1 und PD1 aufweist, die vertikal aufeinandergestapelt sind) die Grundfläche nur eines Transistors, wodurch die Integrationsdichte der Vorrichtung stark erhöht wird. Bei der dargestellten Ausführungsform ist der Transistor PD1 über dem Transistor PU1 angeordnet (d. h., die N-EPIs sind über den P-EPIs angeordnet), und die VSS und die VDD sind auf der Vorderseite bzw. der Rückseite eines Wafers implementiert. Bei einer anderen Ausführungsform ist der Transistor PU1 über dem Transistor PD1 angeordnet (d. h., die P-EPIs sind über den N-EPIs angeordnet), und die VSS und die VDD sind auf der Rückseite bzw. der Vorderseite eines Wafers implementiert. 1A Figure 12 shows a latch circuit 30 having two inverters 20 cross-coupled together to form a storage node. Each inverter 20 includes a PMOS transistor (PU1 or PU2) and an NMOS transistor (PD1 or PD2) sharing a common gate and drain. For example, an inverter 20 has a PU1 and a PD1 sharing a common gate and drain, with a source of PU1 connected to a positive power source V DD and a source of PD1 connected to a negative power source V SS (or ground) is connected. 1B 12 shows an implementation of the inverter 20 with a CMOS stack structure according to the present invention. In 1B For example, the PMOS transistor PU1 comprises two P-type epitaxially grown semiconductor layers ("P-EPIs") connected via a channel 62, and the NMOS transistor PD1 comprises two N-type epitaxially grown semiconductor layers ("N-EPIs") , which are connected via two channels 62. Channels 62 comprise intrinsic silicon in one embodiment. The N-EPIs are arranged vertically above the P-EPIs. A gate 54 encloses the channels 62 for transistor PU1 and transistor PD1. The P-EPIs and N-EPIs on the left are separated by an insulating material that will be discussed later. The P-EPIs and the N-EPIs on the right are physically and electrically connected together and function as the common drain for transistors PU1 and PD1. The P-EPIs on the left are connected to the positive power source V DD implemented on a back side of a wafer. The N-EPIs on the left are connected to the negative power source (or ground) V SS implemented on a front side of the wafer. Basically, the inverter 20 (comprising the transistors PU1 and PD1 stacked vertically) occupies the area of only one transistor, greatly increasing the integration density of the device. In the illustrated embodiment, transistor PD1 is stacked over transistor PU1 (ie, the N-EPIs are stacked over the P-EPIs), and the V SS and VDD are implemented on the front side and the back side of a wafer, respectively. In another embodiment, transistor PU1 is placed above transistor PD1 (ie, the P-EPIs are placed above the N-EPIs), and the V SS and VDD are implemented on the backside and the frontside of a wafer, respectively.

1C zeigt eine SRAM-Zelle 40 mit hoher Dichte, die eine Verriegelungsschaltung 30, die unter Bezugnahme auf 1A beschrieben worden ist, und weiterhin zwei NMOS-Transistoren PG1 und PG2 aufweist, die als Durchgangsgate-Transistoren implementiert sind. Gate-Anschlüsse des PG1 und des PG2 sind mit einer Wortleitung WL verbunden, während Source-Anschlüsse (oder Drain-Anschlüsse) des PG1 und des PG2 mit Bitleitungen BL und /BL (oder BLB) verbunden sind. 1D zeigt ein Layout-Diagramm bestimmter Strukturelemente der SRAM-Zelle 40. Das Layout weist Folgendes auf: aktive Bereiche 52 (Kanäle und Sources/Drains von Transistoren), die längs entlang der x-Richtung orientiert sind; Gates 54 und Source-/Drainkontakte (S/D-Kontakte) 56, die längs entlang der y-Richtung orientiert sind; und Brückenverbindungselemente 58, die einige der Gates 54 mit einigen der S/D-Kontakte 56 verbinden. Der gestrichelte Kasten in 1D zeigt das Layout der Verriegelungsschaltung 30, die die Transistoren PU1, PU2, PD1 und PD2 (die die zwei Inverter 20 bilden) aufweist. Wie unter Bezugnahme auf 1B dargelegt worden ist, ist bei einer Ausführungsform der vorliegenden Erfindung der Transistor PD1 über dem Transistor PU1 angeordnet, und der Transistor PD2 ist über dem Transistor PU2 angeordnet. Eine Länge der SRAM-Zelle 40 entlang der y-Richtung beträgt nur drei Breiten des aktiven Bereichs 52. Bei Ansätzen, bei denen die Transistoren PU1, PU2, PD1 und PD2 auf derselben Waferebene (d. h., nicht übereinander) implementiert sind, würde die Länge der SRAM-Zelle 40 entlang der y-Richtung vier Breiten des aktiven Bereichs 52 betragen. Somit wird durch Aufeinanderstapeln der Transistoren gemäß der vorliegenden Erfindung (zum Beispiel durch Anordnen des PD1 über dem PU1 und des PD2 über dem PU2) bei der SRAM-Zelle 40 eine Reduzierung ihrer Grundfläche um 25 % erzielt. Mit anderen Worten, die Integrationsdichte der SRAM-Zelle 40 steigt um 25 % gegenüber anderen Ansätzen. 1C FIG. 1 shows a high density SRAM cell 40 incorporating a latch circuit 30, which is described with reference to FIG 1A as described, and further comprises two NMOS transistors PG1 and PG2 implemented as pass gate transistors. Gates of PG1 and PG2 are connected to a word line WL, while sources (or drains) of PG1 and PG2 are connected to bit lines BL and /BL (or BLB). 1D 12 shows a layout diagram of certain structural elements of SRAM cell 40. The layout includes: active areas 52 (channels and sources/drains of transistors) oriented longitudinally along the x-direction; gates 54 and source/drain (S/D) contacts 56 oriented longitudinally along the y-direction; and jumper connectors 58 connecting some of the gates 54 to some of the S/D contacts 56. FIG. The dashed box in 1D Figure 12 shows the layout of the latch circuit 30 comprising the transistors PU1, PU2, PD1 and PD2 (which form the two inverters 20). As referring to 1B As set forth above, in one embodiment of the present invention, transistor PD1 is placed above transistor PU1 and transistor PD2 is placed above transistor PU2. A length of SRAM cell 40 along the y-direction is only three widths of active area 52. In approaches where transistors PU1, PU2, PD1 and PD2 are implemented on the same wafer level (ie, not on top of each other), the length would be of the SRAM cell 40 along the y-direction are four widths of the active area 52. Thus, by stacking the transistors in accordance with the present invention (e.g., placing PD1 over PU1 and PD2 over PU2), the SRAM cell 40 achieves a 25% reduction in its footprint. In other words, the integration density of the SRAM cell 40 increases by 25% over other approaches.

1E zeigt eine Hochstrom-SRAM-Zelle 50, die der SRAM-Zelle 40 ähnlich ist, die unter Bezugnahme auf 1C beschrieben worden ist. NMOS-Transistoren PD1' und PD2' der SRAM-Zelle 50 können jedoch einen höheren Strom als die Transistoren PD1 und PD2 der SRAM-Zelle 40 führen. Bei einigen Ausführungsformen ist jeder der Transistoren PD1' und PD2' der SRAM-Zelle 50 als mehrere parallel geschaltete NMOS-Transistoren implementiert. Die Verriegelungsschaltung der SRAM-Zelle 50 ist mit 30' bezeichnet. 1F zeigt ein Layout-Diagramm bestimmter Strukturelemente der SRAM-Zelle 50. Der gestrichelte Kasten in 1F zeigt das Layout der Verriegelungsschaltung 30', die die Transistoren PU1, PU2, PD1' und PD2' aufweist. Ein Kasten 20' entspricht dem Layout eines der Inverter, zum Beispiel des Inverters, der die Transistoren PU1 und PD1' aufweist. 1G zeigt eine perspektivische Darstellung des Inverters 20', der auf einem Wafer implementiert ist. Wie in 1G gezeigt ist, ist der Inverter 20' mit drei Transistoren implementiert, und zwar mit einem ersten NMOS-Feldeffekttransistor (oder N-FET) auf der Rückseite, einem zweiten N-FET auf der Vorderseite und einem PMOS-Transistor (oder P-FET) auf der Vorderseite. Der zweite N-FET ist über dem P-FET angeordnet, wie unter Bezugnahme auf 1B dargelegt worden ist. Die zwei N-FETs sind mittels der S/D-Kontakte 56 parallel geschaltet und nutzen ein gemeinsames Gate 54. Die N-FETs haben N-EPI-S/D-Elemente, die durch Kanäle 62 verbunden sind, und der P-FET hat P-EPI-S/D-Elemente, die durch einen Kanal 62 verbunden sind. Durch Verwenden der CMOS-Stapelstruktur der vorliegenden Erfindung nimmt das Layout der SRAM-Zelle 50 (1F) nur vier Breiten der aktiven Bereiche 52 ein, sodass eine Reduzierung ihrer Grundfläche um 20 % gegenüber Ansätzen erzielt wird, bei denen alle Transistoren auf derselben Waferebene (keine Stapelung) implementiert sind. Mit anderen Worten, die Integrationsdichte der SRAM-Zelle 50 steigt um 20 % gegenüber anderen Ansätzen. 1E FIG. 1 shows a high current SRAM cell 50 similar to the SRAM cell 40 described with reference to FIG 1C has been described. However, NMOS transistors PD1' and PD2' of SRAM cell 50 may carry a higher current than transistors PD1 and PD2 of SRAM cell 40. In some embodiments, each of the transistors PD1' and PD2' of the SRAM cell 50 is implemented as multiple NMOS transistors connected in parallel. The latch circuit of the SRAM cell 50 is denoted by 30'. 1F shows a layout diagram of certain structural elements of the SRAM cell 50. The dashed box in 1F Figure 12 shows the layout of latch circuit 30', which includes transistors PU1, PU2, PD1' and PD2'. A box 20' corresponds to the layout of one of the inverters, for example the inverter comprising transistors PU1 and PD1'. 1G Figure 12 shows a perspective view of the inverter 20' implemented on a wafer. As in 1G As shown, the inverter 20' is implemented with three transistors, with a first NMOS field effect transistor (or N-FET) on the back side, a second N-FET on the front side, and a PMOS transistor (or P-FET) on the front side. The second N-FET is placed over the P-FET as referred to in FIG 1B has been set out. The two N-FETs are connected in parallel via S/D contacts 56 and share a common gate 54. The N-FETs have N-EPI S/D devices connected by channels 62 and the P-FET has P-EPI-S/D elements connected by channel 62. By using the CMOS stack structure of the present invention, the layout of the SRAM cell 50 ( 1F) uses only four widths of active regions 52, yielding a 20% reduction in their footprint over approaches where all transistors are implemented on the same wafer level (no stacking). In other words, the integration density of the SRAM cell 50 increases by 20% over other approaches.

1H zeigt eine schematische Darstellung einer NAND-Schaltung 60. Die NAND-Schaltung 60 weist zwei parallel geschaltete P-FETs P1 und P2 und zwei in Reihe geschaltete N-FETs N1 und N2 auf. Drain-Anschlüsse der Transistoren N1, P1 und P2 sind miteinander verbunden. Source-Anschlüsse der Transistoren P1 und P2 sind mit VDD verbunden. Der Source-Anschluss des Transistors N2 ist mit VSS verbunden. 1I zeigt eine Implementierung der NAND-Schaltung 60 mit CMOS-Stapelstrukturen gemäß der vorliegenden Erfindung. In 1I weist jeder der Transistoren P1 und P2 zwei P-EPIs auf, die über einen Kanal 62 verbunden sind. P-EPI-Drain-Elemente der Transistoren P1 und P2 sind über eine rückseitige Signalleitung (d. h., eine Metallleitung, die auf der Rückseite eines Wafers implementiert ist) verbunden. Die Transistoren P1 und P2 nutzen ein gemeinsames P-EPI-Source-Element, das mit der VDD verbunden ist, die auf der Rückseite des Wafers implementiert ist. Jeder der Transistoren N1 und N2 weist zwei N-EPIs auf, die über zwei Kanäle 62 verbunden sind. Ein N-EPI-Drain-Element des Transistors N1 ist direkt mit dem P-EPI-Drain-Element des Transistors P1 verbunden. Die anderen N-EPI-Elemente sind durch ein Isoliermaterial gegen die P-EPI-Elemente isoliert. Ein N-EPI-Source-Element des Transistors N2 ist mit der VSS verbunden, die auf der Vorderseite des Wafers implementiert ist. Die Gates 54 umschließen die Kanäle 62. Durch Aufeinanderstapeln der Transistoren N1 und N2 auf den Transistoren P1 und P2 wird die Grundfläche der erfindungsgemäßen NAND-Schaltung 60 auf dem Wafer vorteilhaft reduziert. Bei der in 1I dargestellten Ausführungsform sind die NMOS-Transistoren N1 und N2 über den PMOS-Transistoren P1 und P2 angeordnet. Bei einer alternativen Ausführungsform kann die NAND-Schaltung 60 durch Aufeinanderstapeln der PMOS-Transistoren P1 und P2 über den NMOS-Transistoren N1 und N2, Implementieren der VSS auf der Rückseite eines Wafers und Implementieren der VDD auf der Vorderseite des Wafers implementiert werden. 1H 12 shows a schematic representation of a NAND circuit 60. The NAND circuit 60 has two P-FETs P1 and P2 connected in parallel and two N-FETs N1 and N2 connected in series. Drain terminals of the transistors N1, P1 and P2 are connected to each other. Sources of transistors P1 and P2 are connected to VDD. The source of transistor N2 is connected to V SS . 1I 12 shows an implementation of the NAND circuit 60 with CMOS stack structures according to the present invention. In 1I each of the transistors P1 and P2 has two P-EPIs connected via a channel 62. FIG. P-EPI drain elements of transistors P1 and P2 are connected via a backside signal line (ie, a metal line implemented on the backside of a wafer). Transistors P1 and P2 share a common P-EPI source element connected to the VDD implemented on the backside of the wafer. Each of the transistors N1 and N2 has two N-EPIs that are connected via two channels 62. FIG. An N-EPI drain of transistor N1 is directly connected to the P-EPI drain of transistor P1. The other N-EPI elements are insulated from the P-EPI elements by an insulating material. An N-EPI source element of transistor N2 is connected to V SS implemented on the front side of the wafer. Gates 54 enclose channels 62. By stacking transistors N1 and N2 on top of transistors P1 and P2, the wafer footprint of NAND circuit 60 of the present invention is advantageously reduced. At the in 1I In the illustrated embodiment, the NMOS transistors N1 and N2 are arranged above the PMOS transistors P1 and P2. In an alternate embodiment, NAND circuit 60 may be implemented by stacking PMOS transistors P1 and P2 on top of NMOS transistors N1 and N2, implementing V SS on the back side of a wafer, and implementing V DD on the front side of the wafer.

1J zeigt eine schematische Darstellung einer NOR-Schaltung 70. Die NOR-Schaltung 70 weist zwei in Reihe geschaltete P-FETs P1 und P2 und zwei parallel geschaltete N-FETs N1 und N2 auf. Drain-Anschlüsse der Transistoren P2, N1 und N2 sind miteinander verbunden. Der Source-Anschluss des Transistors P1 ist mit VDD verbunden. Die Source-Anschlüsse der Transistoren N1 und N2 sind mit VSS verbunden. 1K zeigt eine Implementierung der NOR-Schaltung 70 mit CMOS-Stapelstrukturen gemäß der vorliegenden Erfindung. In 1K weist jeder der Transistoren P1 und P2 zwei P-EPIs auf, die über einen Kanal 62 verbunden sind. Das P-EPI-Source-Element des Transistors P1 ist mit der VDD verbunden, die auf der Rückseite des Wafers implementiert ist. Die Transistoren P1 und P2 nutzen ein gemeinsames P-EPI-Source-/Drain-Element. Jeder der Transistoren N1 und N2 weist zwei N-EPIs auf, die über zwei Kanäle 62 verbunden sind. Das N-EPI-Drain-Element des Transistors N2 ist direkt mit dem P-EPI-Drain-Element des Transistors P2 verbunden. Die anderen N-EPI-Elemente sind durch ein Isoliermaterial gegen die P-EPI-Elemente isoliert. Die N-EPI-Drain-Elemente der Transistoren N1 und N2 sind durch eine Signalleitung, die auf der Vorderseite des Wafers implementiert ist, miteinander verbunden. Die N-EPI-Source-Elemente der Transistoren N1 und N2 werden gemeinsam genutzt und sind mit der VSS verbunden, die auf der Vorderseite des Wafers implementiert ist. Die Gates 54 umschließen die Kanäle 62. Durch Aufeinanderstapeln der Transistoren N1 und N2 auf den Transistoren P1 und P2 wird die Grundfläche der erfindungsgemäßen NOR-Schaltung 70 auf dem Wafer vorteilhaft reduziert. Bei der in 1K dargestellten Ausführungsform sind die NMOS-Transistoren N1 und N2 über den PMOS-Transistoren P1 und P2 angeordnet. Bei einer alternativen Ausführungsform kann die NOR-Schaltung 70 durch Aufeinanderstapeln der PMOS-Transistoren P1 und P2 über den NMOS-Transistoren N1 und N2, Implementieren der VSS auf der Rückseite eines Wafers und Implementieren der VDD auf der Vorderseite des Wafers implementiert werden. 1y shows a schematic representation of a NOR circuit 70. The NOR circuit 70 has two P-FETs P1 and P2 connected in series and two N-FETs N1 and N2 connected in parallel. Drain terminals of the transistors P2, N1 and N2 are connected together. The source of transistor P1 is connected to VDD. The sources of transistors N1 and N2 are connected to V SS . 1K 12 shows an implementation of the NOR circuit 70 with CMOS stack structures according to the present invention. In 1K each of the transistors P1 and P2 has two P-EPIs connected via a channel 62. FIG. The P-EPI source element of transistor P1 is connected to VDD, which is implemented on the back side of the wafer. Transistors P1 and P2 share a common P-EPI source/drain device. Each of the transistors N1 and N2 has two N-EPIs that are connected via two channels 62. FIG. The N-EPI drain of transistor N2 is directly connected to the P-EPI drain of transistor P2. The other N-EPI elements are insulated from the P-EPI elements by an insulating material. The N-EPI drain elements of transistors N1 and N2 are connected together by a signal line implemented on the front side of the wafer. The N-EPI sources of transistors N1 and N2 are shared and connected to the V SS implemented on the front side of the wafer. Gates 54 enclose channels 62. By stacking transistors N1 and N2 on top of transistors P1 and P2, the wafer footprint of NOR circuit 70 of the present invention is advantageously reduced. At the in 1K In the illustrated embodiment, the NMOS transistors N1 and N2 are arranged above the PMOS transistors P1 and P2. In an alternate embodiment, NOR circuit 70 may be implemented by stacking PMOS transistors P1 and P2 on top of NMOS transistors N1 and N2, implementing V SS on the back side of a wafer, and implementing V DD on the front side of the wafer.

Die 1A, 1C, 1E, 1H und 1J sind nicht-beschränkende beispielhafte Schaltkreise, die von der CMOS-Stapelstruktur der vorliegenden Erfindung profitieren können. Insbesondere gehören die offenbarten Inverter, Latches, SRAM-Zellen, NAND- und NOR-Schaltungen zu den Bausteinen eines integrierten CMOS-Schaltkreises. Fachleute dürften erkennen, dass sie die vorliegende Erfindung ohne weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Schaltkreise zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen.the 1A , 1C , 1E , 1H and 1y are non-limiting example circuits that may benefit from the CMOS stack structure of the present invention. In particular, the disclosed inverters, latches, SRAM cells, NAND and NOR circuits are among the building blocks of a CMOS integrated circuit. Those skilled in the art should appreciate that they can readily use the present invention as a basis for designing or modifying other circuits to achieve the same goals and/or obtain the same benefits as the embodiments presented herein, without departing from the scope of the present invention .

Die 2A, 2B, 2C und 2D zeigen ein Ablaufdiagramm eines Verfahrens 100 zum Herstellen einer Halbleitervorrichtung mit einer CMOS-Stapelstruktur gemäß verschiedenen Aspekten der vorliegenden Erfindung. In der vorliegenden Erfindung wird eine weitere Bearbeitung in Betracht gezogen. Weitere Schritte können vor, während und nach dem Verfahren 100 vorgesehen werden, und einige der beschriebenen Schritte können bei weiteren Ausführungsformen des Verfahrens 100 verschoben, ersetzt oder weggelassen werden.the 2A , 2 B , 2C and 2D 12 shows a flow diagram of a method 100 for manufacturing a semiconductor device having a CMOS stacked structure according to various aspects of the present invention. In the present invention, further processing is contemplated. Additional steps may be provided before, during, and after the method 100, and some of the described steps may be moved, substituted, or omitted in other embodiments of the method 100.

Das Verfahren 100 wird nachstehend in Verbindung mit den 3 bis 31 beschrieben, die verschiedene Drauf- und Schnittansichten einer Halbleitervorrichtung (oder einer Halbleiterstruktur) 200 auf verschiedenen Herstellungsstufen gemäß dem Verfahren 100 gemäß einigen Ausführungsformen zeigen. Bei einigen Ausführungsformen ist die Vorrichtung 200 Teil eines IC-Chips oder ein System-on-Chip (SoC) oder ein Teil davon, das/der verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, P-FETs, N-FETs, FinFETs, Nanolagen-FETs, Nanodraht-FETs, andere Arten von Multi-Gate-FETs, Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs), CMOS-Transistoren, Bipolartransistoren (BJTs), seitlich ausdiffundierte MOS-Transistoren (LDMOS-Transistoren), Hochspannungstransistoren, Hochfrequenztransistoren, Speichervorrichtungen, andere geeignete Komponenten oder Kombinationen davon aufweist. Die 3 bis 31 sind der Übersichtlichkeit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. In der Vorrichtung 200 können weitere Strukturelemente hinzugefügt werden, und einige der später beschriebenen Strukturelemente können bei anderen Ausführungsformen der Vorrichtung 200 ersetzt, modifiziert oder weggelassen werden.The method 100 is described below in connection with the 3 until 31 1, which show various top and cross-sectional views of a semiconductor device (or a semiconductor structure) 200 at various stages of fabrication according to the method 100, according to some embodiments. In some embodiments, device 200 is part or part of an IC chip or system-on-chip (SoC) that includes various passive and active microelectronic devices such as resistors, capacitors, inductors, diodes, P-FETs, N -FETs, FinFETs, nanosheet FETs, nanowire FETs, other types of multi-gate FETs, metal oxide semiconductor field effect transistors (MOSFETs), CMOS transistors, bipolar junction transistors (BJTs), laterally diffused MOS transistors (LDMOS transistors), high voltage transistors , high frequency transistors, memory devices, other suitable components, or combinations thereof. the 3 until 31 have been simplified for clarity in order to better understand the inventive concepts of the present invention. Other structural elements may be added to device 200, and some of the structural elements described later may be substituted, modified, or omitted in other embodiments of device 200.

In einem Schritt 102 wird bei dem Verfahren 100 (2A) eine Struktur bereitgestellt, die ein Substrat 201 aufweist, in oder auf dem verschiedene Komponenten hergestellt sind, unter anderem Halbleiterfinnen 218, eine Isolationsstruktur 230, dielektrische Finnen (Isolationsfinnen) 229, Opfergatestapel (oder Dummy-Gatestapel) 240, Gate-Abstandshalter 247 und verschiedene weitere Komponenten, wie etwa die, die in den 3 bis 5C gezeigt sind. Diese Komponenten und die Verfahren zu ihrer Herstellung werden später unter Bezugnahme auf die 3 bis 5C näher erörtert.In a step 102, in the method 100 ( 2A) a structure is provided comprising a substrate 201 in or on which various components are fabricated, including semiconductor fins 218, an isolation structure 230, dielectric fins (isolation fins) 229, sacrificial gate stacks (or dummy gate stacks) 240, gate spacers 247, and miscellaneous other components, such as those in the 3 until 5C are shown. These components and the methods of their manufacture are described later with reference to FIG 3 until 5C discussed in more detail.

In 3 wird ein Stapel 205 von Halbleiterschichten 210 und 215 über einer Halbleiterschicht 204 über einem Substrat 201 hergestellt. Bei einer Ausführungsform ist das Substrat 201 ein massives Siliziumsubstrat (d. h., es weist massives einkristallines Silizium auf). Bei verschiedenen Ausführungsformen kann das Substrat 201 andere Halbleitermaterialien aufweisen, wie etwa Germanium, Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid, Indiumantimonid, SiGe, GaAsP, AllnAs, AIGaAs, GalnAs, GaInP oder GalnAsP oder Kombinationen davon. Bei einer alternativen Ausführungsform ist das Substrat 201 ein Halbleiter-auf-Isolator-Substrat, wie etwa ein Silizium-auf-Isolator-Substrat (SOI-Substrat), ein Siliziumgermanium-auf-Isolator-Substrat (SGOI-Substrat) oder ein Germanium-auf-Isolator-Substrat (GOl-Substrat). Bei einigen Ausführungsformen kann die Halbleiterschicht 204 Silizium, Siliziumgermanium, Germanium oder ein anderer geeigneter Halbleiter sein.In 3 For example, a stack 205 of semiconductor layers 210 and 215 is fabricated over a semiconductor layer 204 over a substrate 201. FIG. In one embodiment, substrate 201 is a bulk silicon substrate (ie, comprises bulk single crystal silicon). With different versions In other forms, the substrate 201 may include other semiconductor materials such as germanium, silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, indium antimonide, SiGe, GaAsP, AllnAs, AlGaAs, GalnAs, GaInP, or GalnAsP, or combinations thereof. In an alternative embodiment, the substrate 201 is a semiconductor-on-insulator substrate, such as a silicon-on-insulator (SOI) substrate, a silicon-germanium-on-insulator (SGOI) substrate, or a germanium- on insulator substrate (GO1 substrate). In some embodiments, the semiconductor layer 204 may be silicon, silicon germanium, germanium, or another suitable semiconductor.

Der Halbleiterschichtstapel 205 weist Halbleiterschichten 210 und Halbleiterschichten 215 auf, die in einer verschachtelten oder alternierenden Konfiguration vertikal (z. B. entlang der z-Richtung) aufeinandergestapelt sind. Bei einigen Ausführungsformen werden die Halbleiterschichten 210 und die Halbleiterschichten 215 in der dargestellten verschachtelten und alternierenden Konfiguration epitaxial aufgewachsen. Das epitaxiale Aufwachsen der Halbleiterschichten 210 und der Halbleiterschichten 215 kann durch Molekularstrahlepitaxie (MBE), chemische Aufdampfung (CVD), metallorganische chemische Aufdampfung (MOCVD), mit einem anderen geeigneten epitaxialen Aufwachsverfahren oder Kombinationen davon erfolgen. Eine Zusammensetzung der Halbleiterschichten 210 ist von einer Zusammensetzung der Halbleiterschichten 215 verschieden, um eine Ätzselektivität und/oder unterschiedliche Oxidationsgeschwindigkeiten während der späteren Bearbeitung zu erzielen. Die Halbleiterschichten 210 und die Halbleiterschichten 215 können zum Beispiel unterschiedliche Materialien, konstituierende Atomanteile, konstituierende Massenanteile, Dicken und/oder Eigenschaften aufweisen, um eine gewünschte Ätzselektivität und/oder unterschiedliche Oxidationsgeschwindigkeiten zu erzielen. Bei einer Ausführungsform können die Halbleiterschichten 210 zum Beispiel Siliziumgermanium aufweisen, und die Halbleiterschichten 215 können Silizium aufweisen. Bei einigen Ausführungsformen können die Halbleiterschichten 210 und die Halbleiterschichten 215 das gleiche Material, aber mit unterschiedlichen konstituierenden Atomanteilen, aufweisen, um die Ätzselektivität und/oder unterschiedliche Oxidationsgeschwindigkeiten zu erzielen. Zum Beispiel können sowohl die Halbleiterschichten 210 als auch die Halbleiterschichten 215 Siliziumgermanium aufweisen, wobei die Halbleiterschichten 210 einen ersten Silizium-Atomanteil und/oder einen ersten Germanium-Atomanteil haben und die Halbleiterschichten 215 einen zweiten, anderen Silizium-Atomanteil und/oder einen zweiten, anderen Germanium-Atomanteil haben. In der vorliegenden Erfindung wird in Betracht gezogen, dass die Halbleiterschichten 210 und die Halbleiterschichten 215 eine Kombination von Halbleitermaterialien aufweisen, die die gewünschte Ätzselektivität, gewünschte Oxidationsgeschwindigkeitsdifferenzen und/oder gewünschte Gebrauchseigenschaften (z. B. Materialien, die den Stromfluss optimieren) bereitstellen können, unter anderem einige der Halbleitermaterialien, die hier offenbart sind.The semiconductor layer stack 205 comprises semiconductor layers 210 and semiconductor layers 215 stacked on top of each other vertically (eg, along the z-direction) in an interleaved or alternating configuration. In some embodiments, semiconductor layers 210 and semiconductor layers 215 are epitaxially grown in the illustrated interleaved and alternating configuration. The epitaxial growth of the semiconductor layers 210 and the semiconductor layers 215 can be performed by Molecular Beam Epitaxy (MBE), Chemical Vapor Deposition (CVD), Metal Organic Chemical Vapor Deposition (MOCVD), any other suitable epitaxial growth method, or combinations thereof. A composition of the semiconductor layers 210 is different from a composition of the semiconductor layers 215 to achieve etch selectivity and/or different oxidation rates during later processing. For example, the semiconductor layers 210 and the semiconductor layers 215 may have different materials, constituent atomic fractions, constituent mass fractions, thicknesses, and/or properties to achieve a desired etch selectivity and/or different oxidation rates. For example, in one embodiment, semiconductor layers 210 may include silicon germanium and semiconductor layers 215 may include silicon. In some embodiments, the semiconductor layers 210 and the semiconductor layers 215 may comprise the same material but with different constituent atomic fractions to achieve etch selectivity and/or different oxidation rates. For example, both the semiconductor layers 210 and the semiconductor layers 215 may comprise silicon germanium, with the semiconductor layers 210 having a first silicon atomic fraction and/or a first germanium atomic fraction and the semiconductor layers 215 having a second, different silicon atomic fraction and/or a second, have a different proportion of germanium atoms. In the present invention, it is contemplated that the semiconductor layers 210 and the semiconductor layers 215 comprise a combination of semiconductor materials that can provide the desired etch selectivity, desired oxidation rate differences, and/or desired performance properties (e.g., materials that optimize current flow). including some of the semiconductor materials disclosed herein.

Wie später näher dargelegt wird, bilden die Halbleiterschichten 215 oder Teile davon Kanalbereiche der Vorrichtung 200. Bei der dargestellten Ausführungsform weist der Halbleiterschichtstapel 205 drei Halbleiterschichten 210 und drei Halbleiterschichten 215 auf. Nachdem die Schichten eine weitere Bearbeitung durchlaufen haben, führt diese Konfiguration dazu, dass die Vorrichtung 200 drei Kanäle hat. In der vorliegenden Erfindung werden jedoch Ausführungsformen in Betracht gezogen, bei denen zum Beispiel in Abhängigkeit von einer Anzahl von Kanälen, die für die Vorrichtung 200 gewünscht wird, der Halbleiterschichtstapel 205 mehr oder weniger Halbleiterschichten aufweist. Zum Beispiel kann der Halbleiterschichtstapel 205 zwei bis zehn Halbleiterschichten 210 und zwei bis zehn Halbleiterschichten 215 aufweisen. Wie später dargelegt wird, werden bei dem Verfahren 100 Schichten auf beiden Seiten des Substrats 201 bearbeitet. In der vorliegenden Erfindung wird die Seite des Substrat 201, auf der sich der Stapel 205 befindet, als die Vorderseite bezeichnet, und die Seite, die der Vorderseite gegenüberliegt, wird als die Rückseite bezeichnet.As will be explained in more detail later, the semiconductor layers 215 or parts thereof form channel regions of the device 200. In the illustrated embodiment, the semiconductor layer stack 205 has three semiconductor layers 210 and three semiconductor layers 215. After the layers have undergone further processing, this configuration results in the device 200 having three channels. However, the present invention contemplates embodiments where, for example, depending on a number of channels desired for the device 200, the semiconductor layer stack 205 has more or fewer semiconductor layers. For example, the semiconductor layer stack 205 may include two to ten semiconductor layers 210 and two to ten semiconductor layers 215 . As will be explained later, in the method 100 layers on both sides of the substrate 201 are processed. In the present invention, the side of the substrate 201 on which the stack 205 is located is referred to as the front side, and the side opposite the front side is referred to as the back side.

4A zeigt eine Draufsicht der Vorrichtung 200 mit Finnen 218, die entlang der x-Richtung orientiert sind, und 4B zeigt eine Teil-Schnittansicht der Vorrichtung 200 entlang einer Linie B - B von 4A. Wie in 4B gezeigt ist, weisen die Finnen 218 den strukturierten Stapel 205 (mit den Schichten 210 und 215), strukturierte Bereiche 204 und eine oder mehrere strukturierte Hartmaskenschichten 206 auf. Die Finnen 218 können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen 218 mit einem oder mehreren Fotolithografieprozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die ansonsten mit einem einzelnen direkten Fotolithografieprozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform über dem Stapel 205 eine Opferschicht hergestellt, die dann mit einem Fotolithografieprozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter, oder Dorne, können dann als ein Maskierungselement zum Strukturieren der Finnen 218 verwendet werden. Das Maskierungselement kann zum Beispiel zum Ätzen von Aussparungen in den Stapel 205 und das Substrat 201 verwendet werden, sodass die Finnen 218 auf dem Substrat 201 zurückbleiben. Der Ätzprozess kann eine Trockenätzung, eine Nassätzung, eine reaktive Ionenätzung (RIE) und/oder andere geeignete Prozesse umfassen. Für einen Trockenätzprozess kann zum Beispiel ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z. B. Cl2, CHCI3, CCI4 und/oder BCI3), ein bromhaltiges Gas (z. B. HBr und/oder CHBr3), ein iodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon verwendet werden. Ein Nassätzprozess kann zum Beispiel eine Ätzung in verdünnter Fluorwasserstoffsäure (DHF); Kaliumhydroxid(KOH)-Lösung; Ammoniak; einer Lösung, die Fluorwasserstoffsäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält; oder in einem anderen geeigneten Nassätzmittel umfassen. Es können zahlreiche weitere Ausführungsformen von Verfahren zum Herstellen der Finnen 218 geeignet sein. 4A 12 shows a top view of device 200 with fins 218 oriented along the x-direction, and 4B FIG. 12 shows a partial sectional view of the device 200 along a line BB of FIG 4A . As in 4B As shown, fins 218 include patterned stack 205 (comprising layers 210 and 215), patterned regions 204, and one or more patterned hard mask layers 206. FIG. The fins 218 can be patterned using any suitable method. For example, the fins 218 may be patterned with one or more photolithographic processes, such as double patterning or multiple patterning processes. In general, double-patterning or multi-patterning processes combine photolithographic and self-aligned processes that can create structures that have, for example, grid spacings that are smaller than those that can otherwise be achieved with a single direct photolithographic process. For example, in one embodiment, a sacrificial layer is fabricated over the stack 205, which is then patterned with a photolithography process. Spacers are produced along the structured sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the remaining spacers, or mandrels, can then be used as a Masking element for structuring the fins 218 are used. For example, the masking element can be used to etch recesses in the stack 205 and the substrate 201 such that the fins 218 remain on the substrate 201 . The etching process may include a dry etch, a wet etch, a reactive ion etch (RIE), and/or other suitable processes. For a dry etching process, for example, an oxygen-containing gas, a fluorine-containing gas (e.g. CF 4 , SF 6 , CH 2 F 2 , CHF 3 and/or C 2 F 6 ), a chlorine-containing gas (e.g. Cl 2 , CHCI 3 , CCI 4 and/or BCI 3 ), a bromine-containing gas (e.g. HBr and/or CHBr 3 ), an iodine-containing gas, other suitable gases and/or plasmas and/or combinations thereof can be used. A wet etch process may include, for example, a dilute hydrofluoric acid (DHF) etch; potassium hydroxide (KOH) solution; Ammonia; a solution containing hydrofluoric acid (HF), nitric acid (HNO 3 ) and/or acetic acid (CH 3 COOH); or in another suitable wet etchant. Numerous other embodiments of methods of manufacturing the fins 218 may be suitable.

5A zeigt eine Draufsicht der Vorrichtung 200, und die 5B und 5C zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B bzw. einer Linie C - C von 5A. In den 5A, 5B und 5C werden verschiedene Komponenten um und/oder über den Finnen 218 hergestellt, unter anderem die Folgenden: eine Isolationsstruktur (oder ein Isolationselement) 230, die einen unteren Teil der Finnen 218 isoliert; eine Mantelschicht 231 über der Isolationsstruktur 230 und auf Seitenwänden der Finnen 218; dielektrische Finnen (oder Dummy-Finnen) 229 über der Isolationsstruktur 230 und auf Seitenwänden der Mantelschicht 231; Opfergatestapel 240 über den Finnen 218; und Gate-Abstandshalter 247 auf Seitenwänden der Opfergatestapel 240. 5A shows a top view of the device 200, and the 5B and 5C show partial sectional views of the device 200 along a line B - B and a line C - C of FIG 5A . In the 5A , 5B and 5C Various components are fabricated around and/or over the fins 218, including the following: an isolation structure (or isolation element) 230 that isolates a lower portion of the fins 218; a cladding layer 231 over the isolation structure 230 and on sidewalls of the fins 218; dielectric fins (or dummy fins) 229 over isolation structure 230 and on sidewalls of cladding layer 231; sacrificial gate stack 240 over fins 218; and gate spacers 247 on sidewalls of sacrificial gate stacks 240.

In 5C werden das eine oder die mehreren Isolationselemente 230 über dem Substrat 201 hergestellt, um verschiedene Bereiche der Vorrichtung 200 zu isolieren. Zum Beispiel umschließen die Isolationselemente 230 einen unteren Teil der Finnen 218, um die Finnen 218 voneinander zu trennen und gegeneinander zu isolieren. Die Isolationselemente 230 weisen Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, andere geeignete Isoliermaterialien (zum Beispiel Silizium, Sauerstoff, Stickstoff, Kohlenstoff oder andere geeignete Isolationskomponenten) oder Kombinationen davon auf. Die Isolationselemente 230 können unterschiedliche Strukturen aufweisen, wie etwa STI-Strukturen (STI: flache Grabenisolation) und/oder DTI-Strukturen (DTI: tiefe Grabenisolation). Bei einer Ausführungsform können die Isolationselemente 230 wie folgt hergestellt werden: Füllen von Gräben zwischen Finnen 218 mit Isoliermaterial (zum Beispiel mit einem CVD-Prozess oder einem Spin-on-Glass-Prozess); Durchführen eines CMP-Prozesses (CMP: chemisch-mechanische Polierung) zum Entfernen von überschüssigem Isoliermaterial und/oder zum Planarisieren einer Oberseite der Isoliermaterialschicht; und Rückätzen der Isoliermaterialschicht, um die Isolationselemente 230 herzustellen. Bei einigen Ausführungsformen weisen die Isolationselemente 230 eine Mehrschichtstruktur auf, wie etwa eine Siliziumnitridschicht, die über einer thermischen Oxidbelagschicht angeordnet ist.In 5C the one or more isolation elements 230 are fabricated over the substrate 201 to isolate various areas of the device 200 . For example, the isolation elements 230 enclose a lower portion of the fins 218 to separate and isolate the fins 218 from each other. The isolation elements 230 include silicon oxide, silicon nitride, silicon oxynitride, other suitable insulating materials (e.g., silicon, oxygen, nitrogen, carbon, or other suitable insulating components), or combinations thereof. The isolation elements 230 may have different structures, such as STI structures (STI: shallow trench isolation) and/or DTI structures (DTI: deep trench isolation). In one embodiment, the isolation elements 230 may be manufactured by: filling trenches between fins 218 with insulating material (e.g., with a CVD process or a spin-on-glass process); performing a CMP (Chemical Mechanical Polishing) process to remove excess insulating material and/or to planarize a top surface of the insulating material layer; and etching back the insulating material layer to form the insulating elements 230. In some embodiments, the isolation elements 230 have a multi-layer structure, such as a silicon nitride layer disposed over a thermal oxide scale layer.

Die Mantelschicht 231 wird über den Seitenwandflächen der Finnen 218 und über den Isolationselementen 230 abgeschieden. Bei einer Ausführungsform weist die Mantelschicht 231 SiGe auf. Die Mantelschicht 231 kann durch CVD, physikalische Abscheidung (PVD), Atomlagenabscheidung (ALD), chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD), metallorganische chemische Aufdampfung (MOCVD), Remote-Plasma-CVD (RPCVD), plasmaunterstützte chemische Aufdampfung (PECVD), chemische Aufdampfung bei Tiefdruck (LPCVD), Atomlagen-CVD (ALCVD), chemische Gasphasenabscheidung bei Atmosphärendruck (APCVD) oder mit anderen geeigneten Verfahren oder Kombinationen davon abgeschieden werden.The cladding layer 231 is deposited over the sidewall surfaces of the fins 218 and over the isolation elements 230 . In one embodiment, cladding layer 231 comprises SiGe. The cladding layer 231 may be formed by CVD, physical deposition (PVD), atomic layer deposition (ALD), high density plasma chemical vapor deposition (HDP-CVD), metal organic chemical vapor deposition (MOCVD), remote plasma CVD (RPCVD), plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), atomic layer CVD (ALCVD), atmospheric pressure chemical vapor deposition (APCVD), or other suitable methods or combinations thereof.

Bei der vorliegenden Ausführungsform weist die dielektrische Finne 229 einen dielektrischen Belag 232, eine dielektrische Füllschicht 233 und eine dielektrische Kappe 234 auf. Die dielektrische Finne 229 kann bei alternativen Ausführungsformen anders konfiguriert sein. Der dielektrische Belag 232 wird über den Seitenwänden der Mantelschicht 231 und auf Oberseiten der Isolationselemente 230 abgeschieden, und die dielektrische Füllschicht 233 wird über dem dielektrischen Belag 232 abgeschieden und füllt Spalte zwischen den Finnen 218. Bei einer Ausführungsform weist der dielektrische Belag 232 ein dielektrisches Low-k-Material auf, das zum Beispiel Si, O, N und C enthält. Beispielhafte dielektrische Low-k-Materialien sind Fluorsilicatglas (FSG), mit Kohlenstoff dotiertes Siliziumoxid, Xerogel, Aerogel, amorpher Fluorkohlenstoff, Parylen, BCB (Benzocyclobuten), Polyimid oder Kombinationen davon. Dielektrische Low-k-Materialien sind im Allgemeinen dielektrische Materialien, die eine niedrige Dielektrizitätskonstante (k) haben, die zum Beispiel niedriger als etwa 7 ist. Der dielektrische Belag 232 kann durch CVD, PVD, ALD, HDP-CVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, mit anderen geeigneten Verfahren oder Kombinationen davon abgeschieden werden. Bei einer Ausführungsform weist die dielektrische Füllschicht 233 Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, aus TEOS-Oxid (TEOS: Tetraethylorthosilicat), Phosphorsilicatglas (PSG), Borphosphorsilicatglas (BPSG), ein dielektrisches Low-k-Material, ein anderes geeignetes dielektrisches Material oder Kombinationen davon auf. Die dielektrische Füllschicht 233 kann mit einem FCVD-Prozess (FCVD: fließfähige CVD) abgeschieden werden, der zum Beispiel ein Abscheiden eines fließfähigen Materials (wie etwa einer flüssigen Verbindung) über der Vorrichtung 200 und ein Umwandeln des fließfähigen Materials in ein festes Material mit einem geeigneten Verfahren wie thermisches Tempern und/oder UV-Bestrahlung umfasst. Die dielektrische Füllschicht 233 kann auch mit anderen Verfahren abgeschieden werden.In the present embodiment, the dielectric fin 229 includes a dielectric liner 232 , a dielectric fill layer 233 , and a dielectric cap 234 . Dielectric fin 229 may be configured differently in alternative embodiments. Dielectric liner 232 is deposited over the sidewalls of cladding layer 231 and on tops of insulating members 230, and dielectric fill layer 233 is deposited over dielectric liner 232 and fills gaps between fins 218. In one embodiment, dielectric liner 232 has a dielectric low -k material containing Si, O, N and C, for example. Exemplary low-k dielectric materials are fluorosilicate glass (FSG), carbon-doped silica, xerogel, aerogel, amorphous fluorocarbon, parylene, BCB (benzocyclobutene), polyimide, or combinations thereof. Low-k dielectric materials are generally dielectric materials that have a low dielectric constant (k), which is less than about 7, for example. The dielectric film 232 may be deposited by CVD, PVD, ALD, HDP-CVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, other suitable methods, or combinations thereof. In one embodiment, the dielectric fill layer 233 comprises silicon oxide, silicon nitride, silicon oxynitride, TEOS oxide (TEOS: tetraethyl orthosilicate), phosphorus silicate glass (PSG), borophosphorus silicate glass (BPSG), a dielectric cal low-k material, another suitable dielectric material, or combinations thereof. The dielectric fill layer 233 may be deposited with an FCVD process (FCVD: flowable CVD), which may involve, for example, depositing a flowable material (such as a liquid compound) over the device 200 and converting the flowable material into a solid material with a suitable methods such as thermal annealing and / or UV irradiation. The dielectric fill layer 233 can also be deposited using other methods.

Die dielektrische Kappe 234 wird über den dielektrischen Schichten 232 und 233 und zwischen den Mantelschichten 231 auf gegenüberliegenden Seitenwänden der Finnen 218 abgeschieden. Bei einer Ausführungsform weist die dielektrische Kappe 234 ein dielektrisches High-k-Material auf, wie etwa HfO2, HfSiO, HfSiO4, HfSiON, HfLaO, HfTaO, HfTiO, HfZrO, HfAlOx, ZrO, ZrO2, ZrSiO2, AIO, AlSiO, Al2O3, TiO, TiO2, LaO, LaSiO, Ta2O3, Ta2O5, Y2O3, SrTiO3, BaZrO, BaTiOs (BTO), (Ba,Sr)TiO3 (BST), Si3N4, Hafniumdioxid-Aluminiumoxid-Legierung (HfO2-Al2O3-Legierung), ein anderes geeignetes dielektrisches High-k-Material oder Kombinationen davon. Dielektrische High-k-Materialien sind im Allgemeinen dielektrische Materialien, die eine hohe Dielektrizitätskonstante haben, zum Beispiel k > 7. Die dielektrische Kappe 234 wird mit einem der hier genannten Verfahren hergestellt, wie etwa ALD, CVD, PVD, einem Abscheidungsverfahren auf Oxidationsbasis, anderen geeigneten Verfahren oder Kombinationen davon. Bei einer Ausführungsform können die dielektrischen Schichten 232 und 233 mit einem selektiven Ätzprozess ausgespart werden, mit dem die dielektrischen Schichten 232 und 233 geätzt werden, aber die Hartmaske 206 (4B) und die Mantelschicht 231 nicht oder nur minimal geätzt werden. Dann werden ein oder mehrere dielektrische Materialien in die Aussparungen abgeschieden, und ein CMP-Prozess wird an dem einen oder den mehreren dielektrischen Materialien durchgeführt, um die dielektrische Kappe 234 herzustellen. Anschließend werden die Hartmaskenschichten 206 entfernt, und über den Finnen 218 werden die Opfergatestapel 240 hergestellt.Dielectric cap 234 is deposited over dielectric layers 232 and 233 and between cladding layers 231 on opposite sidewalls of fins 218 . In one embodiment, the dielectric cap 234 comprises a high-k dielectric material, such as HfO 2 , HfSiO, HfSiO 4 , HfSiON, HfLaO, HfTaO, HfTiO, HfZrO, HfAlO x , ZrO, ZrO 2 , ZrSiO 2 , AIO, AlSiO, Al 2 O 3 , TiO, TiO 2 , LaO, LaSiO, Ta 2 O 3 , Ta 2 O 5 , Y 2 O 3 , SrTiO 3 , BaZrO, BaTiO s (BTO), (Ba,Sr)TiO 3 ( BST), Si 3 N 4 , hafnia-alumina alloy (HfO 2 -Al 2 O 3 alloy), another suitable high-k dielectric material, or combinations thereof. High-k dielectric materials are generally dielectric materials that have a high dielectric constant, e.g., k > 7. The dielectric cap 234 is fabricated using any of the processes mentioned herein, such as ALD, CVD, PVD, an oxidation-based deposition process, other suitable methods or combinations thereof. In one embodiment, dielectric layers 232 and 233 may be recessed with a selective etch process that etches dielectric layers 232 and 233 but leaves hard mask 206 ( 4B) and the cladding layer 231 are not etched or only minimally etched. Then, one or more dielectric materials are deposited into the recesses and a CMP process is performed on the one or more dielectric materials to form the dielectric cap 234 . Next, the hard mask layers 206 are removed and the sacrificial gate stacks 240 are fabricated over the fins 218 .

In 5B weisen die Opfergatestapel 240 eine dielektrische Dummy-Gateschicht 235, eine Dummy-Gateelektrodenschicht 245 und eine oder mehrere Hartmaskenschichten 246 auf. Bei der vorliegenden Ausführungsform werden die Opfergatestapel 240 in einem späteren Herstellungsschritt durch funktionelle Gatestapel 240' ersetzt. Bei einigen Ausführungsformen weist die dielektrische Dummy-Gateschicht 235 ein dielektrisches Material auf, wie etwa Siliziumoxid, ein dielektrisches High-k-Material, andere geeignete dielektrische Materialien oder Kombinationen davon; die Dummy-Gateelektrodenschicht 245 weist Polysilizium oder ein anderes geeignetes Material auf; und die eine oder die mehreren Hartmaskenschichten 246 weisen Siliziumoxid, Siliziumnitrid oder andere geeignete Materialien auf. Die Opfergatestapel 240 werden mit Abscheidungsprozessen, Lithografieprozessen, Ätzprozessen, anderen geeigneten Prozessen oder Kombinationen davon hergestellt. Zum Beispiel können die Schichten 235, 245 und 246 durch CVD, PVD, ALD oder mit anderen geeigneten Verfahren abgeschieden werden. Dann wird ein lithografischer Strukturierungs- und Ätzprozess durchgeführt, um die Schichten 235, 245 und 246 zu den Opfergatestapeln 240 zu strukturieren, wie in 5B gezeigt ist. Die lithografischen Strukturierungsprozesse umfassen Resistbeschichtung (z. B. Schleuderbeschichtung), Vorhärtung, Maskenjustierung, Belichtung, Härtung nach der Belichtung, Entwicklung des Resists, Wässerung, Trocknung (zum Beispiel Nachhärtung), andere geeignete Lithografieprozesse oder Kombinationen davon. Die Ätzprozesse umfassen Trockenätzung, Nassätzung, andere Ätzprozesse oder Kombinationen davon.In 5B sacrificial gate stacks 240 include a dummy gate dielectric layer 235, a dummy gate electrode layer 245, and one or more hard mask layers 246. FIG. In the present embodiment, the sacrificial gate stacks 240 are replaced with functional gate stacks 240' in a later manufacturing step. In some embodiments, dummy gate dielectric layer 235 comprises a dielectric material, such as silicon oxide, a high-k dielectric material, other suitable dielectric materials, or combinations thereof; dummy gate electrode layer 245 comprises polysilicon or other suitable material; and the one or more hard mask layers 246 comprise silicon oxide, silicon nitride, or other suitable materials. The sacrificial gate stacks 240 are fabricated using deposition processes, lithography processes, etching processes, other suitable processes, or combinations thereof. For example, layers 235, 245, and 246 may be deposited by CVD, PVD, ALD, or other suitable methods. Then a lithographic patterning and etching process is performed to pattern the layers 235, 245 and 246 into the sacrificial gate stacks 240 as shown in FIG 5B is shown. The lithographic patterning processes include resist coating (e.g., spin coating), pre-bake, mask alignment, exposure, post-exposure bake, development of the resist, washing, drying (e.g., post-bake), other suitable lithographic processes, or combinations thereof. The etch processes include dry etch, wet etch, other etch processes, or combinations thereof.

Bleiben wir bei 5B. Die Gate-Abstandshalter 247 werden auf Seitenwänden der Opfergatestapel 240 hergestellt. Die Gate-Abstandshalter 247 werden mit einem geeigneten Verfahren hergestellt und weisen ein dielektrisches Material auf. Das dielektrische Material kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder eine Kombination davon sein, z. B. Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid (SiON), Siliziumcarbid, Silizium-Kohlenstoff-Nitrid (SiCN), Siliziumoxidcarbid (SiOC) oder Siliziumoxidcarbonitrid (SiOCN). Über den Opfergatestapeln 240 kann zum Beispiel eine dielektrische Schicht, die Silizium und Stickstoff aufweist, wie etwa eine Siliziumnitridschicht, abgeschieden werden und anschließend (z. B. anisotrop) geätzt werden, um die Gate-Abstandshalter 247 herzustellen. Bei einigen Ausführungsformen haben die Gate-Abstandshalter 247 eine Mehrschichtstruktur, wie etwa eine erste dielektrische Schicht, die Siliziumnitrid aufweist, und eine zweite dielektrische Schicht, die Siliziumoxid aufweist. Bei einigen Ausführungsformen wird mehr als eine Gruppe von Abstandshaltern, wie etwa Dichtungsabstandshalter, versetzte Abstandshalter, Opfer-Abstandshalter, Dummy-Abstandshalter und/oder Haupt-Abstandshalter, benachbart zu den Opfergatestapeln 240 hergestellt.let's stay put 5B . Gate spacers 247 are fabricated on sidewalls of sacrificial gate stacks 240 . The gate spacers 247 are formed using any suitable method and comprise a dielectric material. The dielectric material can be silicon, oxygen, carbon, nitrogen, another suitable material or a combination thereof, e.g. B. silicon oxide, silicon nitride, silicon oxide nitride (SiON), silicon carbide, silicon carbon nitride (SiCN), silicon oxide carbide (SiOC) or silicon oxide carbonitride (SiOCN). For example, a dielectric layer comprising silicon and nitrogen, such as a silicon nitride layer, may be deposited over sacrificial gate stacks 240 and then etched (eg, anisotropically) to form gate spacers 247 . In some embodiments, the gate spacers 247 have a multi-layer structure, such as a first dielectric layer comprising silicon nitride and a second dielectric layer comprising silicon oxide. In some embodiments, more than one set of spacers, such as gasket spacers, offset spacers, sacrificial spacers, dummy spacers, and/or main spacers, are fabricated adjacent to the sacrificial gate stacks 240 .

In einem Schritt 104 werden bei dem Verfahren 100 (2A) Source-/Draingräben 250 durch Ätzen der Finnen 218 benachbart zu den Gate-Abstandshaltern 247 erzeugt. Die resultierende Struktur ist in den 6A bis 6D gemäß einer Ausführungsform gezeigt. 6A zeigt eine Draufsicht der Vorrichtung 200, und die 6B, 6C und 6D zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B, einer Linie C - C bzw. einer Linie D - D von 6A. Insbesondere durchtrennt die Linie C - C einen der Source-/Drainbereiche der Transistoren und ist parallel zu den Gatestapeln 240, und die Linie D - D durchtrennt andere Source-/Drainbereiche der Transistoren und ist parallel zu den Gatestapeln 240. Die Linien C - C und die Linien D - D in den 7A bis 11A sind ähnlich konfiguriert.In a step 104, in the method 100 ( 2A) Source/drain trenches 250 created by etching fins 218 adjacent to gate spacers 247 . The resulting structure is in the 6A until 6D shown according to one embodiment. 6A shows a top view of the device 200, and the 6B , 6C and 6D show partial sectional views of the device 200 along a line B - B, a line C - C and a line D - D of 6A . In particular, the line C - C bisects one of the source/drain regions of the transistors and is parallel to the gate stacks 240, and the line D - D bisects other source/drain regions of the transistors and is parallel to the gate stacks 240. The lines C - C and the lines D - D in the 7A until 11A are configured similarly.

Bei der dargestellten Ausführungsform wird mit einem Ätzprozess der Halbleiterschichtstapel 205 in den Source-/Drainbereichen der Finnen 218 vollständig entfernt, wodurch die Halbleiterschicht 204 der Finnen 218 in den Source-/Drainbereichen freigelegt wird. Die Source-/Draingräben 250 haben somit Seitenwände, die von verbliebenen Teilen des Halbleiterschichtstapels 205 gebildet werden, die in Kanalbereichen unter den Gatestapeln 240 abgeschieden werden, sowie Unterseiten, die von der Halbleiterschicht 204 und der Isolationsstruktur 230 definiert werden. Bei einigen Ausführungsformen wird in dem Ätzprozess der Halbleiterschichtstapel 205 teilweise, jedoch nicht vollständig entfernt, sodass die Source-/Draingräben 250 Unterseiten haben, die von der Halbleiterschicht 210 oder der Halbleiterschicht 215 in den Source-/Drainbereichen definiert werden. Bei einigen Ausführungsformen wird in dem Ätzprozess außerdem die Halbleiterschicht 204 der Finnen 218 teilweise, jedoch nicht vollständig entfernt, sodass sich die Source-/Draingräben 250 unter einer obersten Fläche der Isolationsstruktur 230 erstrecken. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess, ein anderer geeigneter Ätzprozess oder eine Kombination davon sein. Bei einigen Ausführungsformen ist der Ätzprozess ein Mehrschritt-Ätzprozess. Zum Beispiel können für den Ätzprozess alternierende Ätzmittel verwendet werden, um die Halbleiterschichten 210 und die Halbleiterschichten 215 getrennt und alternierend zu entfernen. Bei einigen Ausführungsformen sind Parameter des Ätzprozesses so konzipiert, dass der Halbleiterschichtstapel 205 selektiv geätzt wird, aber die Gatestapel 240 und/oder die Isolationselemente 230 nur minimal (bis gar nicht) geätzt werden. Bei einigen Ausführungsformen wird ein Lithografieprozess, wie etwa einer der vorgenannten, durchgeführt, um eine strukturierte Maskenschicht herzustellen, die die Gatestapel 240 und/oder die Isolationselemente 230 bedeckt, wobei die strukturierte Maskenschicht als eine Ätzmaske in dem Ätzprozess verwendet wird.In the illustrated embodiment, the semiconductor layer stack 205 in the source/drain regions of the fins 218 is completely removed with an etching process, thereby exposing the semiconductor layer 204 of the fins 218 in the source/drain regions. The source/drain trenches 250 thus have sidewalls formed by remaining parts of the semiconductor layer stack 205 deposited in channel regions under the gate stacks 240 and bottoms defined by the semiconductor layer 204 and the isolation structure 230 . In some embodiments, the etch process partially but not completely removes the semiconductor layer stack 205 such that the source/drain trenches 250 have bottoms defined by the semiconductor layer 210 or the semiconductor layer 215 in the source/drain regions. In some embodiments, the etch process also partially but not completely removes the semiconductor layer 204 of the fins 218 such that the source/drain trenches 250 extend below a top surface of the isolation structure 230 . The etch process may be a dry etch process, a wet etch process, another suitable etch process, or a combination thereof. In some embodiments, the etch process is a multi-step etch process. For example, alternating etchants may be used for the etching process to separately and alternately remove the semiconductor layers 210 and the semiconductor layers 215 . In some embodiments, parameters of the etch process are designed such that the semiconductor layer stack 205 is selectively etched, but the gate stacks 240 and/or the isolation features 230 are minimally (or not at all) etched. In some embodiments, a lithography process, such as any of the foregoing, is performed to fabricate a patterned mask layer covering the gate stacks 240 and/or the isolation elements 230, the patterned mask layer being used as an etch mask in the etch process.

In einem Schritt 106 werden bei dem Verfahren 100 (2A) Innenabstandshalter 255 hergestellt. Die resultierende Struktur ist in den 7A bis 7D gezeigt. 7A zeigt eine Draufsicht der Vorrichtung 200, und die 7B, 7C und 7D zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B, einer Linie C - C bzw. einer Linie D - D von 7A. Bei einer Ausführungsform wird ein erster Ätzprozess durchgeführt, in dem die Halbleiterschichten 210, die von den Source-/Draingräben 250 freigelegt worden sind, selektiv geätzt werden, während die Halbleiterschichten 215 nur minimal (bis gar nicht) geätzt werden, sodass Spalte zwischen den Halbleiterschichten 215 und zwischen den Halbleiterschichten 215 und 204 unter den Gate-Abstandshaltern 247 entstehen. Teile (Ränder) der Halbleiterschichten 215 werden somit in den Kanalbereichen unter den Gate-Abstandshaltern 247 schwebend gehalten. Der erste Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess, ein anderer geeigneter Ätzprozess oder eine Kombination davon. Dann wird mit einem Abscheidungsprozess eine Abstandshalterschicht hergestellt, die die Source-/Draingräben 250 teilweise (und bei einigen Ausführungsformen vollständig) füllt. Der Abscheidungsprozess ist so konfiguriert, dass gewährleistet wird, dass die Abstandshalterschicht die Spalte zwischen den Halbleiterschichten 215 und zwischen den Halbleiterschichten 215 und 204 unter den Gate-Abstandshaltern 247 füllt. Dann wird ein zweiter Ätzprozess durchgeführt, in dem die Abstandshalterschicht selektiv geätzt wird, um Innenabstandshalter 255 herzustellen, wie in 7B gezeigt ist, wobei die Halbleiterschichten 215, die Dummy-Gatestapel 240 und die Gate-Abstandshalter 247 nur minimal (bis gar nicht) geätzt werden. Die Innenabstandshalter 255 weisen ein Material auf, das von einem Material der Halbleiterschichten 215 und einem Material der Gate-Abstandshalter 247 verschieden ist, um eine gewünschte Ätzselektivität während des zweiten Ätzprozesses zu erzielen. Die Innenabstandshalterschicht 255 kann ein dielektrisches Material aufweisen, das Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder eine Kombination davon ist (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbid oder Siliziumoxidcarbonitrid). Bei einigen Ausführungsformen weist die Innenabstandshalterschicht 255 ein dielektrisches Low-k-Material auf, wie etwa eines der hier genannten dielektrischen Low-k-Materialien.In a step 106, in the method 100 ( 2A) 255 inner spacers manufactured. The resulting structure is in the 7A until 7D shown. 7A shows a top view of the device 200, and the 7B , 7C and 7D FIG. 12 shows partial sectional views of the device 200 along a line B-B, a line C-C and a line D-D of FIG 7A . In one embodiment, a first etch process is performed in which the semiconductor layers 210 exposed by the source/drain trenches 250 are selectively etched while the semiconductor layers 215 are minimally (to not at all) etched, leaving gaps between the semiconductor layers 215 and between the semiconductor layers 215 and 204 under the gate spacers 247. Parts (edges) of the semiconductor layers 215 are thus suspended in the channel regions under the gate spacers 247 . The first etch process is a dry etch process, a wet etch process, another suitable etch process, or a combination thereof. A spacer layer that partially (and in some embodiments completely) fills the source/drain trenches 250 is then formed with a deposition process. The deposition process is configured to ensure that the spacer layer fills the gaps between semiconductor layers 215 and between semiconductor layers 215 and 204 under gate spacers 247 . Then, a second etch process is performed in which the spacer layer is selectively etched to produce internal spacers 255, as in FIG 7B is shown with minimal (to no) etching of semiconductor layers 215, dummy gate stacks 240, and gate spacers 247. The inner spacers 255 comprise a material different from a material of the semiconductor layers 215 and a material of the gate spacers 247 to achieve a desired etch selectivity during the second etch process. The inner spacer layer 255 may comprise a dielectric material that is silicon, oxygen, carbon, nitrogen, another suitable material, or a combination thereof (e.g., silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, or silicon oxycarbonitride). In some embodiments, the inner spacer layer 255 comprises a low-k dielectric material, such as one of the low-k dielectric materials mentioned herein.

In einem Schritt 108 wird bei dem Verfahren 100 (2A) eine Ätzmaske 241 hergestellt, die einige der Source-/Draingräben 250 bedeckt und andere der Source-/Draingräben 250 durch Öffnungen 238 in der Ätzmaske 241 unbedeckt lässt. 8A zeigt eine Draufsicht der Vorrichtung 200, und die 8B, 8C und 8D zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B, einer Linie C - C bzw. einer Linie D - D von 8A. Bei der vorliegenden Ausführungsform sind die Source-/Draingräben 250, die durch die Öffnungen 238 freigelegt sind, solche, in denen darin hergestellte Source-/Drain-Elemente mit rückseitigen Betriebsspannungsleitungen oder rückseitigen Signalleitungen verbunden werden. Diese S/D-Elemente können bei verschiedenen Ausführungsformen nur Source-Elemente, nur Drain-Elemente oder eine Kombination aus Source-Elementen und Drain-Elementen sein. Der einfachen Erörterung halber werden die S/D-Gräben 250, die durch die Ätzmaske 241 freigelegt werden, als S/D-Gräben 250' bezeichnet. Die Ätzmaske 241 weist bei der vorliegenden Ausführungsform eine strukturierte Hartmaske 236-1 und ein strukturiertes Resist 237 auf. Die Ätzmaske 241 kann bei einigen Ausführungsformen außerdem eine BARC-Schicht (BARC: unterer Antireflexbelag) zwischen der strukturierten Hartmaske 236-1 und dem strukturierten Resist 237 aufweisen. Das strukturierte Resist 237 kann durch Resistbeschichtung (z. B. Schleuderbeschichtung), Vorhärtung, Maskenjustierung, Belichtung, Härtung nach der Belichtung, Entwicklung des Resists, Wässerung, Trocknung (zum Beispiel Nachhärtung), andere geeignete Lithografieprozesse oder Kombinationen davon hergestellt werden. Die strukturierte Hartmaske 236-1 wird durch Abscheiden einer Hartmaskenschicht (bevor das Resist 237 durch Schleuderbeschichtung hergestellt wird) und Ätzen der Hartmaskenschicht unter Verwendung der Resiststruktur 237 als eine Ätzmaske hergestellt.In a step 108, in the method 100 ( 2A) an etch mask 241 is fabricated covering some of the source/drain trenches 250 and leaving other of the source/drain trenches 250 uncovered through openings 238 in the etch mask 241. FIG. 8A shows a top view of the device 200, and the 8B , 8C and 8D FIG. 12 shows partial sectional views of the device 200 along a line B-B, a line C-C and a line D-D of FIG 8A . In the present embodiment, the source/drain trenches 250 exposed by the openings 238 are those in which source/drain devices fabricated therein are connected to backside power lines or backside signal lines. This S/D Ele Elements may be source only, drain only, or a combination of source and drain elements in various embodiments. For ease of discussion, the S/D trenches 250 exposed through etch mask 241 will be referred to as S/D trenches 250'. In the present embodiment, the etching mask 241 has a structured hard mask 236 - 1 and a structured resist 237 . The etch mask 241 may also include a BARC layer (BARC: lower anti-reflective coating) between the patterned hard mask 236 - 1 and the patterned resist 237 in some embodiments. The patterned resist 237 may be formed by resist coating (e.g., spin coating), pre-bake, mask alignment, exposure, post-exposure bake, development of the resist, washing, drying (e.g., post-bake), other suitable lithographic processes, or combinations thereof. The patterned hard mask 236-1 is made by depositing a hard mask layer (before the resist 237 is spin-coated) and etching the hard mask layer using the resist pattern 237 as an etch mask.

In einem Schritt 110 werden bei dem Verfahren 100 (2A) die S/D-Gräben 250' geätzt, um sie zu vertiefen. Die resultierende Struktur ist in den 9A bis 9D gezeigt. 9A zeigt eine Draufsicht der Vorrichtung 200, und die 9B, 9C und 9D zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B, einer Linie C - C bzw. einer Linie D - D von 9A. Der Ätzprozess kann eine Trockenätzung, eine Nassätzung, eine reaktive Ionenätzung oder eine andere geeignete Ätzung sein. Der Ätzprozess ist bei dieser Ausführungsform im Wesentlichen anisotrop (d. h., im Wesentlichen vertikal). Außerdem wird der Ätzprozess so angepasst, dass er für das Material der Halbleiterschicht 204 selektiv ist und die Ätzmaske 241, die Gate-Abstandshalter 247, die dielektrischen Dummy-Finnen 229, die Gate-Hartmaskenschichten 246, die Innenabstandshalter 255 und die Isolationsstruktur 230 nicht (oder nur minimal) ätzt. Die S/D-Gräben 250' werden so lange geätzt, bis nur eine dünne Halbleiterschicht 204 darin verbleibt, wodurch die S/D-Gräben 250' in das Substrat 201 hinein reichen. Nach Beendigung des Ätzprozesses wird in dem Schritt 110 das strukturierte Resist 237 zum Beispiel mit einem Stripping-Prozess entfernt.In a step 110, in the method 100 ( 2A) the S/D trenches 250' are etched to deepen them. The resulting structure is in the 9A until 9D shown. 9A shows a top view of the device 200, and the 9B , 9C and 9D FIG. 12 shows partial sectional views of the device 200 along a line B-B, a line C-C and a line D-D of FIG 9A . The etch process may be a dry etch, a wet etch, a reactive ion etch, or any other suitable etch. The etch process is substantially anisotropic (ie, substantially vertical) in this embodiment. In addition, the etch process is adjusted so that it is selective to the material of the semiconductor layer 204 and the etch mask 241, the gate spacers 247, the dummy dielectric fins 229, the gate hard mask layers 246, the inner spacers 255 and the isolation structure 230 are not ( or only minimally) etches. The S/D trenches 250' are etched until only a thin semiconductor layer 204 remains therein, whereby the S/D trenches 250' reach into the substrate 201. After the end of the etching process, the structured resist 237 is removed in step 110, for example using a stripping process.

In einem Schritt 112 wird bei dem Verfahren 100 (2A) eine Halbleiterschicht 239 in den S/D-Gräben 250' hergestellt. Die resultierende Struktur ist in den 10A bis 10D gezeigt. 10A zeigt eine Draufsicht der Vorrichtung 200, und die 10B, 10C und 10D zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B, einer Linie C - C bzw. einer Linie D - D von 10A. Die Halbleiterschicht 239 kann mit einem epitaxialen Aufwachsprozess oder mit anderen geeigneten Prozessen abgeschieden werden. Bei einigen Ausführungsformen erfolgt das epitaxiale Aufwachsen der Halbleiterschicht 239 mit einem MBE-Prozess (MBE: Molekularstrahlepitaxie), einem CVD-Prozess, einem MOCVD-Prozess, einem anderen geeigneten epitaxialen Aufwachsprozess oder Kombinationen davon. Die Halbleiterschicht 239 weist ein Halbleitermaterial auf, das von dem in der Halbleiterschicht 204 verschieden ist, um eine Ätzselektivität während einer späteren Bearbeitung zu erzielen. Die Halbleiterschichten 239 und 204 können zum Beispiel unterschiedliche Materialien, unterschiedliche konstituierende Atomanteile, unterschiedliche konstituierende Massenanteile, Dicken und/oder andere Eigenschaften aufweisen, um eine gewünschte Ätzselektivität während eines Ätzprozesses zu erzielen. Bei einer Ausführungsform weist die Halbleiterschicht 204 Silizium auf, und die Halbleiterschicht 239 weist Siliziumgermanium auf. Bei einer alternativen Ausführungsform können die Halbleiterschichten 239 und 204 beide Siliziumgermanium, aber mit unterschiedlichen konstituierenden Atomanteilen, aufweisen. In der vorliegenden Erfindung wird in Betracht gezogen, dass die Halbleiterschichten 239 und 204 eine Kombination von Halbleitermaterialien aufweisen, die die gewünschte Ätzselektivität bereitstellen können, unter anderem eines der Halbleitermaterialien, die hier offenbart sind. Da andere S/D-Gräben 250 (10D) von der strukturierten Hartmaskenschicht 236-1 bedeckt sind, wird die Halbleiterschicht 239 nur in den S/D-Gräben 250' abgeschieden (10B und 10C). Die Halbleiterschicht 239 wird mit einer solchen Dicke abgeschieden, dass sie sich in der Nähe der Unterseite des Stapels 205 (10B) befindet und ungefähr auf gleicher Höhe mit der Oberseite der Isolationselemente 230 (10C) ist. Der Schritt 112 kann einen Ätzprozess umfassen, mit dem die Halbleiterschicht 239 bis zu dem in den 10B und 10C gezeigten Niveau ausgespart wird, wenn die Halbleiterschicht 239 zuerst höher als diese aufgewachsen worden ist.In a step 112, in the method 100 ( 2A) a semiconductor layer 239 is formed in the S/D trenches 250'. The resulting structure is in the 10A until 10D shown. 10A shows a top view of the device 200, and the 10B , 10C and 10D FIG. 12 shows partial sectional views of the device 200 along a line B-B, a line C-C and a line D-D of FIG 10A . The semiconductor layer 239 can be deposited with an epitaxial growth process or with other suitable processes. In some embodiments, the epitaxial growth of the semiconductor layer 239 is performed with an MBE process (MBE: Molecular Beam Epitaxy), a CVD process, a MOCVD process, another suitable epitaxial growth process, or combinations thereof. Semiconductor layer 239 comprises a different semiconductor material than that in semiconductor layer 204 to achieve etch selectivity during later processing. For example, the semiconductor layers 239 and 204 may have different materials, different constituent atomic fractions, different constituent mass fractions, thicknesses, and/or other properties to achieve a desired etch selectivity during an etch process. In one embodiment, semiconductor layer 204 comprises silicon and semiconductor layer 239 comprises silicon germanium. In an alternative embodiment, the semiconductor layers 239 and 204 may both comprise silicon germanium but with different constituent atomic fractions. In the present invention, it is contemplated that semiconductor layers 239 and 204 comprise a combination of semiconductor materials capable of providing the desired etch selectivity, including any of the semiconductor materials disclosed herein. Since other S/D trenches 250 ( 10D ) are covered by the patterned hard mask layer 236-1, the semiconductor layer 239 is only deposited in the S/D trenches 250' ( 10B and 10C ). Semiconductor layer 239 is deposited to a thickness such that it is near the bottom of stack 205 ( 10B) and approximately level with the top of the isolation members 230 ( 10C ) is. Step 112 may include an etch process that etches the semiconductor layer 239 to that shown in FIGS 10B and 10C level shown is recessed when the semiconductor layer 239 is first grown higher than this.

In einem Schritt 114 wird bei dem Verfahren 100 (2A) die strukturierte Hartmaskenschicht 236-1 mit einem oder mehreren Ätzprozessen entfernt. Die resultierende Struktur ist in den 11A bis 11D gemäß einer Ausführungsform gezeigt. 11A zeigt eine Draufsicht der Vorrichtung 200, und die 11B, 11C und 11D zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B, einer Linie C - C bzw. einer Linie D - D von 11A. Bei einer Ausführungsform werden in dem Schritt 114 ein oder mehrere Ätzprozesse durchgeführt, die für die Materialien der Hartmasken 236-1 selektiv sind, wobei die Gate-Abstandshalter 247, die Gate-Hartmaske 246, die Innenabstandshalter 255, die Halbleiterschichten 215, 239 und 204 und verschiedene weitere Strukturelemente, die durch das Entfernen der Hartmasken 236-1 freigelegt worden sind, nicht (oder nur minimal) geätzt werden. Die Ätzprozesse können Trockenätzprozesse, Nassätzprozesse, reaktive lonenätzprozesse oder andere geeignete Ätzprozesse sein.In a step 114, in the method 100 ( 2A) the patterned hard mask layer 236-1 is removed with one or more etching processes. The resulting structure is in the 11A until 11D shown according to one embodiment. 11A shows a top view of the device 200, and the 11B , 11C and 11D FIG. 12 shows partial sectional views of the device 200 along a line B-B, a line C-C and a line D-D of FIG 11A . In one embodiment, step 114 performs one or more etch processes selective to the materials of hard masks 236-1, including gate spacers 247, gate hard mask 246, inner spacers 255, semiconductor layers 215, 239, and 204 and various other structure elements that have been exposed by the removal of the hard masks 236-1 are not (or only minimally) etched. The etching processes can be dry etching processes, wet etching processes, reactive ion etching processes or other suitable etching processes.

Schritte 116 bis 132 des Verfahrens 100 in den 2B und 2C werden an verschiedenen Bereichen der Vorrichtung 200 durchgeführt. In 12 sind drei beispielhafte Bereiche 200-1, 200-2 und 200-3 gezeigt. Bei verschiedenen Ausführungsformen können diese Bereiche 200-1, 200-2 und 200-3 in der Vorrichtung 200 aneinandergrenzen oder voneinander beabstandet sein. Alle Bereiche 200-1, 200-2 und 200-3 haben die Schritte 102 bis 114 durchlaufen. Der Bereich 200-1 wird so hergestellt, dass er S/D-Elemente eines zweiten Typs (wie etwa n-dotierte S/D-Elemente) aufweist. Der Bereich 200-2 wird so hergestellt, dass er S/D-Elemente eines ersten Typs (wie etwa p-dotierte S/D-Elemente) aufweist, und der Bereich 200-3 wird so hergestellt, dass er S/D-Elemente des zweiten Typs aufweist, die über den S/D-Elementen des ersten Typs angeordnet sind. Der erste Typ ist ein Leitfähigkeitstyp, der dem zweiten Typ entgegengesetzt ist. Bei einer Ausführungsform ist der erste Typ p-leitend, und der zweite Typ ist n-leitend. Bei einer alternativen Ausführungsform ist der erste Typ n-leitend, und der zweite Typ ist p-leitend. Die vorliegende Erfindung zeigt, dass durch Verwenden der drei Bereiche 200-1, 200-2 und 200-3 Ausführungsformen des Verfahrens 100 verwendet werden können, um PMOS-Transistoren, NMOS-Transistoren und gestapelte CMOS-Transistoren gleichzeitig auf demselben Wafer herzustellen. In der folgenden Erörterung zeigen die 13A-1, 14A-1, 15A-1, 16A-1, 17A-1, 18A-1, 19A-1, 20A-1 und 21A-1 Schnittansichten der Vorrichtung 200 in dem Bereich 200-1 entlang einer Linie A-1 - A-1 von 12 während verschiedener Herstellungsschritte des Verfahrens 100; die 13A-2, 14A-2, 15A-2, 16A-2, 17A-2, 18A-2, 19A-2, 20A-2 und 21A-2 zeigen Schnittansichten der Vorrichtung 200 in dem Bereich 200-2 entlang einer Linie A-2 - A-2 von 12 während verschiedener Herstellungsschritte des Verfahrens 100; und die 13A-3, 14A-3, 15A-3, 16A-3, 17A-3, 18A-3, 19A-3, 20A-3 und 21A-3 zeigen Schnittansichten der Vorrichtung 200 in dem Bereich 200-3 entlang einer Linie A-3 - A-3 von 12 während verschiedener Herstellungsschritte des Verfahrens 100.Steps 116 through 132 of the method 100 in FIGS 2 B and 2C are performed on various areas of the device 200. In 12 three exemplary regions 200-1, 200-2 and 200-3 are shown. In various embodiments, these regions 200-1, 200-2, and 200-3 in device 200 may be contiguous or spaced apart. All areas 200-1, 200-2 and 200-3 have gone through steps 102 to 114. Region 200-1 is fabricated to include a second type of S/D devices (such as n-doped S/D devices). Region 200-2 is fabricated to include S/D devices of a first type (such as p-doped S/D devices) and region 200-3 is fabricated to include S/D devices of the second type arranged over the S/D elements of the first type. The first type is a conductivity type opposite to the second type. In one embodiment, the first type is p-type and the second type is n-type. In an alternative embodiment, the first type is n-type and the second type is p-type. The present invention shows that by using the three regions 200-1, 200-2 and 200-3, embodiments of the method 100 can be used to fabricate PMOS transistors, NMOS transistors and stacked CMOS transistors simultaneously on the same wafer. In the following discussion, the 13A-1 , 14A-1 , 15A-1 , 16A-1 , 17A-1 , 18A-1 , 19A-1 , 20A-1 and 21A-1 Sectional views of the device 200 in the area 200-1 along a line A-1 - A-1 of FIG 12 during various manufacturing steps of the method 100; the 13A-2 , 14A-2 , 15A-2 , 16A-2 , 17A-2 , 18A-2 , 19A-2 , 20A-2 and 21A-2 FIG. 12 shows sectional views of device 200 in area 200-2 along line A-2-A-2 of FIG 12 during various manufacturing steps of the method 100; and the 13A-3 , 14A-3 , 15A-3 , 16A-3 , 17A-3 , 18A-3 , 19A-3 , 20A-3 and 21A-3 FIG. 12 shows sectional views of device 200 in area 200-3 along line A-3-A-3 of FIG 12 during various manufacturing steps of the process 100.

In dem Schritt 116 wird bei dem Verfahren 100 (2B) eine Hartmaske 236-2 so hergestellt, dass sie den ersten Bereich 200-1 bedeckt und den zweiten Bereich 200-2 und den dritten Bereich 200-3 unbedeckt lässt. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 13A-1, 13A-2 bzw. 13A-3 gemäß einer Ausführungsform gezeigt. Die Hartmaske 236-2 kann in der gleichen Weise wie die Hartmaske 236-1 hergestellt werden (siehe zum Beispiel die Ausführungen zu dem Schritt 108 und den 8B und 10B), wie etwa durch Abscheidungs-, Fotolithografie- und Ätzprozesse. Der Einfachheit halber ist die Resiststruktur, die zum Ätzen und Herstellen der Hartmaske 236-2 verwendet wird, in den 13A-1, 13A-2 und 13A-3 nicht dargestellt (oder ist weggelassen worden).In step 116, in the method 100 ( 2 B) a hard mask 236-2 is fabricated to cover the first area 200-1 and leave the second area 200-2 and the third area 200-3 uncovered. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 13A-1 , 13A-2 or. 13A-3 shown according to one embodiment. Hard mask 236-2 may be fabricated in the same manner as hard mask 236-1 (see, for example, the discussion of step 108 and 8B and 10B) , such as through deposition, photolithography, and etching processes. For simplicity, the resist pattern used to etch and fabricate the hard mask 236-2 is shown in FIGS 13A-1 , 13A-2 and 13A-3 not shown (or has been omitted).

In dem Schritt 118 werden bei dem Verfahren 100 (2B) Halbleiter-SID-Elemente 260-1 in den S/D-Gräben 250 in dem zweiten Bereich 200-2 und dem dritten Bereich 200-3 aufgewachsen, während die Hartmaske 236-2 den ersten Bereich 200-1 bedeckt. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 14A-1, 14A-2 bzw. 14A-3 gemäß einer Ausführungsform gezeigt. Wie in den 14A-2 und 14A-3 gezeigt ist, werden epitaxiale S/D-Elemente 260-1 von den Halbleiterschichten 204, 239 und 215 in den S/D-Gräben 250 aufgewachsen. Für den Epitaxieprozess können CVD-Abscheidungsverfahren, zum Beispiel Dampfphasenepitaxie (VPE) und/oder chemische Aufdampfung im Ultrahochvakuum (UHV-CVD), Molekularstrahlepitaxie, andere geeignete epitaxiale Aufwachsprozesse oder Kombinationen davon verwendet werden. Für den Epitaxieprozess können gasförmige und/oder flüssige Vorläufer verwendet werden, die mit den Zusammensetzungen der Halbleiterschichten 204, 239 und 215 interagieren. Die epitaxialen S/D-Elemente 260-1 werden mit n-Dotanden für n-Transistoren oder mit p-Dotanden für p-Transistoren dotiert. Für n-Transistoren weisen die epitaxialen S/D-Elemente 260-1 bei einigen Ausführungsformen Silizium auf, das mit Kohlenstoff, Phosphor, Arsen, anderen n-Dotanden oder Kombinationen davon dotiert werden kann (sodass zum Beispiel epitaxiale Si:C-S/D-Elemente, epitaxiale Si:P-S/D-Elemente oder epitaxiale Si:C:P-S/D-Elemente entstehen). Für p-Transistoren weisen die epitaxialen S/D-Elemente 260-1 bei einigen Ausführungsformen Siliziumgermanium oder Germanium auf, das mit Bor, anderen p-Dotanden oder Kombinationen davon dotiert werden kann (sodass zum Beispiel epitaxiale Si:Ge:B-S/D-Elemente entstehen). Bei einigen Ausführungsformen weisen die epitaxialen S/D-Elemente 260-1 mehr als eine epitaxiale Halbleiterschicht auf, wobei die epitaxialen Halbleiterschichten die gleichen oder unterschiedliche Materialien und/oder Dotierungskonzentrationen haben können. Außerdem weist bei einer Ausführungsform das S/D-Element 260-1 (oder zumindest ein Teil davon, der an die Halbleiterschicht 239 angrenzt) eine andere Materialzusammensetzung als die Halbleiterschicht 239 auf, um eine Ätzselektivität während der Herstellung von rückseitigen Durchkontaktierungen zu erzielen. Zum Beispiel weist bei einer Ausführungsform die Halbleiterschicht 239 SiGe auf, und das S/D-Element 260-1 weist Si (für einen n-Transistor) auf. Bei einer anderen Ausführungsform weist die Halbleiterschicht 239 zum Beispiel SiGe mit einem ersten Ge-Atomanteil auf, und das S/D-Element 260-1 weist SiGe (für einen p-Transistor) mit einem zweiten Ge-Atomanteil auf, wobei der erste und der zweite Ge-Atomanteil voneinander verschieden sind. Bei einigen Ausführungsformen weisen die epitaxialen S/D-Elemente 260-1 Materialien und/oder Dotanden auf, mit denen eine gewünschte Zugspannung und/oder Druckspannung in jeweiligen Kanalbereichen erzielt werden. Bei einigen Ausführungsformen werden die epitaxialen S/D-Elemente 260-1 während der Abscheidung dadurch dotiert (d. h., in situ), dass ein Ausgangsmaterial für den Epitaxieprozess mit Dotierungsstoffen versetzt wird. Bei einigen Ausführungsformen werden die epitaxialen S/D-Elemente 260-1 nach einem Abscheidungsprozess mit einem Ionenimplantationsprozess dotiert. Bei einigen Ausführungsformen werden Temperprozesse, z. B. rasches thermisches Tempern (RTA) und/oder Lasertempern, durchgeführt, um die Dotanden in den epitaxialen S/D-Elementen 260-1 zu aktivieren.In step 118, in the method 100 ( 2 B) Semiconductor SID elements 260-1 are grown in the S/D trenches 250 in the second area 200-2 and the third area 200-3 while the hard mask 236-2 covers the first area 200-1. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 14A-1 , 14A-2 or. 14A-3 shown according to one embodiment. As in the 14A-2 and 14A-3 As shown, epitaxial S/D elements 260-1 are grown from semiconductor layers 204, 239 and 215 in S/D trenches 250. FIG. For the epitaxial growth process, CVD deposition methods, for example vapor phase epitaxy (VPE) and/or ultra high vacuum chemical vapor deposition (UHV-CVD), molecular beam epitaxy, other suitable epitaxial growth processes or combinations thereof can be used. Gaseous and/or liquid precursors that interact with the compositions of the semiconductor layers 204, 239 and 215 can be used for the epitaxial process. The epitaxial S/D elements 260-1 are doped with n-type dopants for n-type transistors or with p-type dopants for p-type transistors. For n-type transistors, in some embodiments, the epitaxial S/D devices 260-1 comprise silicon, which may be doped with carbon, phosphorus, arsenic, other n-type dopants, or combinations thereof (such that epitaxial Si:CS/D- elements, epitaxial Si:PS/D elements or epitaxial Si:C:PS/D elements arise). For p-type transistors, in some embodiments, the epitaxial S/D devices 260-1 comprise silicon germanium or germanium, which may be doped with boron, other p-type dopants, or combinations thereof (so that, for example, epitaxial Si:Ge:BS/D- elements arise). In some embodiments, the epitaxial S/D devices 260-1 include more than one epitaxial semiconductor layer, where the epitaxial semiconductor layers may have the same or different materials and/or doping concentrations. Also, in one embodiment, S/D element 260-1 (or at least a portion thereof adjacent semiconductor layer 239) has a different material composition than semiconductor layer 239 to provide etch selectivity during fabrication to achieve development of rear vias. For example, in one embodiment, semiconductor layer 239 comprises SiGe and S/D element 260-1 comprises Si (for an n-type transistor). In another embodiment, for example, the semiconductor layer 239 comprises SiGe with a first Ge atomic fraction and the S/D element 260-1 comprises SiGe (for a p-type transistor) with a second Ge atomic fraction, the first and the second Ge atomic proportion are different from each other. In some embodiments, the epitaxial S/D devices 260-1 include materials and/or dopants to achieve a desired tensile and/or compressive stress in respective channel regions. In some embodiments, the epitaxial S/D devices 260-1 are doped (ie, in situ) during deposition by adding dopants to a starting material for the epitaxial process. In some embodiments, the epitaxial S/D elements 260-1 are doped with an ion implantation process after a deposition process. In some embodiments, annealing processes, e.g. B. rapid thermal annealing (RTA) and/or laser annealing, performed to activate the dopants in the epitaxial S/D devices 260-1.

In dem Schritt 120 wird bei dem Verfahren 100 (2B) eine Hartmaske 236-3 so hergestellt, dass sie den zweiten Bereich 200-2 bedeckt und den ersten Bereich 200-1 und den dritten Bereich 200-3 unbedeckt lässt. Der erste Bereich 200-1 ist immer noch von der Hartmaske 236-2 bedeckt. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 15A-1, 15A-2 bzw. 15A-3 gemäß einer Ausführungsform gezeigt. Die Hartmaske 236-3 kann in der gleichen Weise wie die Hartmaske 236-1 hergestellt werden (siehe zum Beispiel die Ausführungen zu dem Schritt 108 und den 8B und 10B), wie etwa durch Abscheidungs-, Fotolithografie- und Ätzprozesse. Außerdem weist die Hartmaske 236-3 ein anderes Material als die Hartmaske 236-2 auf, um eine Ätzselektivität zu erzielen. Der Einfachheit halber ist die Resiststruktur, die zum Ätzen und Herstellen der Hartmaske 236-3 verwendet wird, in den 15A-1, 15A-2 und 15A-3 nicht dargestellt (oder ist weggelassen worden).In step 120, in the method 100 ( 2 B) a hard mask 236-3 is fabricated to cover the second area 200-2 and leave the first area 200-1 and the third area 200-3 uncovered. The first area 200-1 is still covered by the hard mask 236-2. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 15A-1 , 15A-2 or. 15A-3 shown according to one embodiment. Hard mask 236-3 may be fabricated in the same manner as hard mask 236-1 (see, for example, the discussion of step 108 and 8B and 10B) , such as through deposition, photolithography, and etching processes. In addition, hard mask 236-3 comprises a different material than hard mask 236-2 to achieve etch selectivity. For simplicity, the resist pattern used to etch and fabricate the hard mask 236-3 is shown in FIGS 15A-1 , 15A-2 and 15A-3 not shown (or has been omitted).

In dem Schritt 122 werden bei dem Verfahren 100 (2B) die S/D-Elemente 260-1 in dem dritten Bereich 200-3 partiell ausgespart, während die Hartmasken 236-2 und 236-3 den Bereich 200-1 bzw. 200-2 bedecken. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 16A-1, 16A-2 bzw. 16A-3 gemäß einer Ausführungsform gezeigt. Die S/D-Elemente 260-1 können durch Trockenätzung, Nassätzung, reaktive Ionenätzung oder mit einem anderen geeigneten Ätzverfahren ausgespart werden. Der Ätzprozess wird so angepasst, dass er für das Material der Halbleiterschicht 260-1 selektiv ist, wobei die Ätzmasken 236-2 und 236-3, die Innenabstandshalter 255, die Gate-Abstandshalter 247 und weitere Strukturelemente, wie etwa die dielektrischen Dummy-Finnen 229, die Gate-Hartmaskenschichten 246 und die Isolationsstruktur 230, nicht (oder nur minimal) geätzt werden. Die S/D-Elemente 260-1 in dem dritten Bereich 200-3 werden so ausgespart, dass ein Teil der S/D-Gräben 250 wiederhergestellt wird, sodass eine oder mehrere Kanalschichten 215 in den S/D-Gräben 250 freigelegt werden. Außerdem werden die S/D-Elemente 260-1 in dem dritten Bereich 200-3 nur teilweise ausgespart, sodass eine oder mehrere Kanalschichten 215 (unter anderem die unterste Kanalschicht 215) immer noch zwischen die S/D-Elemente 260-1 geschaltet sind. Eine Tiefe der Aussparung der S/D-Elemente 260-1 kann bei verschiedenen Ausführungsformen mit einem Timer oder anderen Mitteln gesteuert werden.In step 122, in the method 100 ( 2 B) the S/D elements 260-1 are partially recessed in the third area 200-3, while the hard masks 236-2 and 236-3 cover the area 200-1 and 200-2, respectively. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 16A-1 , 16A-2 or. 16A-3 shown according to one embodiment. The S/D elements 260-1 may be recessed using a dry etch, wet etch, reactive ion etch, or other suitable etch process. The etch process is adjusted to be selective for the material of semiconductor layer 260-1, leaving etch masks 236-2 and 236-3, inner spacers 255, gate spacers 247, and other features such as the dummy dielectric fins 229, the gate hard mask layers 246 and the isolation structure 230, are not (or only minimally) etched. The S/D elements 260-1 in the third region 200-3 are recessed such that a portion of the S/D trenches 250 is restored such that one or more channel layers 215 in the S/D trenches 250 are exposed. In addition, the S/D elements 260-1 are only partially recessed in the third region 200-3 such that one or more channel layers 215 (including the bottom channel layer 215) are still connected between the S/D elements 260-1 . A depth of the recess of the S/D elements 260-1 can be controlled with a timer or other means in various embodiments.

In dem Schritt 124 wird bei dem Verfahren 100 (2B) eine Hartmaske 236-4 so hergestellt, dass sie eine Teilmenge der S/D-Elemente 260-1 in dem dritten Bereich 200-3 unbedeckt lässt und andere S/D-Elemente 260-1 in dem dritten Bereich 200-3 bedeckt. Der erste und der zweite Bereich 200-1 und 200-2 sind immer noch von der Hartmaske 236-2 bzw. 236-3 bedeckt. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 17A-1, 17A-2 bzw. 17A-3 gemäß einer Ausführungsform gezeigt. Die Hartmaske 236-4 kann in der gleichen Weise wie die Hartmaske 236-1 hergestellt werden (siehe zum Beispiel die Ausführungen zu dem Schritt 108 und den 8B und 10B), wie etwa durch Abscheidungs-, Fotolithografie- und Ätzprozesse. Bei der vorliegenden Ausführungsform weist die Hartmaske 236-4 das gleiche oder ein ähnliches Material wie die Hartmaske 236-2 auf, sodass beide mit demselben Ätzprozess entfernt werden können. Außerdem weist die Hartmaske 236-4 ein anderes Material als die Hartmaske 236-3 auf, um eine Ätzselektivität zu erzielen. Der Einfachheit halber ist die Resiststruktur, die zum Ätzen und Herstellen der Hartmaske 236-4 verwendet wird, in den 17A-1, 17A-2 und 17A-3 nicht dargestellt (oder ist weggelassen worden). Bei der vorliegenden Ausführungsform lässt die Hartmaske 236-4 einige oder alle S/D-Elemente 260-1 unbedeckt, die direkt über der Halbleiterschicht 239 angeordnet sind (d. h., die S/D-Elemente 260-1, die mit rückseitigen Durchkontaktierungen verbunden werden). Die Hartmaske 236-4 kann bei einigen Ausführungsformen auch einige der S/D-Elemente 260-1 unbedeckt lassen, die nicht direkt über der Halbleiterschicht 239 angeordnet sind (d. h., die S/D-Elemente 260-1, die nicht mit rückseitigen Durchkontaktierungen verbunden werden).In step 124, in the method 100 ( 2 B) a hard mask 236-4 is fabricated such that it leaves a subset of the S/D elements 260-1 in the third area 200-3 uncovered and covers other S/D elements 260-1 in the third area 200-3. The first and second regions 200-1 and 200-2 are still covered by the hard mask 236-2 and 236-3, respectively. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 17A-1 , 17A-2 or. 17A-3 shown according to one embodiment. Hard mask 236-4 may be fabricated in the same manner as hard mask 236-1 (see, for example, the discussion of step 108 and 8B and 10B) , such as through deposition, photolithography, and etching processes. In the present embodiment, hard mask 236-4 has the same or a similar material as hard mask 236-2 so that both can be removed with the same etch process. Also, hard mask 236-4 comprises a different material than hard mask 236-3 to achieve etch selectivity. For simplicity, the resist pattern used to etch and fabricate the hard mask 236-4 is shown in FIGS 17A-1 , 17A-2 and 17A-3 not shown (or has been omitted). In the present embodiment, the hard mask 236-4 leaves uncovered some or all of the S/D devices 260-1 that are located directly above the semiconductor layer 239 (ie, the S/D devices 260-1 that are connected to backside vias ). The hardmask 236-4 may also, in some embodiments, leave uncovered some of the S/D devices 260-1 that are not disposed directly over the semiconductor layer 239 (ie, the S/D devices 260-1 that are not provided with backside vias get connected).

In dem Schritt 126 wird bei dem Verfahren 100 (2C) eine Isolationsschicht 244 über der Teilmenge der S/D-Elemente 260-1 in dem dritten Bereich 200-3 hergestellt, die durch die Hartmaske 236-4 freigelegt werden. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 18A-1, 18A-2 bzw. 18A-3 gemäß einer Ausführungsform gezeigt. Bei einer Ausführungsform wird die Isolationsschicht 244 zunächst über den Hartmasken 236-2, 236-3 und 236-4 abgeschieden und wird anschließend von den Hartmasken 236-2, 236-3 und 236-4 mit einem oder mehreren Ätzprozessen entfernt. Bei der vorliegenden Ausführungsform wird die Isolationsschicht 244 bis zu einem solchen Niveau abgeschieden, dass einige der Kanalschichten 215 in den S/D-Gräben 250 und über der Isolationsschicht 244 immer noch freiliegen. Bei einigen Ausführungsformen kann die Isolationsschicht 244 einige, jedoch nicht alle, Kanalschichten 215 in den S/D-Gräben 250 blockieren (oder bedecken). Bei einer Ausführungsform weist die Isolationsschicht 244 ein dielektrisches Material auf, das Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder eine Kombination davon ist, zum Beispiel Siliziumdioxid (SiO2), Siliziumnitrid (Si3N4), Siliziumoxidnitrid (SiON), Siliziumcarbonitrid (SiCN) oder Siliziumoxidcarbonitrid (SiOCN). Die Isolationsschicht 244 kann durch CVD, PVD, ALD oder mit anderen geeigneten Verfahren abgeschieden werden.In step 126, in the method 100 ( 2C ) an isolation layer 244 is formed over the subset of the S/D elements 260-1 in the third region 200-3 that are exposed through the hard mask 236-4. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 18A-1 , 18A-2 or. 18A-3 shown according to one embodiment. In one embodiment, insulating layer 244 is first deposited over hard masks 236-2, 236-3, and 236-4 and is then removed from hard masks 236-2, 236-3, and 236-4 with one or more etch processes. In the present embodiment, the isolation layer 244 is deposited to such a level that some of the channel layers 215 in the S/D trenches 250 and over the isolation layer 244 are still exposed. In some embodiments, isolation layer 244 may block (or cover) some, but not all, channel layers 215 in S/D trenches 250 . In one embodiment, the insulating layer 244 comprises a dielectric material that is silicon, oxygen, carbon, nitrogen, another suitable material, or a combination thereof, for example, silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), silicon oxynitride (SiON ), silicon carbonitride (SiCN) or silicon oxide carbonitride (SiOCN). The insulating layer 244 can be deposited by CVD, PVD, ALD, or other suitable methods.

In dem Schritt 128 werden bei dem Verfahren 100 (2C) die Hartmasken 236-2 und 236-4 entfernt, während die Hartmaske 236-3 über dem zweiten Bereich 200-2 bestehen bleibt. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 19A-1, 19A-2 bzw. 19A-3 gemäß einer Ausführungsform gezeigt. Bei einer Ausführungsform werden in dem Schritt 128 ein oder mehrere Ätzprozesse durchgeführt, die für die Materialien in den Hartmasken 236-2 und 236-4 selektiv sind, wobei die Hartmaske 236-3, die Gate-Abstandshalter 247, die Gate-Hartmaske 246, die Innenabstandshalter 255, die Isolationsschicht 244, die S/D-Elemente 260-1 und verschiedene weitere Strukturelemente, die durch das Entfernen der Hartmasken 236-2 und 236-4 freigelegt worden sind, nicht (oder nur minimal) geätzt werden. Die Ätzprozesse können Trockenätzprozesse, Nassätzprozesse, reaktive lonenätzprozesse oder andere geeignete Ätzprozesse sein. In dem Schritt 128 werden die S/D-Gräben 250 in dem ersten Bereich 200-1 und dem dritten Bereich 200-3 wiederhergestellt.In step 128, in the method 100 ( 2C ) removes hard masks 236-2 and 236-4 while leaving hard mask 236-3 over second region 200-2. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 19A-1 , 19A-2 or. 19A-3 shown according to one embodiment. In one embodiment, step 128 performs one or more etch processes selective to the materials in hard masks 236-2 and 236-4, wherein hard mask 236-3, gate spacers 247, gate hard mask 246, the inner spacers 255, the isolation layer 244, the S/D elements 260-1 and various other features exposed by the removal of the hard masks 236-2 and 236-4 are not (or only minimally) etched. The etching processes can be dry etching processes, wet etching processes, reactive ion etching processes or other suitable etching processes. In step 128, the S/D trenches 250 in the first area 200-1 and the third area 200-3 are restored.

In dem Schritt 130 werden bei dem Verfahren 100 (2C) Halbleiter-S/D-Elemente 260-2 in den S/D-Gräben 250 in dem ersten Bereich 200-1 und dem dritten Bereich 200-3 aufgewachsen, während die Hartmaske 236-3 den zweiten Bereich 200-2 bedeckt. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 20A-1, 20A-2 bzw. 20A-3 gemäß einer Ausführungsform gezeigt. Wie in 20A-1 gezeigt ist, werden epitaxiale S/D-Elemente 260-2 von den Halbleiterschichten 204, 239 und 215 in den S/D-Gräben 250 aufgewachsen. Wie in 20A-3 gezeigt ist, werden epitaxiale S/D-Elemente 260-2 von den Halbleiterschichten 260-1 und 215 in den S/D-Gräben 250 aufgewachsen. Für den Epitaxieprozess können CVD-Abscheidungsverfahren (zum Beispiel VPE und/oder UHV-CVD), Molekularstrahlepitaxie, andere geeignete epitaxiale Aufwachsprozesse oder Kombinationen davon verwendet werden. Für den Epitaxieprozess können gasförmige und/oder flüssige Vorläufer verwendet werden, die mit den Zusammensetzungen der Halbleiterschichten 204, 239, 215 und 260-1 interagieren. Die epitaxialen S/D-Elemente 260-2 werden mit n-Dotanden für n-Transistoren oder mit p-Dotanden für p-Transistoren dotiert. Für n-Transistoren weisen die epitaxialen S/D-Elemente 260-2 bei einigen Ausführungsformen Silizium auf, das mit Kohlenstoff, Phosphor, Arsen, anderen n-Dotanden oder Kombinationen davon dotiert werden kann (sodass zum Beispiel epitaxiale Si:C-S/D-Elemente, epitaxiale Si:P-S/D-Elemente oder epitaxiale Si:C:P-S/D-Elemente entstehen). Für p-Transistoren weisen die epitaxialen S/D-Elemente 260-2 bei einigen Ausführungsformen Siliziumgermanium oder Germanium auf, das mit Bor, anderen p-Dotanden oder Kombinationen davon dotiert werden kann (sodass zum Beispiel epitaxiale Si:Ge:B-S/D-Elemente entstehen). Bei einigen Ausführungsformen weisen die epitaxialen S/D-Elemente 260-2 mehr als eine epitaxiale Halbleiterschicht auf, wobei die epitaxialen Halbleiterschichten die gleichen oder unterschiedliche Materialien und/oder Dotierungskonzentrationen haben können. Außerdem weist bei einer Ausführungsform das S/D-Element 260-2 (oder zumindest ein Teil davon, der an die Halbleiterschicht 239 angrenzt) eine andere Materialzusammensetzung als die Halbleiterschicht 239 auf, um eine Ätzselektivität während der Herstellung von rückseitigen Durchkontaktierungen zu erzielen. Zum Beispiel weist bei einer Ausführungsform die Halbleiterschicht 239 SiGe auf, und das S/D-Element 260-2 weist Si (für einen n-Transistor) auf. Bei einer anderen Ausführungsform weist die Halbleiterschicht 239 zum Beispiel SiGe mit einem ersten Ge-Atomanteil auf, und das S/D-Element 260-2 weist SiGe (für einen p-Transistor) mit einem zweiten Ge-Atomanteil auf, wobei der erste und der zweite Ge-Atomanteil voneinander verschieden sind. Bei einigen Ausführungsformen weisen die epitaxialen S/D-Elemente 260-2 Materialien und/oder Dotanden auf, mit denen eine gewünschte Zugspannung und/oder Druckspannung in jeweiligen Kanalbereichen erzielt werden. Bei einigen Ausführungsformen werden die epitaxialen S/D-Elemente 260-2 während der Abscheidung dadurch dotiert (d. h., in situ), dass ein Ausgangsmaterial für den Epitaxieprozess mit Dotierungsstoffen versetzt wird. Bei einigen Ausführungsformen werden die epitaxialen S/D-Elemente 260-2 nach einem Abscheidungsprozess mit einem Ionenimplantationsprozess dotiert. Bei einigen Ausführungsformen werden Temperprozesse, z. B. rasches thermisches Tempern (RTA) und/oder Lasertempern, durchgeführt, um die Dotanden in den epitaxialen S/D-Elementen 260-2 zu aktivieren. Bei der vorliegenden Ausführungsform haben die S/D-Elemente 260-2 eine andere Leitfähigkeit als die S/D-Elemente 260-1, sodass CMOS-Stapelstrukturen in dem Bereich 200-3 entstehen. Bei einer Ausführungsform sind die S/D-Elemente 260-1 p-leitend, und die S/D-Elemente 260-2 sind n-leitend. Bei einer anderen Ausführungsform sind die S/D-Elemente 260-1 n-leitend, und die S/D-Elemente 260-2 sind p-leitend. In 20A-3 werden einige der S/D-Elemente 260-2 direkt mit den S/D-Elementen 260-1 verbunden. Diese können als ein gemeinsames Drain-Element oder als ein gemeinsames Source-Element funktionieren. Einige der S/D-Elemente 260-2 werden durch die Isolationsschicht 244 gegen die S/D-Elemente 260-1 isoliert. Diese S/D-Elemente 260-2 und 260-1 funktionieren als individuelle (nicht als gemeinsame) Source-Elemente oder als individuelle Drain-Elemente.In step 130, in the method 100 ( 2C ) Semiconductor S/D elements 260-2 are grown in the S/D trenches 250 in the first area 200-1 and the third area 200-3, while the hard mask 236-3 covers the second area 200-2. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 20A-1 , 20A-2 or. 20A-3 shown according to one embodiment. As in 20A-1 As shown, epitaxial S/D elements 260-2 are grown from semiconductor layers 204, 239 and 215 in S/D trenches 250. FIG. As in 20A-3 1, epitaxial S/D devices 260-2 are grown from semiconductor layers 260-1 and 215 in S/D trenches 250. FIG. CVD deposition methods (e.g. VPE and/or UHV-CVD), molecular beam epitaxy, other suitable epitaxial growth processes or combinations thereof can be used for the epitaxial growth process. Gaseous and/or liquid precursors that interact with the compositions of the semiconductor layers 204, 239, 215 and 260-1 can be used for the epitaxial process. The epitaxial S/D elements 260-2 are doped with n-type dopants for n-type transistors or with p-type dopants for p-type transistors. For n-type transistors, in some embodiments, the epitaxial S/D devices 260-2 comprise silicon, which may be doped with carbon, phosphorus, arsenic, other n-type dopants, or combinations thereof (such that epitaxial Si:CS/D- elements, epitaxial Si:PS/D elements or epitaxial Si:C:PS/D elements arise). For p-type transistors, in some embodiments, the epitaxial S/D devices 260-2 comprise silicon germanium or germanium, which may be doped with boron, other p-type dopants, or combinations thereof (so that, for example, epitaxial Si:Ge:BS/D- elements arise). In some embodiments, the epitaxial S/D devices 260-2 include more than one epitaxial semiconductor layer, where the epitaxial semiconductor layers may have the same or different materials and/or doping concentrations. Also, in one embodiment, S/D element 260-2 (or at least a portion thereof adjacent semiconductor layer 239) has a different material composition than semiconductor layer 239 to achieve etch selectivity during backside via formation. For example, in one embodiment, semiconductor layer 239 comprises SiGe and S/D element 260-2 comprises Si (for an n-type transistor). For example, in another embodiment, the semiconductor layer 239 comprises SiGe with a first Ge atomic fraction and the S/D element 260-2 comprises SiGe (for a p-type transistor) with a second Ge atomic fraction, the first and the second Ge atomic proportion are different from each other. In some embodiments, the epitaxial S/D devices 260-2 include materials and/or dopants to achieve a desired tensile and/or compressive stress in respective channel regions. In some embodiments, the epitaxial S/D elements 260-2 are doped (ie, in situ) during deposition by a Starting material for the epitaxy process is mixed with dopants. In some embodiments, the epitaxial S/D elements 260-2 are doped with an ion implantation process after a deposition process. In some embodiments, annealing processes, e.g. B. rapid thermal annealing (RTA) and/or laser annealing, performed to activate the dopants in the epitaxial S/D devices 260-2. In the present embodiment, S/D devices 260-2 have a different conductivity than S/D devices 260-1, resulting in CMOS stack structures in region 200-3. In one embodiment, S/D elements 260-1 are p-type and S/D elements 260-2 are n-type. In another embodiment, S/D elements 260-1 are n-type and S/D elements 260-2 are p-type. In 20A-3 some of the S/D elements 260-2 are connected directly to the S/D elements 260-1. These can function as a common drain element or as a common source element. Some of the S/D elements 260-2 are isolated by the isolation layer 244 from the S/D elements 260-1. These S/D elements 260-2 and 260-1 function as individual (not common) source elements or as individual drain elements.

In dem Schritt 132 wird bei dem Verfahren 100 (2C) die Hartmaske 236-3 von dem zweiten Bereich 200-2 entfernt. Die resultierenden Strukturen der drei Bereiche 200-1, 200-2 und 200-3 sind in 21A-1, 21A-2 bzw. 21A-3 gemäß einer Ausführungsform gezeigt. Bei einer Ausführungsform werden in dem Schritt 132 ein oder mehrere Ätzprozesse durchgeführt, die für die Materialien in der Hartmaske 236-3 selektiv sind, wobei die Gate-Abstandshalter 247, die Gate-Hartmaske 246, die S/D-Elemente 260-1 und 260-2 und verschiedene weitere Strukturelemente, die durch das Entfernen der Hartmaske 236-3 freigelegt worden sind, nicht (oder nur minimal) geätzt werden. Die Ätzprozesse können Trockenätzprozesse, Nassätzprozesse, reaktive lonenätzprozesse oder andere geeignete Ätzprozesse sein. Wie in den 21A-1, 21A-2 und 21A-3 gezeigt ist, werden in dem zweiten Bereich 200-2 S/D-Elemente 260-1 eines ersten Typs hergestellt, in dem ersten Bereich 200-1 werden S/D-Elemente 260-2 eines zweiten Typs hergestellt, und die S/D-Elemente 260-1 und 260-2 des ersten und des zweiten Typs werden in dem dritten Bereich 200-3 aufeinandergestapelt.In step 132, in the method 100 ( 2C ) removes the hard mask 236-3 from the second region 200-2. The resulting structures of the three areas 200-1, 200-2 and 200-3 are in 21A-1 , 21A-2 or. 21A-3 shown according to one embodiment. In one embodiment, step 132 performs one or more etch processes selective to the materials in hard mask 236-3, including gate spacers 247, gate hard mask 246, S/D devices 260-1, and 260-2 and various other structure elements that have been exposed by removing the hard mask 236-3 are not (or only minimally) etched. The etching processes can be dry etching processes, wet etching processes, reactive ion etching processes or other suitable etching processes. As in the 21A-1 , 21A-2 and 21A-3 1, S/D elements 260-1 of a first type are manufactured in the second region 200-2, S/D elements 260-2 of a second type are manufactured in the first region 200-1, and the S/D - Elements 260-1 and 260-2 of the first and second type are stacked on top of each other in the third area 200-3.

In den Schritten 134 und 136 werden bei dem Verfahren 100 (2C) die Dummy-Gates 240 durch funktionelle Gates ersetzt, und an der Vorderseite des Substrats 201 werden MEOL- und BEOL-Prozesse durchgeführt (MEOL: Middle End of Line; BEOL: Back End of Line). In den Schritten 138 bis 150 werden bei dem Verfahren 100 (2D) Herstellungsprozesse an der Rückseite des Substrats 201 durchgeführt. Die Schritte 134 bis 150 werden in den Bereichen 200-1, 200-2 und 200-3 in der gleichen Weise durchgeführt, unter der Voraussetzung, dass unterschiedliche Transistoren mit Gates mit unterschiedlichen Austrittsarbeiten hergestellt werden können. Der Einfachheit halber ist der Bereich 200-3 in den 22A bis 30 gezeigt, um die verschiedenen Schritte 134 bis 150 zu beschreiben, unter der Voraussetzung, dass die gleichen oder ähnliche Strukturen in den Bereichen 200-1 und 200-2 hergestellt werden.In steps 134 and 136, the method 100 ( 2C ) the dummy gates 240 are replaced by functional gates, and MEOL and BEOL processes are performed on the front side of the substrate 201 (MEOL: Middle End of Line; BEOL: Back End of Line). In steps 138 through 150, the method 100 ( 2D ) Manufacturing processes performed on the back of the substrate 201. Steps 134 through 150 are performed in regions 200-1, 200-2 and 200-3 in the same manner, given that different transistors having gates with different work functions can be fabricated. For the sake of simplicity, the range 200-3 in FIGS 22A until 30 1 is shown to describe the various steps 134 through 150, with the premise that the same or similar structures are fabricated in regions 200-1 and 200-2.

In dem Schritt 134 werden bei dem Verfahren 100 (2C) eine Kontakt-Ätzstoppschicht (CESL) 269 und eine Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 270 in der Vorrichtung 200 und über allen drei Bereichen 200-1, 200-2 und 200-3 hergestellt, und dann werden die Dummy-Gatestapel 240 durch funktionelle Gatestapel 240' (wie etwa High-k-Metallgates) ersetzt. Die resultierende Struktur ist in den 22A bis 22C gemäß einer Ausführungsform gezeigt. 22A zeigt eine Draufsicht der Vorrichtung 200 (Bereich 200-3), und die 22B und 22C zeigen Teil-Schnittansichten der Vorrichtung 200 entlang einer Linie B - B bzw. einer Linie C - C von 22A.In step 134, in the method 100 ( 2C ) a contact etch stop layer (CESL) 269 and an interlayer dielectric (ILD) layer 270 are fabricated in the device 200 and over all three regions 200-1, 200-2 and 200-3, and then the dummy gate stacks 240 replaced with functional gate stacks 240' (such as high-k metal gates). The resulting structure is in the 22A until 22C shown according to one embodiment. 22A 12 shows a top view of device 200 (area 200-3), and FIG 22B and 22C show partial sectional views of the device 200 along a line B - B and a line C - C of FIG 22A .

Wie in 22B gezeigt ist, wird die CESL 269 über den S/D-Elementen 260-2 abgeschieden, und die ILD-Schicht 270 wird über der CESL 269 abgeschieden und füllt einen Zwischenraum zwischen gegenüberliegenden Gate-Abstandshaltern 247. Die CESL 269 weist ein Material auf, das von denen der ILD-Schicht 270 und der dielektrischen Schicht 234 verschieden ist. Die CESL 269 kann La2O3, Al2O3, SiOCN, SiOC, SiCN, SiO2, SiC, ZnO, ZrN, Zr2Al3O9, TiO2, TaO2, ZrO2, HfO2, Si3N4, Y2O3,AION, TaCN, ZrSi oder andere geeignete Materialien aufweisen und kann durch CVD, PVD, ALD oder mit anderen geeigneten Verfahren hergestellt werden. Die ILD-Schicht 270 kann TEOS-Oxid, undotiertes Silicatglas oder dotiertes Siliziumoxid, wie etwa Borphosphorsilicatglas (BPSG), Fluorsilicatglas (FSG), Phosphorsilicatglas (PSG) oder Borsilicatglas (BSG), ein dielektrisches Low-k-Material, andere geeignete dielektrische Materialien oder Kombinationen davon aufweisen. Die ILD-Schicht 270 kann durch PECVD, FCVD oder mit anderen geeigneten Verfahren hergestellt werden. Nach der Abscheidung der CESL 269 und der ILD-Schicht 270 können ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt werden, bis ein oberer Teil (oder eine Oberseite) der Dummy-Gatestapel 240 erreicht (freigelegt) ist. Bei einigen Ausführungsformen werden mit dem Planarisierungsprozess die Hartmaskenschichten 246 der Dummy-Gatestapel 240 entfernt, um die darunter befindlichen Dummy-Gateelektroden 245, wie etwa Polysilizium-Gateelektrodenschichten, freizulegen.As in 22B As shown, CESL 269 is deposited over S/D devices 260-2 and ILD layer 270 is deposited over CESL 269 and fills a gap between opposing gate spacers 247. CESL 269 comprises a material which is different from those of the ILD layer 270 and the dielectric layer 234 . The CESL 269 can La 2 O 3 , Al 2 O 3 , SiOCN, SiOC, SiCN, SiO 2 , SiC, ZnO, ZrN, Zr 2 Al 3 O 9 , TiO 2 , TaO 2 , ZrO 2 , HfO 2 , Si 3 N 4 , Y 2 O 3 , AION, TaCN, ZrSi or other suitable materials and can be prepared by CVD, PVD, ALD or other suitable methods. The ILD layer 270 may be TEOS oxide, undoped silicate glass or doped silicon oxide such as borophosphorus silicate glass (BPSG), fluorosilicate glass (FSG), phosphorus silicate glass (PSG) or borosilicate glass (BSG), a low-k dielectric material, other suitable dielectric materials or combinations thereof. The ILD layer 270 can be formed by PECVD, FCVD, or other suitable methods. After the deposition of the CESL 269 and the ILD layer 270, a CMP process and/or other planarization process may be performed until a top portion (or top) of the dummy gate stacks 240 is reached (exposed). In some embodiments, the planarization process removes the hard mask layers 246 of the dummy gate stacks 240 to expose the underlying dummy gate electrodes 245, such as polysilicon gate electrode layers.

Anschließend werden in dem Schritt 134 die Dummy-Gatestapel 240 (die Dummy-Gateelektroden 245 und die dielektrische Dummy-Gateschicht 235; siehe 5B) mit einem oder mehreren Ätzprozessen entfernt. Dadurch entstehen Gategräben. Die Ätzprozesse können Trockenätzprozesse, Nassätzprozesse, andere geeignete Ätzprozesse oder Kombinationen davon sein. Bei einigen Ausführungsformen ist der Ätzprozess ein Mehrschritt-Ätzprozess. Zum Beispiel können für den Ätzprozess alternierende Ätzmittel verwendet werden, um verschiedene Schichten der Dummy-Gatestapel 240 getrennt zu entfernen. Bei einigen Ausführungsformen ist der Ätzprozess so konfiguriert, dass die Dummy-Gatestapel 240 selektiv geätzt werden, aber andere Strukturelemente der Vorrichtung 200, wie etwa die ILD-Schicht 270, die Gate-Abstandshalter 247, die Isolationselemente 230, die Mantelschicht 231, die Halbleiterschichten 215 und die Halbleiterschichten 210, nur minimal (bis gar nicht) geätzt werden.Subsequently, in step 134, the dummy gate stacks 240 (the dummy gate electrodes 245 and the dummy gate dielectric layer 235; see 5B) removed with one or more etching processes. This creates gate trenches. The etch processes may be dry etch processes, wet etch processes, other suitable etch processes, or combinations thereof. In some embodiments, the etch process is a multi-step etch process. For example, alternating etchants may be used for the etch process to separately remove different layers of the dummy gate stacks 240 . In some embodiments, the etch process is configured such that the dummy gate stacks 240 are selectively etched but other structural elements of the device 200, such as the ILD layer 270, the gate spacers 247, the isolation elements 230, the cladding layer 231, the semiconductor layers 215 and the semiconductor layers 210, are etched only minimally (or not at all).

Anschließend wird in dem Schritt 134 die Mantelschicht 231 (siehe 5C) entfernt, die in den Gategräben freiliegt. In dem Ätzprozess kann die Mantelschicht 231 selektiv geätzt werden, aber die Halbleiterschichten 215, die Gate-Abstandshalter 247 und die Innenabstandshalter 255 werden nur minimal (bis gar nicht) geätzt. Dann werden in dem Schritt 134 die Halbleiterschichten 210, die in den Gategräben freiliegen, entfernt, sodass die Halbleiterschichten 215 über der Halbleiterschicht 204 schwebend gehalten werden und mit den S/D-Elementen 260-1 und 260-2 verbunden werden. Dieser Prozess wird auch als ein Kanalfreilegungsprozess bezeichnet, und die Halbleiterschichten 215 werden auch als Kanalschichten bezeichnet. Mit dem Ätzprozess werden die Halbleiterschichten 210 selektiv geätzt, aber die Halbleiterschichten 215 werden nur minimal (bis gar nicht) geätzt, und bei einigen Ausführungsformen werden die Gate-Abstandshalter 247 und/oder die Innenabstandshalter 255 nur minimal (bis gar nicht) geätzt.Subsequently, in step 134, the cladding layer 231 (see 5C ) exposed in the gate trenches. In the etch process, the cladding layer 231 may be selectively etched, but the semiconductor layers 215, gate spacers 247, and inner spacers 255 are minimally (or not at all) etched. Then, in step 134, the semiconductor layers 210 exposed in the gate trenches are removed so that the semiconductor layers 215 are floated over the semiconductor layer 204 and connected to the S/D elements 260-1 and 260-2. This process is also referred to as a channel exposure process, and the semiconductor layers 215 are also referred to as channel layers. With the etch process, the semiconductor layers 210 are selectively etched, but the semiconductor layers 215 are minimally (to no) etched, and in some embodiments the gate spacers 247 and/or the inner spacers 255 are minimally (to no) etched.

In dem Schritt 134 wird dann eine dielektrische Gateschicht 349 so hergestellt, dass sie jede der Halbleiterschichten 215 umschließt, und über der dielektrischen Gateschicht 349 wird eine Gateelektrode 350 hergestellt. Funktionelle Gatestapel 240' weisen die dielektrische Gateschicht 349 und die Gateelektrode 350 auf. Die dielektrische Gateschicht 349 kann ein dielektrisches High-k-Material aufweisen, wie etwa HfO2, HfSiO, HfSiO4, HfSiON, HfLaO, HfTaO, HfTiO, HfZrO, HfAlOx, ZrO, ZrO2, ZrSiOz, AIO, AlSiO, Al2O3, TiO, TiO2, LaO, LaSiO, Ta2O3, Ta2O5, Y2O3,SrTiO3, BaZrO, BaTiO3 (BTO), (Ba,Sr)TiO3 (BST), Si3N4, Hafniumdioxid-Aluminiumoxid-Legierung (HfO2-Al2O3-Legierung), ein anderes geeignetes dielektrisches High-k-Material oder Kombinationen davon. Die dielektrische Gateschicht 349 kann durch chemische Oxidation, thermische Oxidation, ALD, CVD und/oder mit anderen geeigneten Verfahren hergestellt werden. Bei einigen Ausführungsformen weist der Gatestapel 240' weiterhin eine Grenzflächenschicht zwischen der dielektrischen Gateschicht 349 und den Kanalschichten 215 auf. Die Grenzflächenschicht kann Siliziumdioxid, Siliziumoxidnitrid oder andere geeignete Materialien aufweisen. Bei einigen Ausführungsformen weist die Gateelektrodenschicht 350 (in Abhängigkeit von dem Typ der Transistoren) eine n- oder eine p-Austrittsarbeitsschicht und eine Metallfüllschicht auf. Die n-Austrittsarbeitsschicht kann zum Beispiel ein Metall mit einer ausreichend niedrigen effektiven Austrittsarbeit aufweisen, wie etwa Titan, Aluminium, Tantalcarbid, Tantal-Carbonitrid, Tantal-Siliziumnitrid oder Kombinationen davon. Die p-Austrittsarbeitsschicht kann zum Beispiel ein Metall mit einer ausreichend hohen effektiven Austrittsarbeit aufweisen, wie etwa Titannidrid, Tantalnitrid, Ruthenium, Molybdän, Wolfram, Platin oder Kombinationen davon. Die Metallfüllschicht kann zum Beispiel Aluminium, Wolfram, Cobalt, Kupfer und/oder andere geeignete Materialien aufweisen. Die Gateelektrodenschicht 350 kann durch CVD, PVD, Plattierung und/oder mit anderen geeigneten Verfahren hergestellt werden. Da der Gatestapel 240' eine dielektrische High-k-Schicht und eine oder mehrere Metallschichten aufweist, wird er auch als ein High-k-Metallgate bezeichnet.Then, in step 134, a gate dielectric layer 349 is formed to enclose each of the semiconductor layers 215, and a gate electrode 350 is formed over the gate dielectric layer 349. FIG. Functional gate stacks 240 ′ include gate dielectric layer 349 and gate electrode 350 . The gate dielectric layer 349 may comprise a high-k dielectric material such as HfO 2 , HfSiO, HfSiO 4 , HfSiON, HfLaO, HfTaO, HfTiO, HfZrO, HfAlO x , ZrO, ZrO 2 , ZrSiO z , AlO, AlSiO, Al 2 O 3 , TiO, TiO 2 , LaO, LaSiO, Ta 2 O 3 , Ta 2 O 5 , Y 2 O 3 ,SrTiO 3 , BaZrO, BaTiO 3 (BTO), (Ba,Sr)TiO 3 (BST), Si 3 N 4 , hafnia-alumina alloy (HfO 2 -Al 2 O 3 alloy), other suitable high-k dielectric material, or combinations thereof. Gate dielectric layer 349 may be formed by chemical oxidation, thermal oxidation, ALD, CVD, and/or other suitable methods. In some embodiments, the gate stack 240 ′ further includes an interface layer between the gate dielectric layer 349 and the channel layers 215 . The interface layer may include silicon dioxide, silicon oxynitride, or other suitable materials. In some embodiments, the gate electrode layer 350 (depending on the type of transistors) comprises an n or a p work function layer and a metal fill layer. For example, the n-work function layer may comprise a metal with a sufficiently low effective work function, such as titanium, aluminum, tantalum carbide, tantalum carbonitride, tantalum silicon nitride, or combinations thereof. For example, the p-work function layer may comprise a metal with a sufficiently high effective work function, such as titanium nitride, tantalum nitride, ruthenium, molybdenum, tungsten, platinum, or combinations thereof. The metal fill layer may include, for example, aluminum, tungsten, cobalt, copper, and/or other suitable materials. Gate electrode layer 350 may be formed by CVD, PVD, plating, and/or other suitable methods. Because the gate stack 240' includes a high-k dielectric layer and one or more metal layers, it is also referred to as a high-k metal gate.

In dem Schritt 136 werden bei dem Verfahren 100 (2C) MEOL- und BEOL-Prozesse auf der Vorderseite des Substrats 201 durchgeführt. Die resultierende Struktur ist in 23 gemäß einer Ausführungsform gezeigt. Die 23 bis 30 zeigen Schnittansichten der Vorrichtung 200 entlang einer Linie B - B von 22A gemäß einigen Ausführungsformen. Bei einer Ausführungsform werden in dem Schritt 136 S/D-Kontaktlöcher geätzt, um einige der S/D-Elemente 260-2 in den Bereichen 200-1 und 200-2 und einige der S/D-Elemente 260-1 in dem Bereich 200-2 freizulegen. Die S/D-Elemente 260-2 und 260-1 können bei einigen Ausführungsformen nur teilweise geätzt werden. Die Ätzprozesse können Trockenätzprozesse, Nassätzprozesse, reaktive lonenätzprozesse oder andere Ätzprozesse sein. In dem Schritt 136 werden dann Silizid-Elemente 273 über den S/D-Elementen 260-2 und 260-1 hergestellt, und über den Silizid-Elementen 273 werden S/D-Kontakte (oder Durchkontaktierungen) 275 hergestellt. Da die Silizid-Elemente 273 und die S/D-Kontakte 275 auf der Vorderseite der Vorrichtung 200 hergestellt werden, werden sie auch als vorderseitige Silizid-Elemente 273 bzw. vorderseitige S/D-Kontakte 275 bezeichnet.In step 136, in the method 100 ( 2C ) MEOL and BEOL processes are performed on the front side of the substrate 201. The resulting structure is in 23 shown according to one embodiment. the 23 until 30 FIG. 12 shows sectional views of the device 200 along a line BB of FIG 22A according to some embodiments. In one embodiment, in step 136, S/D vias are etched to protect some of the S/D elements 260-2 in regions 200-1 and 200-2 and some of the S/D elements 260-1 in the region 200-2 to expose. The S/D elements 260-2 and 260-1 may only be partially etched in some embodiments. The etching processes can be dry etching processes, wet etching processes, reactive ion etching processes or other etching processes. Then, in step 136, silicide elements 273 are formed over the S/D elements 260-2 and 260-1, and over the silicide elements 273 S/D contacts (or vias) 275 are formed. Because the silicide elements 273 and the S/D contacts 275 are fabricated on the front side of the device 200, they are also referred to as front-side silicide elements 273 and front-side S/D contacts 275, respectively.

Die Silizid-Elemente 273 können Titansilizid (TiSi), Nickelsilizid (NiSi), Wolframsilizid (WSi), Nickel-Platin-Silizid (NiPtSi), Nickel-Platin-Germanium-Silizid (NiPtGeSi), Nickel-Germanium-Silizid (NiGeSi), Ytterbiumsilizid (YbSi), Platinsilizid (PtSi), Iridiumsilizid (IrSi), Erbiumsilizid (ErSi), Cobaltsilizid (CoSi) oder andere geeignete Verbindungen aufweisen. Bei einer Ausführungsform können die S/D-Kontakte 275 eine leitfähige Sperrschicht und eine Metallfüllschicht über der leitfähigen Sperrschicht aufweisen. Die leitfähige Sperrschicht kann Titan (Ti), Tantal (Ta), Wolfram (W), Cobalt (Co), Ruthenium (Ru) oder ein leitfähiges Nitrid wie Titannidrid (TiN), Titan-Aluminiumnitrid (TiAIN), Wolframnitrid (WN), Tantalnitrid (TaN) oder Kombinationen davon aufweisen und kann durch CVD, PVD, ALD und/oder mit anderen geeigneten Verfahren hergestellt werden. Die Metallfüllschicht kann Wolfram (W), Cobalt (Co), Molybdän (Mo), Ruthenium (Ru) oder andere Metalle aufweisen und kann durch CVD, PVD, ALD, Plattierung oder mit anderen geeigneten Verfahren hergestellt werden. Bei einigen Ausführungsformen wird die leitfähige Sperrschicht in den S/D-Kontakten 275 weggelassen.The silicide elements 273 can be titanium silicide (TiSi), nickel silicide (NiSi), tungsten silicide (WSi), nickel-platinum silicide (NiPtSi), nickel-platinum-germa nium silicide (NiPtGeSi), nickel-germanium silicide (NiGeSi), ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi) or other suitable compounds. In one embodiment, the S/D contacts 275 may include a conductive barrier layer and a metal fill layer over the conductive barrier layer. The conductive barrier layer can be titanium (Ti), tantalum (Ta), tungsten (W), cobalt (Co), ruthenium (Ru) or a conductive nitride such as titanium nitride (TiN), titanium aluminum nitride (TiAIN), tungsten nitride (WN), tantalum nitride (TaN) or combinations thereof and can be produced by CVD, PVD, ALD and/or other suitable methods. The metal fill layer may comprise tungsten (W), cobalt (Co), molybdenum (Mo), ruthenium (Ru), or other metals and may be formed by CVD, PVD, ALD, plating, or other suitable methods. In some embodiments, the conductive barrier layer in the S/D contacts 275 is omitted.

Der Schritt 136 kann außerdem Folgendes umfassen: Herstellen von Gatedurchkontaktierungen, die mit den Gatestapeln 240' verbunden werden; Herstellen von S/D-Durchkontakten, die mit den S/D-Kontakten 275 verbunden werden; und Herstellen einer oder mehrerer Interconnect-Schichten mit Drähten und Durchkontaktierungen, die in dielektrische Schichten eingebettet werden. Die eine oder die mehreren Interconnect-Schichten, die Gate-, Source- und Drain-Elektroden verschiedener Transistoren verbinden, sowie weitere Schaltkreise in der Vorrichtung 200 bilden teilweise oder vollständig einen integrierten Schaltkreis. In dem Schritt 136 können außerdem eine oder mehrere Passivierungsschichten über den Interconnect-Schichten hergestellt werden. In dem in 23 gezeigten Beispiel wird eine Bezugszahl 277 zum Bezeichnen von verschiedenen dielektrischen und Metallschichten verwendet, die Interconnect-Schichten und Passivierungsschichten umfassen, die auf der Vorderseite der Vorrichtung 200 über den S/D-Kontakten 275 hergestellt werden.Step 136 may also include: forming gate vias that connect to the gate stacks 240'; forming S/D vias that connect to S/D contacts 275; and forming one or more interconnect layers with wires and vias embedded in dielectric layers. The one or more interconnect layers connecting gate, source, and drain electrodes of various transistors, as well as other circuitry in device 200, form part or all of an integrated circuit. Also at step 136, one or more passivation layers may be formed over the interconnect layers. in the in 23 In the example shown, a reference numeral 277 is used to designate various dielectric and metal layers, including interconnect layers and passivation layers, that are fabricated on the front side of device 200 over S/D contacts 275 .

In dem Schritt 138 wird bei dem Verfahren 100 (2D) die Vorrichtung 200 auf den Kopf gestellt, und die Vorderseite der Vorrichtung 200 wird an einem Träger 370 befestigt, wie in 24 gezeigt ist. Dadurch ist die Vorrichtung 200 zur weiteren Bearbeitung von ihrer Rückseite her zugänglich. Für den Schritt 138 können alle geeigneten Befestigungsverfahren, wie etwa Direktbondung, Hybridbondung, Verwenden eines Klebstoffs oder andere Bondverfahren, verwendet werden. Der Schritt 138 kann weiterhin Justierungs-, Temper- und/oder andere Prozesse umfassen. Der Träger 370 kann bei einer Ausführungsform ein Siliziumwafer sein. In den 24 bis 30 zeigt die z-Richtung von der Rückseite der Vorrichtung 200 zu ihrer Vorderseite, während die -z-Richtung von der Vorderseite der Vorrichtung 200 zu ihrer Rückseite zeigt.In step 138, in the method 100 ( 2D ) the device 200 is turned upside down and the front of the device 200 is attached to a support 370, as in FIG 24 is shown. As a result, the device 200 is accessible for further processing from its rear side. Any suitable attachment method, such as direct bonding, hybrid bonding, using an adhesive, or other bonding method, may be used for step 138 . Step 138 may further include alignment, annealing, and/or other processes. The carrier 370 may be a silicon wafer in one embodiment. In the 24 until 30 shows the z-direction from the back of the device 200 to its front, while the -z-direction shows from the front of the device 200 to its back.

In dem Schritt 140 wird bei dem Verfahren 100 (2D) die Vorrichtung 200 von ihrer Rückseite her so lange gedünnt, bis die Halbleiterschicht 204, die Halbleiterschicht 239 und die Isolationselemente 230 (siehe 22C) von der Rückseite der Vorrichtung 200 entfernt worden sind. Die resultierende Struktur ist in 25 gemäß einer Ausführungsform gezeigt. Der Dünnungsprozess kann einen mechanischen Schleifprozess und/oder einen chemischen Dünnungsprozess umfassen. Zunächst kann während eines mechanischen Schleifprozesses eine erhebliche Menge Substratmaterial von dem Substrat 201 entfernt werden. Anschließend kann in einem chemischen Dünnungsprozess eine Ätzchemikalie auf die Rückseite des Substrats 201 aufgebracht werden, um das Substrat 201 weiter zu dünnen.In step 140, in the method 100 ( 2D ) the device 200 is thinned from its rear side until the semiconductor layer 204, the semiconductor layer 239 and the insulating elements 230 (see 22C ) have been removed from the back of the device 200. The resulting structure is in 25 shown according to one embodiment. The thinning process may include a mechanical grinding process and/or a chemical thinning process. First, a significant amount of substrate material may be removed from substrate 201 during a mechanical grinding process. An etching chemical can then be applied to the back side of the substrate 201 in a chemical thinning process in order to further thin the substrate 201 .

In dem Schritt 142 wird bei dem Verfahren 100 (2D) die Halbleiterschicht 204 selektiv geätzt, um Gräben 272 über der Rückseite der Gatestapel 240' und über den S/D-Elementen 260-1 in den Bereichen 200-2 und 200-3 und den S/D-Elementen 260-2 in dem Bereich 200-1 zu erzeugen. Die resultierende Struktur ist in 26 gemäß einer Ausführungsform gezeigt. Bei der vorliegenden Ausführungsform wird in dem Schritt 142 ein Ätzprozess verwendet, der so angepasst ist, dass er für die Materialien der Halbleiterschicht 204 selektiv ist, aber die Halbleiterschicht 239, die S/D-Elemente 260-1 und 260-2, die Gatestapel 240' und die Isolationselemente 230 nicht (oder nur minimal) ätzt. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess, ein reaktiver lonenätzprozess oder ein anderer geeigneter Ätzprozess sein.In step 142, in the method 100 ( 2D ) the semiconductor layer 204 is selectively etched to form trenches 272 over the backside of the gate stacks 240' and over the S/D devices 260-1 in the regions 200-2 and 200-3 and the S/D devices 260-2 in the generate area 200-1. The resulting structure is in 26 shown according to one embodiment. In the present embodiment, step 142 uses an etch process that is adapted to be selective to the materials of semiconductor layer 204 but semiconductor layer 239, S/D devices 260-1 and 260-2, the gate stacks 240' and the isolation elements 230 are not (or only minimally) etched. The etch process may be a dry etch process, a wet etch process, a reactive ion etch process, or any other suitable etch process.

In dem Schritt 144 werden bei dem Verfahren 100 (2D) eine oder mehrere dielektrische Schichten hergestellt, um die Gräben 272 zu füllen. Die eine oder die mehreren dielektrischen Schichten können zum Beispiel einen dielektrischen Belag 274 und eine oder mehrere dielektrische Schichten 276 umfassen. Die resultierende Struktur ist in 27 gemäß einer Ausführungsform gezeigt. Bei einigen Ausführungsformen weist der dielektrische Belag 274 La2O3, Al2O3, SiOCN, SiOC, SiCN, SiO2, SiC, ZnO, ZrN, Zr2Al3O9, TiO2, TaO2, ZrO2, HfO2, Si3N4, Y2O3,AION, TaCN, ZrSi oder andere geeignete Materialien auf, und er kann durch CVD, PVD, ALD oder mit anderen geeigneten Verfahren hergestellt werden. Bei einigen Ausführungsformen können die eine oder die mehreren dielektrischen Schichten 276 TEOS-Oxid, undotiertes Silicatglas oder dotiertes Siliziumoxid, wie etwa Borphosphorsilicatglas (BPSG), Fluorsilicatglas (FSG), Phosphorsilicatglas (PSG) oder Borsilicatglas (BSG), und/oder andere geeignete dielektrische Materialien aufweisen. Die dielektrischen Schichten 276 können durch PECVD, FCVD oder mit anderen geeigneten Verfahren hergestellt werden.In step 144, in the method 100 ( 2D ) one or more dielectric layers are formed to fill the trenches 272 . The one or more dielectric layers may include a dielectric liner 274 and one or more dielectric layers 276, for example. The resulting structure is in 27 shown according to one embodiment. In some embodiments, the dielectric coating 274 comprises La 2 O 3 , Al 2 O 3 , SiOCN, SiOC, SiCN, SiO 2 , SiC, ZnO, ZrN, Zr 2 Al 3 O 9 , TiO 2 , TaO 2 , ZrO 2 , HfO 2 , Si 3 N 4 , Y 2 O 3 , AION, TaCN, ZrSi or other suitable materials and it can be prepared by CVD, PVD, ALD or other suitable methods. In some embodiments, the one or more dielectric layers 276 may be TEOS oxide, undoped silicate glass, or doped silicon oxide, such as borophosphorus silicate glass (BPSG), fluorosilicate glass (FSG), phosphorus silicate glass (PSG), or borosilicate glass (BSG), and/or other suitable dielectric have materials. the Dielectric layers 276 may be formed by PECVD, FCVD, or other suitable methods.

In dem Schritt 146 wird bei dem Verfahren 100 (2D) die Halbleiterschicht 239 von der Rückseite der Vorrichtung 200 entfernt. Die resultierende Struktur ist in 28 gemäß einer Ausführungsform gezeigt. Bei der vorliegenden Ausführungsform wird in dem Schritt 146 ein Ätzprozess verwendet, der so angepasst wird, dass er für die Materialien der Halbleiterschicht 239 (wie zum Beispiel SiGe bei einer Ausführungsform) selektiv ist und den dielektrischen Belag 274, die dielektrischen Schichten 276 und die Isolationselemente 230 nicht (oder nur minimal) ätzt. Bei einigen Ausführungsformen können die S/D-Elemente 260-1 und 260-2 nicht geätzt werden, und bei alternativen Ausführungsformen können sie partiell geätzt werden. Durch den Ätzprozess entstehen Gräben 278, die einige der S/D-Elemente 260-1 in den Bereichen 200-2 und 200-3 und einige der S/D-Elemente 260-2 in dem Bereich 200-1 von der Rückseite der Vorrichtung 200 freilegen. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess, ein reaktiver lonenätzprozess oder ein anderer geeigneter Ätzprozess sein. Insbesondere ist bei der vorliegenden Ausführungsform die Ätzung der Halbleiterschicht 239 selbstjustiert. Mit anderen Worten, in dem Schritt 146 braucht keine Ätzmaske (z. B. keine Ätzmaske, die mit Fotolithografieprozessen hergestellt wird) zum Ätzen der Halbleiterschicht 239 hergestellt zu werden. Vielmehr beruht der Schritt 146 auf der Ätzselektivität der Materialien in der Halbleiterschicht 239 und der sie umschließenden Schichten. Dadurch entstehen vorteilhaft die Gräben 278, die zu den darunter befindlichen S/D-Elementen 260-1 und 260-2 ausgerichtet werden sollen, ohne Versätze, wie etwa solche, die durch Überdeckungsverschiebung bei der Fotolithografie entstehen. Durch Verwenden dieses Verfahrens entstehen rückseitige Kontakte (oder Durchkontaktierungen), die ideal zu den S/D-Elementen 260-1 und 260-2 ausgerichtet sind, wie später dargelegt wird.In step 146, in the method 100 ( 2D ) removes the semiconductor layer 239 from the back side of the device 200. The resulting structure is in 28 shown according to one embodiment. In the present embodiment, step 146 uses an etch process that is adjusted to be selective to the materials of the semiconductor layer 239 (such as SiGe in one embodiment), the dielectric liner 274, the dielectric layers 276, and the isolation elements 230 does not (or only minimally) etch. In some embodiments, the S/D elements 260-1 and 260-2 may not be etched, and in alternative embodiments they may be partially etched. The etch process creates trenches 278 that expose some of the S/D elements 260-1 in regions 200-2 and 200-3 and some of the S/D elements 260-2 in region 200-1 from the backside of the device uncover 200. The etch process may be a dry etch process, a wet etch process, a reactive ion etch process, or any other suitable etch process. In particular, in the present embodiment, the etch of the semiconductor layer 239 is self-aligned. In other words, an etch mask (e.g., an etch mask made with photolithography processes) for etching the semiconductor layer 239 need not be made in step 146 . Rather, step 146 is based on the etch selectivity of the materials in the semiconductor layer 239 and the layers surrounding it. This advantageously creates the trenches 278 that are to be aligned with the underlying S/D devices 260-1 and 260-2 without offsets, such as those caused by photolithography registration shift. Using this method creates backside contacts (or vias) that are ideally aligned with S/D elements 260-1 and 260-2, as discussed later.

In dem Schritt 148 werden bei dem Verfahren 100 (2D) rückseitige Silizid-Source-Elemente 280 und rückseitige Kontakte (oder Durchkontaktierungen oder Metallstifte) 282 in den Gräben (oder Durchkontaktierungsöffnungen) 278 hergestellt. Die resultierende Struktur ist in 29 gemäß einer Ausführungsform gezeigt. Bei einer Ausführungsform umfasst der Schritt 148 Folgendes: Abscheiden eines oder mehrerer Metalle in die Durchkontaktierungsöffnungen 278; Durchführen eines Temperprozesse an der Vorrichtung 200, um eine Reaktion zwischen dem einen oder den mehreren Metallen und den S/D-Elementen 260-1 (wie etwa in den Bereichen 200-2 und 200-3) und den S/D-Elementen 260-2 (wie etwa in dem Bereich 200-1) auszulösen, um die Silizid-Elemente 280 herzustellen; und Entfernen von nicht-umgesetzten Teilen des einen oder der mehreren Metalle, sodass die Silizid-Elemente 280 in der Durchkontaktierungsöffnung zurückbleiben. Das eine oder die mehreren Metalle können Titan (Ti), Tantal (Ta), Wolfram (W), Nickel (Ni), Platin (Pt), Ytterbium (Yb), Iridium (Ir), Erbium (Er), Cobalt (Co) oder eine Kombination davon (z. B. eine Legierung aus zwei oder mehr Metallen) sein, und sie können durch CVD, PVD, ALD oder mit anderen geeigneten Verfahren abgeschieden werden. Das Silizid-Element 280 kann Titansilizid (TiSi), Nickelsilizid (NiSi), Wolframsilizid (WSi), Nickel-Platin-Silizid (NiPtSi), Nickel-Platin-Germanium-Silizid (NiPtGeSi), Nickel-Germanium-Silizid (NiGeSi), Ytterbiumsilizid (YbSi), Platinsilizid (PtSi), Iridiumsilizid (IrSi), Erbiumsilizid (ErSi), Cobaltsilizid (CoSi) oder andere geeignete Verbindungen aufweisen.In step 148, in the method 100 ( 2D ) backside silicide source elements 280 and backside contacts (or vias or metal studs) 282 are fabricated in the trenches (or via openings) 278 . The resulting structure is in 29 shown according to one embodiment. In one embodiment, step 148 includes: depositing one or more metals into via openings 278; performing an annealing process on device 200 to cause a reaction between the one or more metals and S/D elements 260-1 (such as in regions 200-2 and 200-3) and S/D elements 260 trigger -2 (such as in region 200-1) to produce silicide elements 280; and removing unreacted portions of the one or more metals, leaving the silicide elements 280 in the via opening. The one or more metals can be titanium (Ti), tantalum (Ta), tungsten (W), nickel (Ni), platinum (Pt), ytterbium (Yb), iridium (Ir), erbium (Er), cobalt (Co ) or a combination thereof (e.g. an alloy of two or more metals) and they can be deposited by CVD, PVD, ALD or other suitable methods. The silicide element 280 may be titanium silicide (TiSi), nickel silicide (NiSi), tungsten silicide (WSi), nickel platinum silicide (NiPtSi), nickel platinum germanium silicide (NiPtGeSi), nickel germanium silicide (NiGeSi), Ytterbium silicide (YbSi), platinum silicide (PtSi), iridium silicide (IrSi), erbium silicide (ErSi), cobalt silicide (CoSi) or other suitable compounds.

Dann wird in dem Schritt 148 die Durchkontaktierung 282 in der Durchkontaktierungsöffnung 278 so abgeschieden, dass sie das Silizid-Element 280 kontaktiert. Bei einer Ausführungsform kann die Durchkontaktierung 282 eine leitfähige Sperrschicht und eine Metallfüllschicht über der leitfähigen Sperrschicht aufweisen. Die leitfähige Sperrschicht kann Titan (Ti), Tantal (Ta), Wolfram (W), Cobalt (Co), Ruthenium (Ru) oder ein leitfähiges Nitrid wie Titannidrid (TiN), Titan-Aluminiumnitrid (TiAIN), Wolframnitrid (WN), Tantalnitrid (TaN) oder Kombinationen davon aufweisen und kann durch CVD, PVD, ALD und/oder mit anderen geeigneten Verfahren hergestellt werden. Die Metallfüllschicht kann Wolfram (W), Cobalt (Co), Molybdän (Mo), Ruthenium (Ru) oder andere Metalle aufweisen und kann durch CVD, PVD, ALD, Plattierung oder mit anderen geeigneten Verfahren hergestellt werden.Then in step 148 the via 282 is deposited in the via opening 278 so that it contacts the silicide element 280 . In one embodiment, via 282 may include a conductive barrier layer and a metal fill layer over the conductive barrier layer. The conductive barrier layer can be titanium (Ti), tantalum (Ta), tungsten (W), cobalt (Co), ruthenium (Ru) or a conductive nitride such as titanium nitride (TiN), titanium aluminum nitride (TiAIN), tungsten nitride (WN), tantalum nitride (TaN) or combinations thereof and can be produced by CVD, PVD, ALD and/or other suitable methods. The metal fill layer may comprise tungsten (W), cobalt (Co), molybdenum (Mo), ruthenium (Ru), or other metals and may be formed by CVD, PVD, ALD, plating, or other suitable methods.

In dem Schritt 150 werden bei dem Verfahren 100 (2D) weitere Herstellungsprozesse an der Vorrichtung 200 durchgeführt. Zum Beispiel werden in dem Schritt 150 rückseitige Betriebsspannungsleitungen 284 und eine rückseitige Interconnect-Struktur 286 hergestellt. Die resultierende Struktur ist in 30 gemäß einer Ausführungsform gezeigt. Wie in 30 gezeigt ist, wird die rückseitige Durchkontaktierung 282 mit den rückseitigen Betriebsspannungsleitungen 284 elektrisch verbunden. Bei einer Ausführungsform können die rückseitigen Betriebsspannungsleitungen 284 mit einem Single-Damascene-Prozess, einem Dual-Damascene-Prozess, einem Metallstrukturierungsprozess oder anderen geeigneten Prozessen hergestellt werden. Die rückseitigen Betriebsspannungsleitungen 284 können Wolfram (W), Cobalt (Co), Molybdän (Mo), Ruthenium (Ru), Kupfer (Cu), Aluminium (AI), Titan (Ti), Tantal (Ta) oder andere Metalle aufweisen und können durch CVD, PVD, ALD, Plattierung oder mit anderen geeigneten Verfahren abgeschieden werden. Obwohl es in 30 nicht dargestellt ist, werden die rückseitigen Betriebsspannungsleitungen 284 in eine oder mehrere dielektrische Schichten eingebettet, und die rückseitige Interconnect-Struktur 286 weist Drähte und Durchkontaktierungen auf, die in eine oder mehrere dielektrische Schichten eingebettet sind. Bei einigen Ausführungsformen werden die rückseitigen Betriebsspannungsleitungen 284 als Teil der rückseitigen Interconnect-Struktur 286 angesehen. Durch Verwenden der rückseitigen Betriebsspannungsleitungen 284 steigt vorteilhaft die Anzahl von Metallleiterbahnen, die in der Vorrichtung 200 zum direkten Verbinden mit Source-/Drainkontakten und Durchkontaktierungen verfügbar sind. Außerdem steigt die Gatedichte für eine höhere Vorrichtungsintegration als bei anderen Strukturen ohne die rückseitigen Betriebsspannungsleitungen 284. Die rückseitigen Betriebsspannungsleitungen 284 können breiter als Metallleiterbahnen der ersten Ebene (M0-Metallleiterbahnen) auf der Vorderseite der Vorrichtung 200 sein, wodurch ein Widerstand der rückseitigen Betriebsspannungsleitungen 284 vorteilhaft reduziert wird. In dem Schritt 150 können weitere Herstellungsprozesse an der Vorrichtung 200 durchgeführt werden, wie zum Beispiel Herstellen von Passivierungsschichten auf der Rückseite der Vorrichtung 200; Entfernen des Trägers 370; und Durchführen weiterer BEOL-Prozesse. 31 zeigt die Vorrichtung 200 in einer Teil-Schnittansicht nach dem Entfernen des Trägers 370.In step 150, in the method 100 ( 2D ) further manufacturing processes are carried out on the device 200 . For example, in step 150, backside power lines 284 and backside interconnect structure 286 are fabricated. The resulting structure is in 30 shown according to one embodiment. As in 30 As shown, backside via 282 is electrically connected to backside power lines 284 . In one embodiment, the backside power rails 284 may be fabricated using a single damascene process, a dual damascene process, a metal patterning process, or other suitable processes. The backside power lines 284 may and may include tungsten (W), cobalt (Co), molybdenum (Mo), ruthenium (Ru), copper (Cu), aluminum (Al), titanium (Ti), tantalum (Ta), or other metals deposited by CVD, PVD, ALD, plating or other suitable methods. Although it's in 30 it is not shown who the backside power lines 284 are embedded in one or more dielectric layers, and the backside interconnect structure 286 includes wires and vias embedded in one or more dielectric layers. In some embodiments, the backside power lines 284 are considered part of the backside interconnect structure 286 . Advantageously, utilizing the backside power supply lines 284 increases the number of metal traces available in the device 200 for direct connection to source/drain contacts and vias. In addition, the gate density increases for higher device integration than other structures without the backside power supply lines 284. The backside power supply lines 284 can be wider than the first level metal lines (M0 metal lines) on the front side of the device 200, making a resistance of the backside power supply lines 284 advantageous is reduced. In step 150, further manufacturing processes may be performed on the device 200, such as forming passivation layers on the back side of the device 200; removing carrier 370; and performing further BEOL processes. 31 shows the device 200 in a partial sectional view after the removal of the carrier 370.

Ausführungsformen der vorliegenden Erfindung, die jedoch nicht beschränkend sein sollen, bieten einen oder mehrere der folgenden Vorzüge. Zum Beispiel werden bei Ausführungsformen der vorliegenden Erfindung CMOS-Stapelstrukturen mit einem ersten Transistor bereitgestellt, der auf einem zweiten Transistor gestapelt ist, wobei der erste und der zweite Transistor unterschiedliche Leitfähigkeiten haben. Dadurch wird die Grundfläche der CMOS-Struktur im Vergleich zu Ansätzen, bei denen der erste und der zweite Transistor nebeneinander implementiert sind, stark reduziert. Somit können die integrierten Schaltkreise weiter verkleinert werden. Ausführungsformen der vorliegenden Erfindung können problemlos in bestehende Halbleiter-Herstellungsprozesse integriert werden.Embodiments of the present invention, which are not intended to be limiting, provide one or more of the following benefits. For example, embodiments of the present invention provide stacked CMOS structures having a first transistor stacked on top of a second transistor, the first and second transistors having different conductivities. This greatly reduces the footprint of the CMOS structure compared to approaches where the first and second transistors are implemented side-by-side. Thus, the integrated circuits can be further miniaturized. Embodiments of the present invention can be easily integrated into existing semiconductor manufacturing processes.

Bei einem beispielhaften Aspekt ist die vorliegende Erfindung auf eine Halbleiterstruktur gerichtet, die Folgendes aufweist: eine Betriebsspannungsleitung; ein erstes Source-/Drain-Element, das über der Betriebsspannungsleitung angeordnet ist; eine Durchkontaktierung, die die Betriebsspannungsleitung mit dem ersten Source-/Drain-Element verbindet; ein Isolationselement, das über dem ersten Source-/Drain-Element angeordnet ist; und ein zweites Source-/Drain-Element, das über dem Isolationselement angeordnet ist, wobei das erste und das zweite Source-/Drain-Element unterschiedliche Leitfähigkeitstypen haben.In an exemplary aspect, the present invention is directed to a semiconductor structure, comprising: an operating voltage line; a first source/drain element disposed over the power supply line; a via connecting the power supply line to the first source/drain element; an isolation element disposed over the first source/drain element; and a second source/drain element disposed over the isolation element, the first and second source/drain elements having different conductivity types.

Bei einigen Ausführungsformen der Halbleiterstruktur ist das erste Source-/Drain-Element p-leitend, und das zweite Source-/Drain-Element ist n-leitend. Bei einigen Ausführungsformen weist die Halbleiterstruktur weiterhin Folgendes auf: ein drittes Source-/Drain-Element, das über der Betriebsspannungsleitung angeordnet ist; ein viertes Source-/Drain-Element, das über dem dritten Source-/Drain-Element angeordnet ist; eine erste Kanalschicht, die das erste und das dritte Source-/Drain-Element verbindet; eine über der ersten Kanalschicht angeordnete zweite Kanalschicht, die das zweite und das vierte Source-/Drain-Element verbindet; und eine Gatestruktur, die die erste und die zweite Kanalschicht umschließt. Bei einer weiteren Ausführungsform weist die Halbleiterstruktur weiterhin ein zweites Isolationselement auf, das zwischen dem dritten Source-/Drain-Element und dem vierten Source-/Drain-Element angeordnet ist. Bei einigen Ausführungsformen kontaktiert das dritte Source-/Drain-Element direkt das vierte Source-/Drain-Element. Bei einer weiteren Ausführungsform weist die Halbleiterstruktur weiterhin eine zweite Betriebsspannungsleitung, die über dem vierten Source-/Drain-Element angeordnet ist; und eine erste Durchkontaktierung auf, die die zweite Betriebsspannungsleitung mit dem vierten Source-/Drain-Element verbindet. Bei einer Ausführungsform weist die Halbleiterstruktur weiterhin eine zweite Durchkontaktierung auf, die über dem zweiten Source-/Drain-Element angeordnet ist und mit diesem verbunden ist.In some embodiments of the semiconductor structure, the first source/drain element is p-type and the second source/drain element is n-type. In some embodiments, the semiconductor structure further includes: a third source/drain element disposed over the power supply line; a fourth source/drain element arranged over the third source/drain element; a first channel layer connecting the first and third source/drain elements; a second channel layer disposed over the first channel layer connecting the second and fourth source/drain elements; and a gate structure enclosing the first and second channel layers. In a further embodiment, the semiconductor structure further comprises a second isolation element arranged between the third source/drain element and the fourth source/drain element. In some embodiments, the third source/drain element directly contacts the fourth source/drain element. In another embodiment, the semiconductor structure further includes a second power supply line disposed over the fourth source/drain element; and a first via connecting the second power supply line to the fourth source/drain element. In one embodiment, the semiconductor structure further includes a second via disposed over and connected to the second source/drain element.

Bei einem weiteren beispielhaften Aspekt ist die vorliegende Erfindung auf ein Verfahren gerichtet, das ein Bereitstellen einer Struktur umfasst, wobei die Struktur Folgendes aufweist: ein Substrat; eine Finne mit einem Stapel aus ersten und zweiten Halbleiterschichten, die abwechselnd über dem Substrat aufeinandergestapelt sind; eine Opfergatestruktur über der Finne; und Gate-Abstandshalter auf Seitenwänden der Opfergatestruktur. Das Verfahren umfasst weiterhin Folgendes: Ätzen der Finne benachbart zu den Gate-Abstandshaltern, um einen ersten und einen zweiten Source-/Drain-Graben zu erzeugen; seitliches Aussparen der zweiten Halbleiterschichten, die in dem ersten und dem zweiten Source-/Drain-Graben freiliegen, um Spalte zu erzeugen; Herstellen von Innenabstandshaltern in den Spalten; epitaxiales Aufwachsen eines ersten und eines zweiten Source-/Drain-Elements in dem ersten bzw. dem zweiten Source-/Drain-Graben; partielles Entfernen des ersten und des zweiten Source-/Drain-Elements; nach dem partiellen Entfernen Herstellen einer Hartmaske so, dass sie das zweite Source-/Drain-Element bedeckt und das erste Source-/Drain-Element nicht bedeckt; Abscheiden eines Isoliermaterials über dem ersten Source-/Drain-Element, wenn die Hartmaske an der richtigen Stelle ist; Entfernen der Hartmaske; und epitaxiales Aufwachsen eines dritten Source-/Drain-Elements über dem Isoliermaterial und eines vierten Source-/Drain-Elements über dem zweiten Source-/Drain-Element, wobei das erste und das zweite Source-/Drain-Element einen ersten Leitfähigkeitstyp haben und das dritte und das vierte Source-/Drain-Element einen zweiten Leitfähigkeitstyp haben, der dem ersten Leitfähigkeitstyp entgegengesetzt ist.In another exemplary aspect, the present invention is directed to a method that includes providing a structure, the structure comprising: a substrate; a fin having a stack of first and second semiconductor layers alternately stacked over the substrate; a sacrificial gate structure over the fin; and gate spacers on sidewalls of the sacrificial gate structure. The method further includes: etching the fin adjacent to the gate spacers to create first and second source/drain trenches; laterally recessing the second semiconductor layers exposed in the first and second source/drain trenches to create gaps; Making interior spacers in the columns; epitaxially growing first and second source/drain elements in the first and second source/drain trenches, respectively; partially removing the first and second source/drain elements; after the partial removal, forming a hard mask so that it covers the second source/drain element and does not cover the first source/drain element; depositing an insulating material over the first source/drain element when the hard mask is in place; removing the hard mask; and epitaxially growing a third source/drain element over the insulating material and a fourth source/drain element over the second source/drain element, wherein the first and second source/drain elements have a first conductivity type and the third and fourth source/drain elements have a second conductivity type Have conductivity type opposite to the first conductivity type.

Bei einer Ausführungsform umfasst das Verfahren vor dem epitaxialen Aufwachsen des ersten und des zweiten Source-/Drain-Elements weiterhin Folgendes: Durchführen einer zusätzlichen Ätzung an dem ersten Source-/Drain-Graben; und nach dem Durchführen der zusätzlichen Ätzung Abscheiden eines Dummy-Materials in den ersten Source-/Drain-Graben, wobei das erste Source-/Drain-Element über dem Dummy-Material aufgewachsen wird. Bei einer weiteren Ausführungsform umfasst das Verfahren weiterhin Folgendes: Entfernen des Dummy-Materials von einer Rückseite der Struktur, um eine rückseitige Durchkontaktierungsöffnung zu erzeugen; und Herstellen einer Durchkontaktierung in der rückseitigen Durchkontaktierungsöffnung.In one embodiment, prior to epitaxially growing the first and second source/drain devices, the method further comprises: performing an additional etch on the first source/drain trench; and after performing the additional etch, depositing a dummy material into the first source/drain trench, wherein the first source/drain element is grown over the dummy material. In another embodiment, the method further comprises: removing the dummy material from a backside of the structure to create a backside via opening; and forming a via in the backside via opening.

Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Ersetzen der Opfergatestruktur durch ein High-k-Metallgate. Bei einer weiteren Ausführungsform umfasst das Ersetzen Folgendes: Entfernen der Opfergatestruktur, um einen Gategraben zu erzeugen; Entfernen der zweiten Halbleiterschichten, die in dem Gategraben freiliegen; Abscheiden einer dielektrischen High-k-Gateschicht über jeder der ersten Halbleiterschichten, die in dem Gategraben freiliegen; und Abscheiden einer Metallgateelektrode über der dielektrischen High-k-Gateschicht.In some embodiments, the method further includes replacing the sacrificial gate structure with a high-k metal gate. In another embodiment, the replacement includes: removing the sacrificial gate structure to create a gate trench; removing the second semiconductor layers exposed in the gate trench; depositing a high-k gate dielectric layer over each of the first semiconductor layers exposed in the gate trench; and depositing a metal gate electrode over the high-k gate dielectric layer.

Bei einem noch weiteren beispielhaften Aspekt ist die vorliegende Erfindung auf ein Verfahren gerichtet, das ein Bereitstellen einer Struktur umfasst, die ein Substrat und einen ersten, einen zweiten und einen dritten Bereich über dem Substrat aufweist, wobei der dritte Bereich einen Stapel aus ersten und zweiten Halbleiterschichten aufweist, die abwechselnd über dem Substrat aufeinandergestapelt sind. Das Verfahren umfasst weiterhin Folgendes: Ätzen von Source-/Drain-Gräben jeweils in dem ersten, dem zweiten und dem dritten Bereich, wobei die Source-/Drain-Gräben in dem dritten Bereich in den Stapel aus den ersten und den zweiten Halbleiterschichten geätzt werden; Herstellen einer ersten Hartmaske so, dass sie den ersten Bereich bedeckt und den zweiten und den dritten Bereich nicht bedeckt; und epitaxiales Aufwachsen von Source-/Drain-Elementen eines ersten Typs in den Source-/Drain-Gräben in dem zweiten und dem dritten Bereich, wenn die erste Hartmaske an der richtigen Stelle ist. Das Verfahren umfasst weiterhin Folgendes: Herstellen einer zweiten Hartmaske so, dass sie den zweiten Bereich bedeckt und den dritten Bereich nicht bedeckt; partielles Aussparen der Source-/Drain-Elemente in dem dritten Bereich, wenn die erste und die zweite Hartmaske an der richtigen Stelle sind; nach dem partiellen Aussparen Herstellen einer dritten Hartmaske so, dass sie ein erstes der Source-/Drain-Elemente in dem dritten Bereich nicht bedeckt und ein zweites der Source-/Drain-Elemente in dem dritten Bereich bedeckt; und Abscheiden eines Isoliermaterials über dem ersten der Source-/Drain-Elemente in dem dritten Bereich, wenn die erste, die zweite und die dritte Hartmaske an der richtigen Stelle sind.In yet another exemplary aspect, the present invention is directed to a method that includes providing a structure having a substrate and first, second, and third regions over the substrate, the third region being a stack of first and second Semiconductor layers alternately stacked over the substrate. The method further comprises: etching source/drain trenches in each of the first, second and third regions, wherein the source/drain trenches in the third region are etched into the stack of the first and second semiconductor layers ; fabricating a first hard mask to cover the first area and not to cover the second and third areas; and epitaxially growing source/drain elements of a first type in the source/drain trenches in the second and third regions when the first hard mask is in place. The method further includes: fabricating a second hard mask to cover the second area and not to cover the third area; partially recessing the source/drain elements in the third region when the first and second hard masks are in place; after the partial recess, fabricating a third hard mask so that it does not cover a first one of the source/drain elements in the third area and covers a second one of the source/drain elements in the third area; and depositing an insulating material over the first of the source/drain elements in the third region when the first, second, and third hard masks are in place.

Bei einer Ausführungsform umfasst das Verfahren weiterhin Folgendes: Entfernen der ersten und der dritten Hartmaske; und Herstellen von Source-/Drain-Elementen eines zweiten Typs in den Source-/Drain-Gräben in dem ersten Bereich, über dem Isoliermaterial und über den zweiten der Source-/Drain-Elemente in dem dritten Bereich, wenn die zweite Hartmaske an der richtigen Stelle ist, wobei der erste und der zweite Typ entgegengesetzte Leitfähigkeitstypen sind. Bei einer weiteren Ausführungsform ist der erste Typ p-leitend, und der zweite Typ ist n-leitend. Bei einer anderen Ausführungsform umfasst das Verfahren nach dem Herstellen der Source-/Drain-Elemente des zweiten Typs weiterhin ein Entfernen der zweiten Hartmaske.In one embodiment, the method further comprises: removing the first and third hard masks; and forming source/drain devices of a second type in the source/drain trenches in the first region, over the insulating material and over the second of the source/drain devices in the third region when the second hard mask is at the correct location, the first and second types being opposite conductivity types. In another embodiment, the first type is p-type and the second type is n-type. In another embodiment, after forming the second type source/drain devices, the method further comprises removing the second hard mask.

Bei einer Ausführungsform weisen auch der erste und der zweite Bereich jeweils einen Stapel aus den ersten und den zweiten Halbleiterschichten auf, und auch die Source-/Drain-Gräben in dem ersten und dem zweiten Bereich werden in den Stapel aus den ersten und den zweiten Halbleiterschichten geätzt. Bei einer weiteren Ausführungsform umfasst das Verfahren nach dem Ätzen der Source-/Drain-Gräben und vor dem Herstellen der ersten Hartmaske weiterhin Folgendes: Durchführen einer zusätzlichen Ätzung an einem der Source-/Drain-Gräben in dem dritten Bereich; und Abscheiden einer Opfer-Halbleiterschicht in dem einen der Source-/Drain-Gräben, wobei das erste der Source-/Drain-Elemente in dem dritten Bereich auf der Opfer-Halbleiterschicht aufgewachsen wird. Bei einer weiteren Ausführungsform umfasst das Verfahren weiterhin Folgendes: Dünnen des Substrats von einer Rückseite der Struktur, um die Opfer-Halbleiterschicht freizulegen; Entfernen der Opfer-Halbleiterschicht, um eine Durchkontaktierungsöffnung zu erzeugen; und Herstellen einer Durchkontaktierung in der Durchkontaktierungsöffnung. Bei einer Ausführungsform verbindet mindestens eine der ersten Halbleiterschichten das erste und das zweite der Source-/Drain-Elemente in dem dritten Bereich.Also in one embodiment, the first and second regions each comprise a stack of the first and second semiconductor layers, and the source/drain trenches in the first and second regions are also included in the stack of the first and second semiconductor layers etched. In another embodiment, after etching the source/drain trenches and before forming the first hard mask, the method further comprises: performing an additional etch on one of the source/drain trenches in the third region; and depositing a sacrificial semiconductor layer in the one of the source/drain trenches, wherein the first of the source/drain elements is grown on the sacrificial semiconductor layer in the third region. In another embodiment, the method further comprises: thinning the substrate from a back side of the structure to expose the sacrificial semiconductor layer; removing the sacrificial semiconductor layer to create a via opening; and forming a via in the via opening. In one embodiment, at least one of the first semiconductor layers connects the first and second of the source/drain elements in the third region.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.The foregoing has described features of various embodiments so that those skilled in the art may better understand aspects of the present invention. Experts should be clear be that they can readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same ends and/or obtain the same benefits as the embodiments presented herein. It should also be appreciated by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present invention and that they can make various changes, substitutions and modifications therein without departing from the spirit and scope of the present invention.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of documents cited by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent Literature Cited

  • US 63/027811 [0001]US63/027811 [0001]

Claims (20)

Halbleiterstruktur mit: einer Betriebsspannungsleitung; einem ersten Source-/Drain-Element, das über der Betriebsspannungsleitung angeordnet ist; einer Durchkontaktierung, die die Betriebsspannungsleitung mit dem ersten Source-/Drain-Element verbindet; einem Isolationselement, das über dem ersten Source-/Drain-Element angeordnet ist; und einem zweiten Source-/Drain-Element, das über dem Isolationselement angeordnet ist, wobei das erste und das zweite Source-/Drain-Element unterschiedliche Leitfähigkeitstypen haben.semiconductor structure with: an operating voltage line; a first source/drain element disposed over the power supply line; a via connecting the power supply line to the first source/drain element; an isolation element arranged over the first source/drain element; and a second source/drain element disposed over the isolation element, the first and second source/drain elements having different conductivity types. Halbleiterstruktur nach Anspruch 1, wobei das erste Source-/Drain-Element p-leitend ist und das zweite Source-/Drain-Element n-leitend ist.semiconductor structure claim 1 , wherein the first source/drain element is p-type and the second source/drain element is n-type. Halbleiterstruktur nach Anspruch 1 oder 2, die weiterhin Folgendes aufweist: ein drittes Source-/Drain-Element, das über der Betriebsspannungsleitung angeordnet ist; ein viertes Source-/Drain-Element, das über dem dritten Source-/Drain-Element angeordnet ist; eine erste Kanalschicht, die das erste Source-/Drain-Element und das dritte Source-/Drain-Element verbindet; eine über der ersten Kanalschicht angeordnete zweite Kanalschicht, die das zweite Source-/Drain-Element und das vierte Source-/Drain-Element verbindet; und eine Gatestruktur, die die erste Kanalschicht und die zweite Kanalschicht umschließt.semiconductor structure claim 1 or 2 , further comprising: a third source/drain element disposed over the power supply line; a fourth source/drain element arranged over the third source/drain element; a first channel layer connecting the first source/drain element and the third source/drain element; a second channel layer disposed over the first channel layer connecting the second source/drain element and the fourth source/drain element; and a gate structure enclosing the first channel layer and the second channel layer. Halbleiterstruktur nach Anspruch 3, die weiterhin ein zweites Isolationselement aufweist, das zwischen dem dritten Source-/Drain-Element und dem vierten Source-/Drain-Element angeordnet ist.semiconductor structure claim 3 , further comprising a second isolation element disposed between the third source/drain element and the fourth source/drain element. Halbleiterstruktur nach Anspruch 3 oder 4, wobei das dritte Source-/Drain-Element das vierte Source-/Drain-Element direkt kontaktiert.semiconductor structure claim 3 or 4 , wherein the third source/drain element directly contacts the fourth source/drain element. Halbleiterstruktur nach einem der Ansprüche 3 bis 5, die weiterhin Folgendes aufweist: eine zweite Betriebsspannungsleitung, die über dem vierten Source-/Drain-Element angeordnet ist; und eine erste Durchkontaktierung, die die zweite Betriebsspannungsleitung mit dem vierten Source-/Drain-Element verbindet.Semiconductor structure according to one of claims 3 until 5 further comprising: a second power supply line disposed over the fourth source/drain element; and a first via connecting the second power supply line to the fourth source/drain element. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, die weiterhin eine zweite Durchkontaktierung aufweist, die über dem zweiten Source-/Drain-Element angeordnet ist und mit diesem verbunden ist.The semiconductor structure of any preceding claim, further comprising a second via disposed over and connected to the second source/drain element. Verfahren mit den folgenden Schritten: Bereitstellen einer Struktur, wobei die Struktur Folgendes aufweist: ein Substrat, eine Finne, die einen Stapel aus ersten und zweiten Halbleiterschichten aufweist, die abwechselnd über dem Substrat aufeinandergestapelt sind, eine Opfergatestruktur über der Finne, und Gate-Abstandshalter auf Seitenwänden der Opfergatestruktur; Ätzen der Finne benachbart zu den Gate-Abstandshaltern, um einen ersten und einen zweiten Source-/Drain-Graben zu erzeugen; seitliches Aussparen der zweiten Halbleiterschichten, die in dem ersten und dem zweiten Source-/Drain-Graben freiliegen, um Spalte zu erzeugen; Herstellen von Innenabstandshaltern in den Spalten; epitaxiales Aufwachsen eines ersten und eines zweiten Source-/Drain-Elements in dem ersten bzw. dem zweiten Source-/Drain-Graben; partielles Entfernen des ersten und des zweiten Source-/Drain-Elements; nach dem partiellen Entfernen Herstellen einer Hartmaske so, dass sie das zweite Source-/Drain-Element bedeckt und das erste Source-/Drain-Element freilässt; Abscheiden eines Isoliermaterials über dem ersten Source-/Drain-Element, wenn die Hartmaske an der richtigen Stelle ist; Entfernen der Hartmaske; und epitaxiales Aufwachsen eines dritten Source-/Drain-Elements über dem Isoliermaterial und eines vierten Source-/Drain-Elements über dem zweiten Source-/Drain-Element, wobei das erste und das zweite Source-/Drain-Element einen ersten Leitfähigkeitstyp haben und das dritte und das vierte Source-/Drain-Element einen zweiten Leitfähigkeitstyp haben, der dem ersten Leitfähigkeitstyp entgegengesetzt ist.Procedure with the following steps: providing a structure, the structure comprising: a substrate a fin comprising a stack of first and second semiconductor layers alternately stacked over the substrate, a sacrificial gate structure over the fin, and gate spacers on sidewalls of the sacrificial gate structure; etching the fin adjacent to the gate spacers to create first and second source/drain trenches; laterally recessing the second semiconductor layers exposed in the first and second source/drain trenches to create gaps; Making interior spacers in the columns; epitaxially growing first and second source/drain elements in the first and second source/drain trenches, respectively; partially removing the first and second source/drain elements; after the partial removal, forming a hard mask so that it covers the second source/drain element and leaves the first source/drain element exposed; depositing an insulating material over the first source/drain element when the hard mask is in place; removing the hard mask; and epitaxially growing a third source/drain device over the insulating material and a fourth source/drain device over the second source/drain device, wherein the first and second source/drain devices have a first conductivity type and the third and fourth source/drain elements have a second conductivity type opposite to the first conductivity type. Verfahren nach Anspruch 8, das vor dem epitaxialen Aufwachsen des ersten und des zweiten Source-/Drain-Elements weiterhin Folgendes umfasst: Durchführen einer zusätzlichen Ätzung an dem ersten Source-/Drain-Graben; und nach dem Durchführen der zusätzlichen Ätzung Abscheiden eines Dummy-Materials in den ersten Source-/Drain-Graben, wobei das erste Source-/Drain-Element über dem Dummy-Material aufgewachsen wird.procedure after claim 8 , further comprising prior to epitaxially growing the first and second source/drain devices: performing an additional etch on the first source/drain trench; and after performing the additional etch, depositing a dummy material into the first source/drain trench, wherein the first source/drain element is grown over the dummy material. Verfahren nach Anspruch 8 oder 9, das weiterhin Folgendes umfasst: Entfernen des Dummy-Materials von einer Rückseite der Struktur, um eine rückseitige Durchkontaktierungsöffnung zu erzeugen; und Herstellen einer Durchkontaktierung in der rückseitigen Durchkontaktierungsöffnung.procedure after claim 8 or 9 , further comprising: removing the dummy material from a backside of the structure to create a backside via opening; and forming a via in the backside via opening. Verfahren nach einem der Ansprüche 8 bis 10, das weiterhin ein Ersetzen der Opfergatestruktur durch ein High-k-Metallgate umfasst.Procedure according to one of Claims 8 until 10 , further comprising replacing the sacrificial gate structure with a high-k metal gate. Verfahren nach Anspruch 11, wobei das Ersetzen Folgendes umfasst: Entfernen der Opfergatestruktur, um einen Gategraben zu erzeugen; Entfernen der zweiten Halbleiterschichten, die in dem Gategraben freiliegen; Abscheiden einer dielektrischen High-k-Gateschicht über jeder der ersten Halbleiterschichten, die in dem Gategraben freiliegen; und Abscheiden einer Metallgateelektrode über der dielektrischen High-k-Gateschicht.procedure after claim 11 , the replacing comprising: removing the sacrificial gate structure to create a gate trench; removing the second semiconductor layers exposed in the gate trench; depositing a high-k gate dielectric layer over each of the first semiconductor layers exposed in the gate trench; and depositing a metal gate electrode over the high-k gate dielectric layer. Verfahren mit den folgenden Schritten: Bereitstellen einer Struktur, die ein Substrat und einen ersten, einen zweiten und einen dritten Bereich über dem Substrat aufweist, wobei der dritte Bereich einen Stapel aus ersten und zweiten Halbleiterschichten aufweist, die abwechselnd über dem Substrat aufeinandergestapelt sind; Ätzen von Source-/Drain-Gräben jeweils in dem ersten, dem zweiten und dem dritten Bereich, wobei die Source-/Drain-Gräben in dem dritten Bereich in den Stapel aus den ersten und den zweiten Halbleiterschichten geätzt werden; Herstellen einer ersten Hartmaske so, dass sie den ersten Bereich bedeckt und den zweiten und den dritten Bereich freilässt; und epitaxiales Aufwachsen von Source-/Drain-Elementen eines ersten Typs in den Source-/Drain-Gräben in dem zweiten und dem dritten Bereich, wenn die erste Hartmaske an der richtigen Stelle ist; Herstellen einer zweiten Hartmaske so, dass sie den zweiten Bereich bedeckt und den dritten Bereich freilässt; partielles Aussparen der Source-/Drain-Elemente in dem dritten Bereich, wenn die erste und die zweite Hartmaske an der richtigen Stelle sind; nach dem partiellen Aussparen Herstellen einer dritten Hartmaske so, dass sie ein erstes der Source-/Drain-Elemente in dem dritten Bereich freilässt und ein zweites der Source-/Drain-Elemente in dem dritten Bereich bedeckt; und Abscheiden eines Isoliermaterials über dem ersten der Source-/Drain-Elemente in dem dritten Bereich, wenn die erste, die zweite und die dritte Hartmaske an der richtigen Stelle sind.Procedure with the following steps: providing a structure comprising a substrate and first, second and third regions over the substrate, the third region comprising a stack of first and second semiconductor layers alternately stacked over the substrate; etching source/drain trenches in each of the first, second and third regions, the source/drain trenches in the third region being etched into the stack of the first and second semiconductor layers; fabricating a first hard mask to cover the first area and leave the second and third areas exposed; and epitaxially growing source/drain elements of a first type in the source/drain trenches in the second and third regions when the first hard mask is in place; fabricating a second hard mask to cover the second area and leave the third area exposed; partially recessing the source/drain elements in the third region when the first and second hard masks are in place; after the partial recess, fabricating a third hard mask such that it exposes a first of the source/drain elements in the third area and covers a second of the source/drain elements in the third area; and depositing an insulating material over the first of the source/drain elements in the third region when the first, second, and third hard masks are in place. Verfahren nach Anspruch 13, das weiterhin Folgendes umfasst: Entfernen der ersten und der dritten Hartmaske; und Herstellen von Source-/Drain-Elementen eines zweiten Typs in den Source-/Drain-Gräben in dem ersten Bereich, über dem Isoliermaterial und über den zweiten der Source-/Drain-Elemente in dem dritten Bereich, wenn die zweite Hartmaske an der richtigen Stelle ist, wobei der erste und der zweite Typ entgegengesetzte Leitfähigkeitstypen sind.procedure after Claim 13 , further comprising: removing the first and third hard masks; and forming source/drain devices of a second type in the source/drain trenches in the first region, over the insulating material and over the second of the source/drain devices in the third region when the second hard mask is at the correct location, the first and second types being opposite conductivity types. Verfahren nach Anspruch 14, wobei der erste Typ p-leitend ist und der zweite Typ n-leitend ist.procedure after Claim 14 , where the first type is p-type and the second type is n-type. Verfahren nach Anspruch 14 oder 15, das nach dem Herstellen der Source-/Drain-Elemente des zweiten Typs weiterhin ein Entfernen der zweiten Hartmaske umfasst.procedure after Claim 14 or 15 , further comprising removing the second hard mask after forming the second type of source/drain elements. Verfahren nach einem der Ansprüche 13 bis 16, wobei auch der erste und der zweite Bereich jeweils einen Stapel aus den ersten und den zweiten Halbleiterschichten aufweisen und auch die Source-/Drain-Gräben in dem ersten und dem zweiten Bereich in den Stapel aus den ersten und den zweiten Halbleiterschichten geätzt werden.Procedure according to one of Claims 13 until 16 , wherein also the first and the second region each have a stack of the first and the second semiconductor layers and also the source/drain trenches in the first and the second region are etched into the stack of the first and the second semiconductor layers. Verfahren nach einem der Ansprüche 13 bis 17, das nach dem Ätzen der Source-/Drain-Gräben und vor dem Herstellen der ersten Hartmaske weiterhin Folgendes umfasst: Durchführen einer zusätzlichen Ätzung an einem der Source-/Drain-Gräben in dem dritten Bereich; und Abscheiden einer Opfer-Halbleiterschicht in dem einen der Source-/Drain-Gräben, wobei das erste der Source-/Drain-Elemente in dem dritten Bereich auf der Opfer-Halbleiterschicht aufgewachsen wird.Procedure according to one of Claims 13 until 17 , further comprising, after etching the source/drain trenches and before forming the first hard mask: performing an additional etch on one of the source/drain trenches in the third region; and depositing a sacrificial semiconductor layer in the one of the source/drain trenches, wherein the first of the source/drain elements is grown on the sacrificial semiconductor layer in the third region. Verfahren nach Anspruch 18, das weiterhin Folgendes umfasst: Dünnen des Substrats von einer Rückseite der Struktur, um die Opfer-Halbleiterschicht freizulegen; Entfernen der Opfer-Halbleiterschicht, um eine Durchkontaktierungsöffnung zu erzeugen; und Herstellen einer Durchkontaktierung in der Durchkontaktierungsöffnung.procedure after Claim 18 further comprising: thinning the substrate from a back side of the structure to expose the sacrificial semiconductor layer; removing the sacrificial semiconductor layer to create a via opening; and forming a via in the via opening. Verfahren nach einem der Ansprüche 13 bis 19, wobei mindestens eine der ersten Halbleiterschichten das erste und das zweite der Source-/Drain-Elemente in dem dritten Bereich verbindet.Procedure according to one of Claims 13 until 19 , wherein at least one of the first semiconductor layers connects the first and the second of the source/drain elements in the third region.
DE102020133339.0A 2020-05-20 2020-12-14 CMOS STACK STRUCTURE Pending DE102020133339A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063027811P 2020-05-20 2020-05-20
US63/027,811 2020-05-20
US17/116,552 2020-12-09
US17/116,552 US20210366906A1 (en) 2020-05-20 2020-12-09 Stacking cmos structure

Publications (1)

Publication Number Publication Date
DE102020133339A1 true DE102020133339A1 (en) 2022-01-20

Family

ID=78061974

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020133339.0A Pending DE102020133339A1 (en) 2020-05-20 2020-12-14 CMOS STACK STRUCTURE

Country Status (2)

Country Link
CN (1) CN113517281A (en)
DE (1) DE102020133339A1 (en)

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9484461B2 (en) * 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US10872820B2 (en) * 2016-08-26 2020-12-22 Intel Corporation Integrated circuit structures
US10446555B2 (en) * 2017-08-31 2019-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal track and methods forming same
US10658482B2 (en) * 2017-11-01 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Plate design to decrease noise in semiconductor devices
US10381438B2 (en) * 2017-11-02 2019-08-13 International Business Machines Corporation Vertically stacked NFETS and PFETS with gate-all-around structure
US10304832B1 (en) * 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10090193B1 (en) * 2017-11-16 2018-10-02 Globalfoundries Inc. Integrated circuit structure incorporating a stacked pair of field effect transistors and a buried interconnect and method
US10790148B2 (en) * 2018-05-23 2020-09-29 Globalfoundries Inc. Method to increase effective gate height
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate

Also Published As

Publication number Publication date
CN113517281A (en) 2021-10-19
TW202145450A (en) 2021-12-01

Similar Documents

Publication Publication Date Title
DE102016114705B4 (en) Etch stop layer for semiconductor components
DE102018109911A1 (en) Finn-based strip cell structure to improve storage performance
DE102017118199A1 (en) FINFET DEVICE AND TRAINING PROCESS
DE102020111602B4 (en) MORE GATE DEVICES AND GATE STRUCTURING PROCESS THEREFORE
DE102019116606B4 (en) MULTI-GATE DEVICE AND RELATED PROCEDURES
DE102016100049A1 (en) Method and Structure for Semi-Conductive End-Of-Line (MEOL) Process
DE102017113681A1 (en) SEMICONDUCTOR CONSTRUCTION ELEMENT WITH AIR SPACER HOLDER
DE102020134989A1 (en) SEMICONDUCTOR STRUCTURE WITH STORAGE DEVICE AND METHOD OF MANUFACTURING IT
DE102019116036B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020130964A1 (en) VERTICALLY ORIENTED COMPLEMENTARY TRANSISTOR
DE102019126565B4 (en) MULTIPLE GATE APPARATUS AND ASSOCIATED METHODS
DE102021001414A1 (en) METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND SEMICONDUCTOR DEVICES
DE102021102419A1 (en) PROCESSES FOR MANUFACTURING SEMICONDUCTOR DEVICES AND THEIR STRUCTURES
DE102017123948B4 (en) ENCLOSED EPITAXIAL STRUCTURE AND PROCESS
DE102021108885A1 (en) FORMATION OF ESD COMPONENTS USING MULTIGATE COMPATIBLE PROCESSES
DE102021102213A1 (en) Back bus bar semiconductor devices and method therefor
DE102021116191A1 (en) FORMING SOURCE AND DRAIN FEATURES IN SEMICONDUCTOR DEVICES
DE102021103538A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021109770A1 (en) HYBRID SEMICONDUCTOR DEVICE
DE102020119428A1 (en) GATE-ALL-AROUND DEVICES WITH OPTIMIZED GATE SPACERS AND GATE-END DIELECTRIC
DE102017117865A1 (en) Connection structure and related procedures
DE102021112556A1 (en) THREE-LAYER HIGH-K GATE DIELECTRIC PILE FOR EXIT WORK CONTROL
DE102021113537A1 (en) TRANSISTOR GATE CONTACTS AND METHOD OF FORMING THEM
DE102020133339A1 (en) CMOS STACK STRUCTURE
DE102021110572A1 (en) SEMICONDUCTOR DEVICE WITH LEAKAGE CURRENT SUPPRESSION AND METHOD FOR MANUFACTURING THEREOF

Legal Events

Date Code Title Description
R012 Request for examination validly filed