DE102020131642A1 - STRUCTURES AND TECHNIQUES OF DIRECTED SELF-ORGANIZATION - Google Patents

STRUCTURES AND TECHNIQUES OF DIRECTED SELF-ORGANIZATION Download PDF

Info

Publication number
DE102020131642A1
DE102020131642A1 DE102020131642.9A DE102020131642A DE102020131642A1 DE 102020131642 A1 DE102020131642 A1 DE 102020131642A1 DE 102020131642 A DE102020131642 A DE 102020131642A DE 102020131642 A1 DE102020131642 A1 DE 102020131642A1
Authority
DE
Germany
Prior art keywords
conductive line
line
microelectronic structure
microelectronic
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020131642.9A
Other languages
German (de)
Inventor
James Munro Blackwell
Robert L. Bristol
Xuanxuan CHEN
Lauren Elizabeth Doyle
Florian Gstrein
Eungnak Han
Brandon Jay Holybee
Marie Krysak
Tayseer Mahdi
Richard E. Schenker
Gurpreet Singh
Emily Susan Walker
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/032,517 external-priority patent/US20210375745A1/en
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE102020131642A1 publication Critical patent/DE102020131642A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Abstract

Hier werden Strukturen und Techniken offenbart, die eine gerichtete Selbstorganisation für die Herstellung mikroelektronischer Vorrichtungen verwenden. Eine mikroelektronische Struktur kann z. B. einen mit einem Muster versehenen Bereich, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung der ersten leitfähigen Leitung benachbart ist; und einen ungeordneten Bereich mit einem ungeordneten lamellaren Muster, wobei der ungeordnete Bereich mit dem mit einem Muster versehenen Bereich koplanar ist, enthalten.Disclosed herein are structures and techniques that use directed self-assembly to fabricate microelectronic devices. A microelectronic structure can e.g. B. a patterned area including a first conductive line and a second conductive line, the second conductive line being adjacent to the first conductive line; and a disordered region having a disordered lamellar pattern, the disordered region being coplanar with the patterned region.

Description

Querverweis auf verwandte AnmeldungCross reference to related application

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/033,721 , eingereicht am 2. Juni 2020, mit dem Titel „CHEMICAL COMPOSITIONS & METHODS OF PATTERNING MICROELECTRONIC DEVICE STRUCTURES“. Diese Prioritätsanmeldung ist hierdurch Bezugnahme vollständig mit aufgenommen.This application claims priority from U.S. Provisional Patent Application No. 63 / 033,721 , filed on June 2, 2020, entitled "CHEMICAL COMPOSITIONS & METHODS OF PATTERNING MICROELECTRONIC DEVICE STRUCTURES". This priority application is hereby fully incorporated by reference.

Hintergrundbackground

Herkömmliche Mikroelektronik-Fertigungstechniken könnten nicht imstande sein, ein Muster besonders kleiner Merkmale zuverlässig zu bilden. Folglich sind die Größe und die Leistungsfähigkeit von Mikroelektronikvorrichtungen begrenzt gewesen.Conventional microelectronic manufacturing techniques may not be able to reliably pattern particularly small features. As a result, the size and performance of microelectronic devices have been limited.

FigurenlisteFigure list

Die Ausführungsformen werden durch die folgende ausführliche Beschreibung in Verbindung mit den beigefügten Zeichnungen leicht verstanden. Um diese Beschreibung zu fördern, bezeichnen gleiche Bezugszeichen gleiche Strukturelemente. Die Ausführungsformen sind in den Figuren der beigefügten Zeichnungen beispielhaft und nicht einschränkend veranschaulicht.

  • 1A-1C sind verschiedene Ansichten einer mikroelektronischen Struktur, die Leitungen mit geringer Leitungskantenrauheit (LER) enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 2A-2L veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 1 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 3 veranschaulicht eine Stufe in einem weiteren beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 1 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 4A-4B sind verschiedene Ansichten einer weiteren mikroelektronischen Struktur, die Leitungen mit geringer (LER) enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 5A-5D veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 4 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 6A-6B sind verschiedene Ansichten einer weiteren mikroelektronischen Struktur, die Leitungen mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 7A-7H veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 6 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 8A-8B sind verschiedene Ansichten einer mikroelektronischen Struktur, die Leitungen mit geringer LER und Leitungen mit hoher LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 9A-9M veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 8 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 10A-1 0B sind verschiedene Ansichten einer weiteren mikroelektronischen Struktur, die Leitungen mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 11A-11H veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 10 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 12A-12B sind verschiedene Ansichten einer weiteren mikroelektronischen Struktur, die Leitungen mit geringer LER und Leitungen mit hoher LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 13A-13P veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 12 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 14A-14B sind verschiedene Ansichten einer weiteren mikroelektronischen Struktur, die Leitungen mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 15A-15G veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 14 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 16A-16B sind verschiedene Ansichten einer weiteren mikroelektronischen Struktur, die Leitungen mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 17A-17G veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 16 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 18 ist eine Draufsicht auf eine mikroelektronische Struktur, die Leitungen mit geringer LER in mehreren Abständen enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 19A-19E veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 18 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 20 veranschaulicht eine Stufe in einem weiteren beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 18 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 21 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Struktur, die Durchkontaktierungen in leitfähigen Kontakt mit Leitungen mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 22A-22F veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 21 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 23 ist eine Seiten-Querschnittsansicht einer weiteren mikroelektronischen Struktur, die Durchkontaktierungen in leitfähigen Kontakt mit Leitungen mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 24A-24C veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 23 in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 25-27 sind Draufsichten auf mikroelektronische Strukturen, die Abstands-Teilungs-Artefakte enthalten, in Übereinstimmung mit verschiedenen Ausführungsformen.
  • 28 ist eine Draufsicht auf einen Wafer und Dies, die irgendwelche der hier offenbarten mikroelektronischen Strukturen enthalten können.
  • 29 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Vorrichtung, die irgendwelche der hier offenbarten mikroelektronischen Strukturen enthalten kann.
  • 30 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Baugruppe, die irgendwelche der hier offenbarten mikroelektronischen Strukturen enthalten kann.
  • 31 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Vorrichtung, die irgendwelche der hier offenbarten mikroelektronischen Strukturen enthalten kann.
  • 32 ist ein Blockschaltplan einer beispielhaften Rechenvorrichtung, die irgendwelche der hier offenbarten mikroelektronischen Strukturen enthalten kann.
The embodiments will be readily understood from the following detailed description in conjunction with the accompanying drawings. In order to facilitate this description, the same reference symbols denote the same structural elements. The embodiments are illustrated by way of example and not in a restrictive manner in the figures of the accompanying drawings.
  • 1A-1C Fig. 13 are various views of a microelectronic structure containing lines with low line edge roughness (LER) in accordance with various embodiments.
  • 2A-2L illustrate the steps in an exemplary process for fabricating the microelectronic structure 1 in accordance with various embodiments.
  • 3 FIG. 10 illustrates a stage in another exemplary process for fabricating the microelectronic structure of FIG 1 in accordance with various embodiments.
  • 4A-4B Fig. 13 are various views of another microelectronic structure including low lines (LER) in accordance with various embodiments.
  • 5A-5D illustrate the steps in an exemplary process for fabricating the microelectronic structure 4th in accordance with various embodiments.
  • 6A-6B Fig. 13 are various views of another microelectronic structure including low LER lines in accordance with various embodiments.
  • 7A-7H illustrate the steps in an exemplary process for fabricating the microelectronic structure 6th in accordance with various embodiments.
  • 8A-8B Figure 13 is various views of a microelectronic structure including low LER lines and high LER lines in accordance with various embodiments.
  • 9A-9M illustrate the steps in an exemplary process for fabricating the microelectronic structure 8th in accordance with various embodiments.
  • 10A-1 0B are various views of another microelectronic structure including low LER lines in accordance with various embodiments.
  • 11A-11H illustrate the steps in an exemplary process for fabricating the microelectronic structure 10 in accordance with various embodiments.
  • 12A-12B Figure 13 is various views of another microelectronic structure including low LER lines and high LER lines in accordance with various embodiments.
  • 13A-13P illustrate the steps in an exemplary process for fabricating the microelectronic structure 12th in accordance with various embodiments.
  • 14A-14B Fig. 13 are various views of another microelectronic structure including low LER lines in accordance with various embodiments.
  • 15A-15G illustrate the steps in an exemplary process for fabricating the microelectronic structure 14th in accordance with various embodiments.
  • 16A-16B Fig. 13 are various views of another microelectronic structure including low LER lines in accordance with various embodiments.
  • 17A-17G illustrate the steps in an exemplary process for fabricating the microelectronic structure 16 in accordance with various embodiments.
  • 18th Figure 12 is a top view of a microelectronic structure including low LER lines at multiple spacings, in accordance with various embodiments.
  • 19A-19E illustrate the steps in an exemplary process for fabricating the microelectronic structure 18th in accordance with various embodiments.
  • 20th FIG. 10 illustrates a stage in another exemplary process for fabricating the microelectronic structure of FIG 18th in accordance with various embodiments.
  • 21 Figure 13 is a side cross-sectional view of a microelectronic structure including vias in conductive contact with low LER lines, in accordance with various embodiments.
  • 22A-22F illustrate the steps in an exemplary process for fabricating the microelectronic structure 21 in accordance with various embodiments.
  • 23 Figure 13 is a side cross-sectional view of another microelectronic structure including vias in conductive contact with low LER lines, in accordance with various embodiments.
  • 24A-24C illustrate the steps in an exemplary process for fabricating the microelectronic structure 23 in accordance with various embodiments.
  • 25-27 Figure 12 are top views of microelectronic structures containing space-division artifacts, in accordance with various embodiments.
  • 28 Figure 13 is a top plan view of a wafer and dies that may include any of the microelectronic structures disclosed herein.
  • 29 Figure 4 is a side cross-sectional view of a microelectronic device that may include any of the microelectronic structures disclosed herein.
  • 30th Figure 4 is a side cross-sectional view of a microelectronic assembly that may include any of the microelectronic structures disclosed herein.
  • 31 Figure 4 is a side cross-sectional view of a microelectronic device that may include any of the microelectronic structures disclosed herein.
  • 32 Figure 13 is a block diagram of an exemplary computing device that may include any of the microelectronic structures disclosed herein.

Ausführliche BeschreibungDetailed description

Hier werden Strukturen und Techniken offenbart, die eine gerichtete Selbstorganisation (DSA) für die Herstellung von mikroelektronischen Vorrichtungen verwenden. Die hier offenbarten Strukturen und Techniken können feine Merkmalsgrößen mit geringer Rauheit und geringen Defektdichten erreichen und können besonders geeignet sein, um Extrem-Ultraviolett- (EUV-) Lithographietechniken zu begleiten und zu verbessern.Disclosed herein are structures and techniques that use directed self-assembly (DSA) to fabricate microelectronic devices. The structures and techniques disclosed here can achieve fine feature sizes with low roughness and low defect densities and can be particularly suitable for accompanying and improving extreme ultraviolet (EUV) lithography techniques.

Vorhandene herkömmliche Lithographietechniken, wie z. B. vorhandene herkömmliche EUV-Techniken, können nicht in der Lage sein, ein Muster von Merkmalen zu bilden, die sowohl ausreichend klein sind als auch ausreichend wenig Defekte aufweisen, um in kommerziellen mikroelektronischen Vorrichtungen verwendet zu werden. Die herkömmliche EUV-Lithographie kann z. B. unter hoher Rauheit und übermäßigen Überbrückungsdefekten bei engen Abständen (z. B. Abständen unter 32 Nanometern) leiden, was den Einsatz von EUV-Musterbildungstechniken (z. B. auf Abstandshaltern basierende Abstands-Teilungs-Techniken mit durch EUV-Lithographie definierten Schutzlack-„Backbones“) begrenzen oder effektiv verhindern kann. Die herkömmlichen EUV-Lithographietechniken leiden außerdem unter einem Kompromiss zwischen der EUV-Dosis und der Schutzlackdicke; obwohl höhere EUV-Dosen das Potential aufweisen, ein Muster aus Leitungen mit geringerer Rauheit zu bilden, erfordern derartige höheren EUV-Dosen typischerweise dünnere Schutzlackschichten, um eine gewünschte Tiefenschärfe zu erreichen und einen Musterkollaps zu vermeiden, wobei aber diese dünneren Schutzlackschichten typischerweise der Ätzübertragung (d. h., der Übertragung eines Musters im Schutzlack auf eine oder mehrere darunterliegende Schichten) nicht so gut standhalten können wie es dickere Schutzlacke können. Diese Einschränkungen haben signifikante Hindernisse für die Übernahme von EUV-Techniken in kommerziellen mikroelektronischen Fertigungsprozessen bereitgestellt.Existing conventional lithography techniques, such as. Existing conventional EUV techniques, such as existing conventional EUV techniques, may not be able to form a pattern of features that are both sufficiently small and sufficiently flawed to be used in commercial microelectronic devices. Conventional EUV lithography can e.g. B. suffer from high roughness and excessive bridging defects at narrow distances (e.g. distances below 32 nanometers), which makes the use of EUV pattern formation techniques (e.g. spacer-based distance-division techniques with protective lacquer defined by EUV lithography) - "Backbones") can limit or effectively prevent. Conventional EUV lithography techniques also suffer from a compromise between the EUV dose and the resist thickness; Although higher EUV doses have the potential to form a pattern of lines with less roughness, such higher EUV doses typically require thinner protective lacquer layers in order to achieve a desired depth of field and avoid pattern collapse, but these thinner protective lacquer layers typically allow etching transfer ( that is, the transfer of a pattern in the protective varnish to one or more underlying layers) cannot withstand as well as thicker protective varnishes. These limitations have provided significant barriers to the adoption of EUV techniques in commercial microelectronic manufacturing processes.

Verschiedene der hier offenbarten Ausführungsformen können die Unzulänglichkeiten herkömmlicher EUV-Lithographieverfahren durch die Verwendung von DSA-Operationen beheben. Die DSA-basierten Techniken können die Neigung einiger Materialien verwenden, sich unter bestimmten Bedingungen selbst in bestimmte Muster zu organisieren, wobei diese Muster in verschiedenen Weisen verwendet werden können, um kleine und genaue Merkmale in einer mikroelektronischen Vorrichtung herzustellen. Es können z. B. verschiedene der hier offenbarten Ausführungsformen Leitungen mit geringer Leitungskantenrauheit (LER) bei variierenden Abständen enthalten, die unter Verwendung der DSA-basierten Techniken zuverlässig hergestellt werden können.Various of the embodiments disclosed herein can address the shortcomings of conventional EUV lithography techniques through the use of DSA operations. The DSA-based techniques can use the tendency of some materials to organize themselves into certain patterns under certain conditions, which patterns can be used in various ways to identify small and precise features in a microelectronic Manufacture device. It can e.g. For example, various of the embodiments disclosed herein include lines with low line edge roughness (LER) at varying spacings that can be reliably fabricated using DSA-based techniques.

In der folgenden ausführlichen Beschreibung wird auf die beigefügten Zeichnungen Bezug genommen, die einen Teil von ihr bilden, wobei gleiche Bezugszeichen überall gleiche Teile bezeichnen und in denen zur Veranschaulichung Ausführungsformen gezeigt sind, die praktiziert werden können. Es soll erkannt werden, dass andere Ausführungsformen verwendet werden können und strukturelle oder logische Änderungen vorgenommen werden können, ohne vom Schutzumfang der vorliegenden Offenbarung abzuweichen. Deshalb ist die folgende ausführliche Beschreibung nicht in einem einschränkenden Sinn zu verstehen.In the following detailed description, reference is made to the accompanying drawings which form a part hereof, wherein like reference numerals designate like parts, and in which there are shown, for illustrative purposes, embodiments that may be practiced. It should be recognized that other embodiments can be used and structural or logical changes can be made without departing from the scope of the present disclosure. Therefore, the following detailed description is not to be taken in a limiting sense.

Verschiedene Operationen können wiederum als mehrere diskrete Aktionen oder Operationen in einer Weise beschrieben werden, die für das Verständnis des beanspruchten Gegenstandes am hilfreichsten ist. Die Reihenfolge der Beschreibung sollte jedoch nicht so ausgelegt werden, um zu implizieren, dass diese Operationen notwendigerweise von der Reihenfolge abhängig sind. Insbesondere können diese Operationen nicht in der Reihenfolge der Darstellung ausgeführt werden. Die beschriebenen Operationen können in einer von der beschriebenen Ausführungsform abweichenden Reihenfolge ausgeführt werden. In zusätzlichen Ausführungsformen können verschiedene zusätzliche Operationen ausgeführt werden und/oder können beschriebene Operationen weggelassen werden.Various operations, in turn, can be described as multiple discrete actions or operations in a manner that is most helpful to an understanding of the claimed subject matter. However, the order of description should not be construed to imply that these operations are necessarily dependent on order. In particular, these operations cannot be performed in the order in which they are displayed. The operations described can be carried out in an order that differs from the embodiment described. In additional embodiments, various additional operations may be performed and / or described operations may be omitted.

Für die Zwecke der vorliegenden Offenbarung bedeutet der Ausdruck „A und/oder B“ (A), (B) oder (A und B). Für die Zwecke der vorliegenden Offenbarung bedeutet der Ausdruck „A, B und/oder C“ (A), (B), (C), (A und B), (A und C), (B und C) oder (A, B und C). Der Ausdruck „A, B oder C“ bedeutet (A), (B), (C), (A und B), (A und C), (B und C) oder (A, B und C). Die Zeichnungen sind nicht notwendigerweise maßstabsgetreu. Obwohl viele der Zeichnungen geradlinige Strukturen mit flachen Wänden und rechtwinkligen Ecken veranschaulichen, dient dies lediglich der einfachen Veranschaulichung, wobei tatsächliche Vorrichtungen, die unter Verwendung dieser Techniken hergestellt werden, abgerundete Ecken, Oberflächenrauheit und andere Merkmale aufweisen.For the purposes of the present disclosure, the term "A and / or B" means (A), (B), or (A and B). For the purposes of the present disclosure, the term "A, B and / or C" means (A), (B), (C), (A and B), (A and C), (B and C) or (A , B and C). The term “A, B or C” means (A), (B), (C), (A and B), (A and C), (B and C) or (A, B and C). The drawings are not necessarily to scale. While many of the drawings illustrate straight line structures with flat walls and right angled corners, this is for ease of illustration only and actual devices made using these techniques will have rounded corners, surface roughness, and other features.

Die Beschreibung verwendet die Ausdrücke „in einer Ausführungsform“ oder „in Ausführungsformen“, die sich jeweils auf eine oder mehrere der gleichen oder unterschiedlichen Ausführungsformen beziehen können. Weiterhin sind die Begriffe „umfassend“, „enthaltend“, „aufweisend“ und dergleichen, wie sie bezüglich der Ausführungsformen der vorliegenden Offenbarung verwendet werden, synonym. Ein „leitfähiges“ Material, wie es hier verwendet wird, bezieht sich auf ein elektrisch leitfähiges Material, wenn es nicht anders spezifiziert ist. Wenn der Ausdruck „zwischen X und Y“ verwendet wird, um einen Bereich von Abmessungen zu beschreiben, repräsentiert er einen Bereich, der X und Y enthält. Für die Zweckmäßigkeit kann der Ausdruck „1“ verwendet werden, um auf die Sammlung von Zeichnungen der 1A-1C zu verweisen, kann der Ausdruck „2“ verwendet werden, um auf die Sammlung von Zeichnungen der 2A-2L zu verweisen, usw. Obwohl auf Maskenmaterialien mit verschiedenen Bezugszeichen verwiesen wird, die sich zwischen verschiedenen der Zeichnungen wiederholen, (z. B. Maskenmaterial 126, Maskenmaterial 128, Maskenmaterial 148 usw.), dient dies lediglich der einfacheren Veranschaulichung, wobei ein Maskenmaterial mit einem spezifischen Bezugszeichen, auf das in einer der Zeichnungen verwiesen wird, (z. B. das Maskenmaterial 128, auf das in den Zeichnungen nach 7 verwiesen wird), nicht dasselbe Maskenmaterial wie das Maskenmaterial mit demselben Bezugszeichen sein muss, auf das in einer weiteren der Zeichnungen verwiesen wird, (z. B. das Maskenmaterial 128, auf das in den Zeichnungen nach 9 verwiesen wird).The description uses the terms "in one embodiment" or "in embodiments", each of which may refer to one or more of the same or different embodiments. Furthermore, the terms “comprising”, “containing”, “having” and the like, as used in relation to the embodiments of the present disclosure, are synonymous. As used herein, a “conductive” material refers to an electrically conductive material unless otherwise specified. When the term “between X and Y” is used to describe a range of dimensions, it represents an area that includes X and Y. For convenience, the expression " 1 “Used to refer to the collection of drawings of the 1A-1C to refer, the expression " 2 “Used to refer to the collection of drawings of the 2A-2L , etc. Although mask materials are referred to by different numerals that are repeated between different ones of the drawings (e.g., mask material 126 , Mask material 128 , Mask material 148 etc.), this is for ease of illustration only, with a mask material having a specific reference number referred to in any of the drawings (e.g., the mask material 128 , refer to that in the drawings 7th is referenced), need not be the same mask material as the mask material with the same reference number referred to in another of the drawings (e.g. the mask material 128 , refer to that in the drawings 9 referenced).

1A-1C sind verschiedene Ansichten einer beispielhaften mikroelektronischen Struktur 100, die die Leitungen 140 enthält, die eine geringe LER aufweisen; auf derartige Leitungen 140 kann hier als die Leitungen 140 mit geringer LER verwiesen werden. 1A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 1 B, 1 B ist eine Draufsicht auf die mikroelektronische Struktur 100 und 1C ist eine ausführliche Draufsicht auf eine ungeordnete lamellare Struktur 138 einer mikroelektronischen Struktur 100 (die im Folgenden weiter erörtert wird). Die Leitungen 140 mit geringer LER nach 1 können die Kanten 130 aufweisen, wie gezeigt ist. Der Begriff „gering“, wenn er bezüglich der Leitungen 140 mit geringer LER verwendet wird, ist ein relativer Begriff, der angibt, dass die LER der Leitungen 140 mit geringer LER kleiner als die LER anderer Leitungen mit „hoher LER“ (z. B. der im Folgenden erörterten Leitungen 170 mit hoher LER) ist. Die LER kann eine lokale Abweichung einer Leitungskante von ihrem Massenmittelpunkt messen; in einigen Ausführungsformen kann die LER als die Abweichung des quadratischen Mittelwerts einer Leitungskante von einer Geraden der besten Anpassung quantifiziert werden. In einigen Ausführungsformen können die Leitungen 140 mit geringer LER diejenigen sein, die unter Verwendung verschiedener der hier offenbarten DSA-basierten Techniken mit einem Muster versehen worden sind, während die Leitungen mit hoher LER unter Verwendung herkömmlicher Techniken (z. B. EUV-Lithographie) mit einem Muster versehen werden können. In einigen Ausführungsformen kann die LER einer Leitung 140 mit geringer LER kleiner als 1,2 Nanometer sein, während die LER einer Leitung mit hoher LER größer als 1,2 Nanometer sein kann; in anderen Ausführungsformen kann die LER einer Leitung 140 mit geringer LER kleiner als 1,5 Nanometer sein, während die LER einer Leitung mit hoher LER größer als 1,5 Nanometer sein kann, wobei dies aber einfach Beispiele sind, wobei (z. B. abhängig vom Abstand und vom Prozess) andere LER-Schwellenwerte gelten können. In einigen Ausführungsformen kann die mikroelektronische Struktur 100 nach 1 ein Teil einer Zusammenschaltungsschicht in einer mikroelektronischen Vorrichtung sein (wie z. B. im Folgenden bezüglich 29 erörtert wird). 1A-1C are various views of an exemplary microelectronic structure 100 who have made the lines 140 contains that have a low LER; on such lines 140 can here as the lines 140 be referenced with a lower LER. 1A Figure 3 is a side cross-sectional view of the microelectronic structure 100 through section AA after 1 B , 1 B Figure 3 is a top view of the microelectronic structure 100 and 1C Figure 4 is a detailed top view of a disordered lamellar structure 138 a microelectronic structure 100 (which is discussed further below). The lines 140 with a low LER 1 can the edges 130 as shown. The term "minor" when referring to lines 140 Used with low LER is a relative term that indicates the LER of the lines 140 with a low LER smaller than the LER of other lines with a "high LER" (e.g. the lines discussed below 170 with high LER). The LER can measure a local deviation of a line edge from its center of mass; In some embodiments, the LER can be quantified as the deviation of the root mean square of a line edge from a line of best fit. In some embodiments, the lines 140 The low LER lines may be those patterned using various of the DSA-based techniques disclosed herein, while the high LER lines may be patterned using conventional ones Techniques (e.g. EUV lithography) can be provided with a pattern. In some embodiments, the LER can be a line 140 with a low LER be less than 1.2 nanometers, while the LER of a line with a high LER can be greater than 1.2 nanometers; in other embodiments, the LER may be a line 140 with a low LER can be smaller than 1.5 nanometers, while the LER of a line with a high LER can be larger than 1.5 nanometers, but these are simply examples, with different LERs (e.g. depending on the distance and the process) -Threshold values may apply. In some embodiments, the microelectronic structure can 100 after 1 be part of an interconnection layer in a microelectronic device (such as, for example, below with regard to 29 will be discussed).

Die mikroelektronische Struktur 100 nach 1 enthält mehrere Leitungen 140 mit geringer LER, die aus parallelen Anordnungen des Leitungsmaterials 120 durch ein dielektrisches Material 102 ausgebildet sind. Das Leitungsmaterial 120 kann eine oder mehrere Schichten aus verschiedenen Materialien enthalten, wie z. B. eine oder mehrere Schichten aus einem Futtermaterial und einem Füllmaterial. In einigen Ausführungsformen kann ein Futtermaterial Tantal, Tantalnitrid, Titan, Titannitrid, Kobalt oder Ruthenium (z. B. Kombinationen daraus) enthalten, während ein Füllmaterial Wolfram, Kobalt (z. B. als Kobaltsilicid), Ruthenium, Molybdän, Kupfer, Silber, Nickel (z. B. als Nickelsilicid), Gold, Aluminium, andere Metalle oder Legierungen oder andere Kombinationen der Materialien enthalten kann. Das dielektrische Material 102 kann irgendein geeignetes dielektrisches Material enthalten. In einigen Ausführungsformen kann das dielektrische Material 102 z. B. ein anorganisches dielektrisches Material enthalten, wie z. B. Siliciumoxid, ein kohlenstoffdotiertes Oxid, Siliciumnitrid, Siliciumcarbid, Siliciumoxynitrid, Siliciumoxycarbid, oder isolierende Metalloxide, wie z. B. Hafniumoxid und Zirkonoxid. In einigen Ausführungsformen kann das dielektrische Material 102 eine Porosität, die kleiner als 50 % (z. B. kleiner als 30 %) ist, und/oder Luftspalte aufweisen. In einigen Ausführungsformen kann der Abstand 172 der Leitungen 140 mit geringer LER kleiner als 30 Nanometer (z. B. kleiner als 24 Nanometer) sein, kann die Leitungsbreite 174 einer Leitung 140 mit geringer LER kleiner als 15 Nanometer (z. B. kleiner als 12 Nanometer) sein und/oder kann der Zwischenraum zwischen benachbarten Leitungen 140 mit geringer LER kleiner als 15 Nanometer (z. B. kleiner als 12 Nanometer) sein.The microelectronic structure 100 after 1 contains several lines 140 with a low LER resulting from parallel arrangements of the line material 120 through a dielectric material 102 are trained. The line material 120 may contain one or more layers of different materials, such as e.g. B. one or more layers of a lining material and a filling material. In some embodiments, a lining material may contain tantalum, tantalum nitride, titanium, titanium nitride, cobalt or ruthenium (e.g. combinations thereof), while a filler material may contain tungsten, cobalt (e.g. as cobalt silicide), ruthenium, molybdenum, copper, silver, Nickel (e.g., as nickel silicide), gold, aluminum, other metals or alloys, or other combinations of the materials. The dielectric material 102 may contain any suitable dielectric material. In some embodiments, the dielectric material can 102 z. B. contain an inorganic dielectric material such. B. silicon oxide, a carbon-doped oxide, silicon nitride, silicon carbide, silicon oxynitride, silicon oxycarbide, or insulating metal oxides, such as. B. hafnium oxide and zirconium oxide. In some embodiments, the dielectric material can 102 a porosity that is less than 50% (e.g. less than 30%) and / or have air gaps. In some embodiments, the distance can 172 of the lines 140 with a lower LER less than 30 nanometers (e.g. less than 24 nanometers), the line width 174 one line 140 with a low LER can be smaller than 15 nanometers (e.g. smaller than 12 nanometers) and / or the space between adjacent lines 140 with a low LER, be smaller than 15 nanometers (e.g. smaller than 12 nanometers).

Die Leitungen 140 mit geringer LER können ein Teil eines mit einem Muster versehenen Bereichs 142 sein, wobei die mikroelektronische Struktur 100 außerdem einen oder mehrere nicht mit einem Muster versehene Bereiche 144 enthalten kann. In einigen Ausführungsformen, wenn DSA-basierte Techniken verwendet werden, um die mikroelektronische Struktur 100 herzustellen, (wie z. B. im Folgenden bezüglich 2 erörtert wird), können die nicht mit einem Muster versehenen Bereiche 144 eine ungeordnete lamellare Struktur 138 wie die enthalten, die in 1C veranschaulicht ist. Die ungeordnete lamellare Struktur 138 kann das Leitungsmaterial 120 und das dielektrische Material 102 enthalten, das gemäß der ungeordneten lamellaren Struktur eines DSA-Materials mit einem Muster versehen ist, das während der vorangegangenen Mustererzeugungsoperationen (z. B. aufgrund des Fehlens eines mit einem Muster versehenen Bürstenmaterials über den nicht mit einem Muster versehenen Bereichen 144, wie im Folgenden bezüglich 2 erörtert wird) keine geordnete Struktur angenommen hat. Das Vorhandensein einer ungeordneten lamellaren Struktur 138 wie der, die in 1C veranschaulicht ist, in einem nicht mit einem Muster versehenen Bereich 144 einer mikroelektronischen Struktur 100 kann die Verwendung einer DSA-basierten Technik während der Herstellung des mit einem Muster versehenen Bereichs 142 angeben. Der nicht mit einem Muster versehene Bereich 144 kann in einigen Ausführungsformen ein Teil eines Übergangsbereichs eines Dies sein, der die mikroelektronische Struktur 100 enthält, sich unter einem Schutzring eines Dies befinden, der die mikroelektronische Struktur 100 enthält, oder sich in einem Rahmen eines Dies befinden, der die mikroelektronische Struktur 100 enthält, (z. B. irgendeines der Dies 1502, die im Folgenden bezüglich 28 erörtert werden).The lines 140 with a low LER can be part of a patterned area 142 be, with the microelectronic structure 100 also one or more unpatterned areas 144 may contain. In some embodiments, when DSA-based techniques are used, the microelectronic structure 100 to produce, (such as in the following with regard to 2 the unpatterned areas 144 a disordered lamellar structure 138 like those included in 1C is illustrated. The disordered lamellar structure 138 can the line material 120 and the dielectric material 102 which is patterned according to the disordered lamellar structure of a DSA material, which was created during previous patterning operations (e.g. due to the lack of a patterned brush material over the unpatterned areas 144 as below regarding 2 is discussed) has not adopted an orderly structure. The presence of a disordered lamellar structure 138 like the one in 1C is illustrated in an unpatterned area 144 a microelectronic structure 100 may utilize a DSA-based technique during fabrication of the patterned area 142 indicate. The unpatterned area 144 may, in some embodiments, be part of a transition region of a die that comprises the microelectronic structure 100 are located under a guard ring of a die that defines the microelectronic structure 100 contains, or are in a frame of a die that forms the microelectronic structure 100 contains, (e.g. any of the dies 1502 , which are referred to below regarding 28 will be discussed).

Die 2A-2L veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 1 in Übereinstimmung mit verschiedenen Ausführungsformen. Obwohl die Operationen des Verfahrens nach 2 (und anderer der hier offenbarten Verfahren) bezüglich bestimmter Ausführungsformen der hier offenbarten mikroelektronischen Strukturen 100 veranschaulicht sein können, kann das Verfahren nach 2 (und andere der hier offenbarten Verfahren) zum Herstellen irgendwelcher geeigneter mikroelektronischer Strukturen 100 verwendet werden. Die Operationen sind jeweils einmal und in einer speziellen Reihenfolge in 2 (und anderen der Zeichnungen, die die hier offenbarten Verfahren beschreiben) veranschaulicht, wobei aber die Operationen umgeordnet und/oder wiederholt werden können, wie es geeignet ist, (z. B. mit verschiedenen parallel ausgeführten Operationen, wenn mehrere mikroelektronische Strukturen 100 gleichzeitig hergestellt werden).the 2A-2L illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 1 in accordance with various embodiments. Although the operations of the procedure after 2 (and others of the methods disclosed herein) with respect to particular embodiments of the microelectronic structures disclosed herein 100 can be illustrated, the method according to 2 (and others of the methods disclosed herein) for making any suitable microelectronic structures 100 be used. The operations are each once and in a special order in 2 (and others of the drawings describing the methods disclosed herein), but the operations may be rearranged and / or repeated as appropriate (e.g. with different operations performed in parallel when multiple microelectronic structures 100 produced at the same time).

2A ist eine Seiten-Querschnittsansicht einer Anordnung, die ein dielektrisches Material 102, ein Maskenmaterial 104, ein Maskenmaterial 106 und ein Maskenmaterial 108 enthält. In einigen Ausführungsformen kann das Maskenmaterial 104 Titannitrid enthalten. In einigen Ausführungsformen kann das Maskenmaterial 106 Siliciumnitrid, Siliciumoxid oder eine Silicium-Antireflexionsbeschichtung enthalten. In einigen Ausführungsformen kann das Maskenmaterial 108 eine Hartmaske auf Kohlenstoffbasis sein oder amorphes Silicium enthalten. Die spezielle Anzahl und Anordnung der Maskenmaterialien, die in der Anordnung nach 2A (und anderen der beigefügten Zeichnungen) veranschaulicht sind, ist einfach veranschaulichend, wobei mehr oder weniger Maskenmaterialien in irgendeiner gewünschten Weise in Übereinstimmung mit den hier offenbarten Techniken angeordnet sein können. 2A Figure 4 is a side cross-sectional view of an assembly containing a dielectric material 102 , a mask material 104 , a mask material 106 and a mask material 108 contains. In some embodiments, the mask material can 104 Contain titanium nitride. In some embodiments, may the mask material 106 Contain silicon nitride, silicon oxide or a silicon anti-reflective coating. In some embodiments, the mask material can 108 be a carbon-based hard mask or contain amorphous silicon. The specific number and arrangement of the mask materials, which are in the arrangement according to 2A (and others of the accompanying drawings) are simply illustrative and more or fewer mask materials can be arranged in any desired manner in accordance with the techniques disclosed herein.

2B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Bilden einer Anfangsbürste 110 auf dem Maskenmaterial 108 der Anordnung nach 2A. Die Anfangsbürste 110 kann ein Material enthalten, das als Schablone für die DSA eines Blockcopolymers (BCP) dient, wie im Folgenden beschrieben wird, und kann in einigen Ausführungsformen eine oder mehrere der Komponenten des BCP enthalten. Zur einfachen Erörterung können sich die hier offenbarten DSA-basierten Techniken auf ein BCP (z. B. das im Folgenden erörterte BCP 114) beziehen, das zwei Komponenten, eine erste Komponente 116 und eine zweite Komponente 118, aufweist, wobei dies aber einfach veranschaulichend ist, wobei ein BCP mit mehr als zwei Komponenten in jeder der hier offenbarten Techniken verwendet werden kann. Ein Beispiel für ein BCP, das als das BCP 114 in den hier offenbarten Operationen dienen kann, ist Polystyrol-Co-Poly(methylmethacrylat) (PS-PMMA); wenn das BCP 114 PS-PMMA ist, kann die erste Komponente 116 Polystyrol (PS) sein, während die zweite Komponente 118 Polymethylmethacrylat (PMMA) sein kann. Wie oben erwähnt worden ist, können die hier offenbarten DSA-basierten Techniken eine Bürste 110 verwenden, die eine oder mehrere der ersten Komponente 116 und der zweiten Komponente 118 eines BCP 114 enthält, wobei dies aber außerdem einfach veranschaulichend ist, wobei irgendein geeignetes Material oder irgendwelche geeigneten Materialien in einer Bürste 110 (z. B. Materialien, die keine Komponenten des BCP sind, die der DSA auf der Bürste 110 unterzogen werden) enthalten sein können. 2B (und andere der beigefügten Zeichnungen) können eine Bürste 110 veranschaulichen, die die erste Komponente 116 enthält. Obwohl die Bürste 110 so veranschaulicht ist, dass sie die erste Komponente 116 enthält, kann die Bürste 110 ebenso andere Materialien enthalten, wie es geeignet ist, (kann die Bürste 110 z. B. die zweite Komponente 118 anstelle der oder zusätzlich zu der ersten Komponente 116 enthalten oder kann die Bürste 110 ein oder mehrere Materialien enthalten, die sich von der ersten Komponente 116 und der zweiten Komponente 118 unterscheiden). Eine „Bürste“, wie sie hier verwendet wird, kann sich auf irgendein Material beziehen, das die Selbstorganisation eines DSA-Materials darauf fördert, und kann große Polymere, kleine Polymere, selbstorganisierte Monoschichten (SAMs) und andere geeignete Materialien enthalten. 2 B Figure 13 is a side cross-sectional view of an assembly subsequent to the formation of an initial brush 110 on the mask material 108 according to the arrangement 2A . The initial brush 110 may include a material that serves as a template for the DSA of a block copolymer (BCP), as described below, and, in some embodiments, may include one or more of the components of the BCP. For ease of discussion, the DSA-based techniques disclosed herein can rely on a BCP (e.g., the BCP discussed below 114 ) refer to the two components, a first component 116 and a second component 118 However, this is simply illustrative and a BCP having more than two components can be used in any of the techniques disclosed herein. An example of a BCP that is called the BCP 114 May serve in the operations disclosed herein is polystyrene-co-poly (methyl methacrylate) (PS-PMMA); when the BCP 114 PS-PMMA is can be the first component 116 Polystyrene (PS) while the second component 118 Polymethyl methacrylate (PMMA) can be. As mentioned above, the DSA-based techniques disclosed herein can use a brush 110 use one or more of the first component 116 and the second component 118 of a BCP 114 but is also simply illustrative, with any suitable material or materials in a brush 110 (e.g. materials that are not components of the BCP, those of the DSA on the brush 110 may be included. 2 B (and others of the accompanying drawings) can use a brush 110 illustrate the the first component 116 contains. Though the brush 110 it is illustrated as being the first component 116 contains, the brush can 110 also contain other materials as appropriate (the brush can 110 z. B. the second component 118 instead of or in addition to the first component 116 contain or can the brush 110 contain one or more materials that are different from the first component 116 and the second component 118 differentiate). As used herein, a “brush” can refer to any material that promotes the self-assembly of a DSA material thereon, and can include large polymers, small polymers, self-assembled monolayers (SAMs), and other suitable materials.

2C ist eine Seiten-Querschnittsansicht einer Anordnung anschließend an die Musterbildung der Anfangsbürste 110 der Anordnung nach 2B, um die Öffnungen 178 in der Bürste 110 zu bilden. Die Orte der Öffnungen 178 können den gewünschten Orten der Leitungen 140 mit geringer LER in einer mikroelektronischen Struktur 100 entsprechen, obwohl die Rauheit der Kanten 130 der Öffnungen 178 in der Anordnung nach 2C nicht „gering“ sein kann, wie im Folgenden erörtert wird. In einigen Ausführungsformen kann die Bürste 110 selbst photolithographisch mit einem Muster versehen werden (kann die Bürste 110 z. B. selektiv behandelt werden, um die Eigenschaften der Bürste 110 in Übereinstimmung mit einem gewünschten Muster zu verändern, wobei dann Teile der Bürste 110 durch ein geeignetes Ätzen oder Spülen entfernt werden können, um das gewünschte Muster zu liefern). In einigen Ausführungsformen kann die Bürste 110 eine Komponente, die bei Photonen- oder Elektronenbestrahlung Kettenspaltungsreaktionen unterzogen werden kann, (z. B. einen PMMA-Schutzlack) enthalten. In anderen derartigen Ausführungsformen kann die Bürste 110 eine Oberflächenverankerungsgruppe enthalten, die durch Photonen- oder Elektronenbestrahlung oder durch eine Reaktion mit einer nachfolgenden Photosäure oder -base gespalten werden kann. In anderen Ausführungsformen kann die Bürste 110 bei der Photonen- oder Elektronenbestrahlung einem Polaritätswechsel unterzogen werden; ein derartiger Polaritätswechsel kann abhängig von der Wirkung der Kantenverbreiterung entweder einen 2-Farbton oder einen 3-Farbton-Bürstenkontrast erzeugen. In anderen Ausführungsformen kann die Bürste 110 mit einem Muster versehen werden, indem ein (nicht gezeigtes) Photolackmaterial aufgetragen wird, das Photolackmaterial mit einem Muster versehen wird, das Muster des Photolackmaterials in die Bürste 110 übertragen wird und dann das Photolackmaterial entfernt wird. 2D ist eine Draufsicht auf die Anordnung nach 2C, die die Kanten 130 der Öffnungen 178 in der Bürste 110 veranschaulicht. Die Veranschaulichung nach 2C ist durch den Schnitt C-C nach 2D genommen. Wie oben erwähnt worden ist, können die Öffnungen 178 im hohen Grade raue Kanten 130 aufweisen; falls das Muster der Öffnungen 178 in das dielektrische Material 102 übertragen würde und die übertragenen Öffnungen mit dem Leitungsmaterial 120 gefüllt würden, würden die resultierenden Leitungen ähnlich rau sein und würden folglich Leitungen mit hoher LER (z. B. die im Folgenden erörterten Leitungen mit hoher LER 170) sein. 2C Figure 4 is a side cross-sectional view of an assembly subsequent to the initial brush patterning 110 according to the arrangement 2 B to the openings 178 in the brush 110 to build. The places of the openings 178 can choose the desired locations of the lines 140 with low LER in a microelectronic structure 100 correspond, although the roughness of the edges 130 of the openings 178 in the arrangement according to 2C cannot be “minor” as discussed below. In some embodiments, the brush can 110 even photolithographically provided with a pattern (the brush 110 z. B. be treated selectively to improve the properties of the brush 110 to change in accordance with a desired pattern, then parts of the brush 110 removed by appropriate etching or rinsing to provide the desired pattern). In some embodiments, the brush can 110 contain a component that can be subjected to chain cleavage reactions when exposed to photon or electron radiation (e.g. a PMMA protective lacquer). In other such embodiments, the brush 110 contain a surface anchoring group that can be cleaved by photon or electron irradiation or by reaction with a subsequent photoacid or base. In other embodiments, the brush 110 are subjected to a polarity change during photon or electron irradiation; Such a polarity change can produce either a 2-tone or a 3-tone brush contrast depending on the effect of the edge broadening. In other embodiments, the brush 110 patterned by applying a photoresist material (not shown), patterning the photoresist material, the pattern of the photoresist material into the brush 110 is transferred and then the photoresist material is removed. 2D FIG. 13 is a top plan view of the arrangement of FIG 2C that are the edges 130 of the openings 178 in the brush 110 illustrated. The illustration after 2C is by the section CC after 2D taken. As mentioned above, the openings 178 highly rough edges 130 exhibit; in case the pattern of openings 178 into the dielectric material 102 would be transferred and the transferred openings with the line material 120 were filled, the resulting lines would be similarly rough and thus would become lines with high LER (e.g., the lines discussed below with high LER 170 ) be.

2E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines BCP 114 auf der Anordnung nach den 2C und 2D. Wie oben erwähnt worden ist, können die Bürste 110 und das BCP 114 gewählt sein, um ein gewünschtes DSA-Verhalten zu erreichen, wie in Folgenden bezüglich 2F erörtert wird. In der Ausführungsform nach 2 kann das BCP 114, wie oben erwähnt worden ist, eine erste Komponente 116 und eine (in 2E nicht gezeigte) zweite Komponente 118 enthalten. 2E Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a BCP 114 on the arrangement according to the 2C and 2D . As mentioned above, the brush can 110 and the BCP 114 be chosen to achieve a desired DSA behavior, as in the following with respect to 2F is discussed. In the embodiment according to 2 can the BCP 114 as mentioned above, a first component 116 and one (in 2E not shown) second component 118 contain.

2F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Behandeln der Anordnung nach 2E, um das BCP 114 zu veranlassen, sich in Übereinstimmung mit der durch die Bürste 110 bereitgestellten Schablone selbst zu organisieren. In der speziellen Ausführungsform nach 2F enthält die Selbstorganisation des BCP 114 die Selbstsegregation seiner ersten Komponente 116 und seiner zweiten Komponente 118 in Bänder, die abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 in dem mit einem Muster versehenen Bereich 142 bilden. Die Abmessungen und der Zwischenraum der Öffnungen 178 in der Bürste 110 können so gewählt sein, dass sie der Größe und dem Zwischenraum der Bänder der zweiten Komponente 118 des BCP 114 entsprechen, wie gezeigt ist, während die Abmessungen und der Zwischenraum der ersten Komponente 116 in der Bürste 110 so gewählt sein können, dass sie der Größe und dem Zwischenraum der Bänder der ersten Komponente 116 des BCP 114 entsprechen, so dass die Bürste 110 eine „Schablone“ für die Selbstorganisation des BCP 114 bereitstellt, die das selbstorganisierte BCP 114 wie gewünscht bezüglich der darunterliegende Bürste 110 ausrichtet. Ein BCP 114 kann in imstande sein, sich um einen nominellen „inhärenten“ Zwischenraum der selbstorganisierten Bänder der ersten Komponente 116/zweiten Komponente 118 zu „dehnen“ oder zu „schrumpfen“, was sowohl einen Bereich von Abmessungen der selbstorganisierten Bänder der ersten Komponente 116/zweiten Komponente 118 als auch etwas Toleranz gegenüber Abweichungen der Musterbildung der Bürste 110 von ihrem vorgesehenen Muster ermöglicht. Die in 2F veranschaulichte spezielle bandartige Selbstorganisation ist ein Beispiel eines Musters, in das sich ein BCP 114 selbst organisieren kann; wobei sich einige BCPs 114 in andere Muster selbst organisieren können, wobei sich verschiedene BCPs 114 unter verschiedenen Bedingungen in mehrere unterschiedliche Muster selbst organisieren können, wie im Folgenden erörtert wird. Außerhalb des mit einem Muster versehenen Bereichs 142 kann die Bürste 110 keine Oberfläche bereitstellen, auf der sich das BCP 114 leicht in abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 selbst organisiert, wobei sich so stattdessen das BCP 114 in den nicht mit einem Muster versehenen Bereichen 144 in ungeordneten Lamellen 132 der ersten Komponente 116 und der zweiten Komponente 118 selbst organisieren kann; die ungeordneten Lamellen 132 können eine Struktur wie die aufweisen, die in 1C veranschaulicht ist. 2F FIG. 14 is a side cross-sectional view of an assembly following the treatment of the assembly of FIG 2E to get the BCP 114 to cause yourself to be in accordance with that by the brush 110 to organize the provided template yourself. In the special embodiment according to 2F contains the self-organization of the BCP 114 the self-segregation of its first component 116 and its second component 118 in ribbons that alternate vertically oriented areas of the first component 116 and the second component 118 in the patterned area 142 form. The dimensions and spacing of the openings 178 in the brush 110 can be chosen to match the size and spacing of the bands of the second component 118 of the BCP 114 as shown, while the dimensions and spacing of the first component 116 in the brush 110 may be chosen to match the size and spacing of the bands of the first component 116 of the BCP 114 match so the brush 110 a “template” for the self-organization of the BCP 114 provides the self-organized BCP 114 as desired with respect to the underlying brush 110 aligns. A BCP 114 may be able to take care of a nominal "inherent" space between the self-organized ligaments of the first component 116 / second component 118 to "stretch" or "shrink", which are both a range of dimensions of the self-assembled ligaments of the first component 116 / second component 118 as well as some tolerance to deviations in the pattern formation of the brush 110 made possible by their intended pattern. In the 2F The particular ribbon-like self-assembly illustrated is an example of a pattern that a BCP 114 can organize yourself; being some BCPs 114 Organize itself into other patterns, with different BCPs 114 self-organize into several different patterns under different conditions, as discussed below. Outside the patterned area 142 can the brush 110 do not provide a surface on which the BCP 114 easily in alternating vertically oriented areas of the first component 116 and the second component 118 organized itself, so instead the BCP 114 in the unpatterned areas 144 in disordered slats 132 the first component 116 and the second component 118 can organize yourself; the disordered slats 132 may have a structure like that shown in 1C is illustrated.

2G ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der zweiten Komponente 118 von der Anordnung nach 2F. Die erste Komponente 116 kann an Ort und Stelle verbleiben, wobei folglich der mit einem Muster versehene Bereich 142 eine Reihe von parallelen Öffnungen 180 enthalten kann. In einigen Ausführungsformen kann die Anordnung nach 2F mit einer lonenimplantationstechnik behandelt werden, um die erste Komponente 116 (z. B. PS) vor dem Entfernen der zweiten Komponente 118 (z. B. PMMA) zu härten. In einigen Ausführungsformen kann eine geeignete selektive Ätztechnik verwendet werden, um die zweite Komponente 118 zu entfernen, während die erste Komponente 116 an Ort und Stelle gelassen wird. Das Entfernen der zweiten Komponente 118 von den ungeordneten Lamellen 132 der nicht mit einem Muster versehenen Bereiche 144 kann zu teilweise geätzten ungeordneten Lamellen 134 führen, die eine Struktur wie die beibehalten können, die in 1C veranschaulicht ist. 2H ist eine Draufsicht auf die Anordnung nach 2G, die die Kanten 130 der Öffnungen 180 in der ersten Komponente 116 veranschaulicht. Die Veranschaulichung nach 2G ist durch den Schnitt G-G nach 2H genommen. Diese Öffnungen 180 können Kanten 130 mit geringer LER aufweisen; falls das Muster der Öffnungen 180 in das dielektrische Material 102 übertragen würde (wie im Folgenden erörtert wird) und die übertragenen Öffnungen mit einem Leitungsmaterial 120 gefüllt würden (wie im Folgenden erörtert wird), sind die resultierenden Leitungen ähnlich rau, wobei sie folglich Leitungen mit geringer LER 140 sind. Der Prozess des Ausführens einer DSA-basierten Technik an den „rauen“ Öffnungen 178 der Anordnung nach 2D kann zu den „glatten“ Öffnungen 180 der Anordnung nach 2H führen, wobei folglich gesagt werden kann, dass die Technik nach 2 (und andere der hier offenbarten DSA-basierten Techniken) die „rauen“ Öffnungen 178 „begradigt“. Die Fähigkeit der hier offenbarten DSA-basierten Techniken, raue lithographische Merkmale zu begradigen, kann die Verwendung der EUV-Lithographie mit niedrigerer Dosis für die Herstellung ermöglichen; weil die zusätzliche Rauheit, die der EUV-Lithographie mit niedrigerer Dosis (bezüglich der EUV-Lithographie mit höherer Dosis) zugeordnet ist, durch die DSA-Operationen behoben werden kann, können die Vorteile der EUV-Lithographie mit niedrigerer Dosis (z. B. die Fähigkeit, dickere Schutzlackmaterialien zu verwenden) ohne den herkömmlich zugeordneten Rauheitsnachteil verwirklicht werden. 2G Figure 13 is a side cross-sectional view of an assembly following removal of the second component 118 according to the arrangement 2F . The first component 116 can remain in place, consequently the patterned area 142 a series of parallel openings 180 may contain. In some embodiments, the arrangement may be according to 2F treated with an ion implantation technique to make the first component 116 (e.g. PS) before removing the second component 118 (e.g. PMMA) to harden. In some embodiments, a suitable selective etching technique can be used to the second component 118 to remove while the first component 116 left in place. The removal of the second component 118 from the disordered slats 132 the unpatterned areas 144 can lead to partially etched disordered lamellae 134 that can maintain a structure like that found in 1C is illustrated. 2H FIG. 13 is a top plan view of the arrangement of FIG 2G that are the edges 130 of the openings 180 in the first component 116 illustrated. The illustration after 2G is through the section GG after 2H taken. These openings 180 can edges 130 with low LER; in case the pattern of openings 180 into the dielectric material 102 would be transferred (as discussed below) and the transferred openings with a conduit material 120 would be filled (as discussed below), the resulting lines are similarly rough, thus becoming lines with low LER 140 are. The process of performing a DSA-based technique on the "rough" openings 178 according to the arrangement 2D can lead to "smooth" openings 180 according to the arrangement 2H lead, and consequently it can be said that technology is based on 2 (and other of the DSA-based techniques disclosed herein) the "rough" openings 178 "Straightened". The ability of the DSA-based techniques disclosed herein to straighten rough lithographic features may enable lower dose EUV lithography to be used for fabrication; Because the additional roughness associated with EUV lithography with a lower dose (with respect to EUV lithography with a higher dose) can be eliminated by DSA operations, the advantages of EUV lithography with a lower dose (e.g. the ability to use thicker conformal lacquer materials) without the conventionally associated roughness disadvantage.

2I ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters der Öffnungen 180 der Anordnung nach den 2G und 2H in das darunterliegende Maskenmaterial 108. Es kann irgendeine geeignete Ätztechnik verwendet werden. Das Übertragen des Musters der Öffnungen 180 in das Maskenmaterial 108 kann außerdem zum Übertragen der ungeordneten lamellaren Muster der teilweise geätzten ungeordneten Lamellen 134 in den nicht mit einem Muster versehenen Bereichen 144 in das darunterliegende Maskenmaterial 108 führen, was das mit einem Muster ungeordneter Lamellen versehene Maskenmaterial 136 liefert. 2I Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of openings 180 according to the order of the 2G and 2H into the one below Mask material 108 . Any suitable etching technique can be used. Transferring the pattern of the openings 180 into the mask material 108 can also transfer the disordered lamellar pattern of the partially etched disordered lamellae 134 in the unpatterned areas 144 into the underlying mask material 108 lead what the mask material provided with a pattern of disordered lamellas 136 supplies.

2J ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der ersten Komponente 116 von der Anordnung nach 21. Es kann irgendeine geeignete selektive Ätztechnik verwendet werden (wenn z. B. die erste Komponente 116 PS enthält, kann eine Aschetechnik verwendet werden). 2Y Figure 4 is a side cross-sectional view of an assembly following removal of the first component 116 according to the arrangement 21 . Any suitable selective etching technique can be used (e.g. if the first component 116 PS, an ash technique can be used).

2K ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Ausführen eines seitlichen Ätzens am Maskenmaterial 108 nach 2J, um die seitliche Größe der Abschnitte des Maskenmaterials 108 zu verringern und dadurch den Abstand zwischen benachbarten Abschnitten des Maskenmaterials 108 zu vergrößern. Dieses Ätzen kann gesteuert werden, um einen Sollabstand zwischen benachbarten Abschnitten des Maskenmaterials zu erreichen. In einigen Ausführungsformen kann die Breite 111 eines Abschnitts des Maskenmaterials 108 zwischen 10 Nanometern und 12 Nanometern liegen. 2K Figure 13 is a side cross-sectional view of an assembly subsequent to performing a side etch on the mask material 108 after 2Y to adjust the lateral size of the sections of mask material 108 to reduce and thereby the distance between adjacent sections of the mask material 108 to enlarge. This etching can be controlled in order to achieve a desired spacing between adjacent sections of the mask material. In some embodiments, the width can be 111 a portion of the mask material 108 between 10 nanometers and 12 nanometers.

2L ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des Maskenmaterials 108/des mit einem Muster ungeordneter Lamellen versehenen Maskenmaterials 136 (durch die dazwischenliegenden Maskenmaterialien 104 und 106, die anschließend entfernt werden) in das dielektrische Material 102 der Anordnung nach 2K und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER zu bilden. Das Muster des mit einem Muster ungeordneter Lamellen versehenen Maskenmaterials 136 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. Die Anordnung nach 2L kann die Form der mikroelektronischen Struktur 100 nach 1 annehmen. 2L Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of mask material 108 / of the mask material provided with a pattern of disordered lamellae 136 (through the mask materials in between 104 and 106 which are subsequently removed) into the dielectric material 102 according to the arrangement 2K and then the provision of line material 120 in the openings of the dielectric material 102 to the lines 140 to form with low LER. The pattern of the mask material provided with a pattern of disordered lamellas 136 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. The arrangement according to 2L can take the shape of the microelectronic structure 100 after 1 accept.

Wie oben erwähnt worden ist, kann eine Bürste 110 in einigen Ausführungsformen mehrere verschiedene Materialien enthalten, die in einem gewünschten Muster angeordnet sind. 3 veranschaulicht z. B. eine Anordnung im Anschluss an das Abscheiden der zweiten Komponente 118 in den Öffnungen 178 der Bürste 110 der Anordnung nach 2C. Die oben bezüglich der 2E-2L erörterten Operationen können an der Anordnung nach 3 ausgeführt werden, um die mikroelektronische Struktur 100 nach 1 zu bilden. Das Verwenden mehrerer unterschiedlicher Materialien in einer Bürste 110 kann eine stärkere „Schablone“ für das BCP 114 bereitstellen und kann dadurch die resultierende Selbstorganisation verbessern und die Leitungen 140 mit geringer LER mit noch geringerer LER erreichen.As mentioned above, a brush can 110 in some embodiments, include multiple different materials arranged in a desired pattern. 3 illustrates e.g. B. an arrangement following the deposition of the second component 118 in the openings 178 the brush 110 according to the arrangement 2C . The above regarding the 2E-2L operations discussed can be based on the arrangement 3 run to the microelectronic structure 100 after 1 to build. Using several different materials in one brush 110 can be a stronger "template" for the BCP 114 provide and can thereby improve the resulting self-organization and the lines 140 with a lower LER with an even lower LER.

In einigen Ausführungsformen können auf Abstandshaltern basierende Techniken verwendet werden, um den Abstand 172 der Leitungen 140 mit geringer LER in einem mit einem Muster versehenen Bereich 142 weiter zu verringern. Die 4A-4B sind z. B. verschiedene Ansichten einer weiteren mikroelektronischen Struktur 100, die Leitungen 140 mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. 4A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 4B, und 4B ist eine Draufsicht auf die mikroelektronische Struktur 100; wobei die ungeordnete lamellare Struktur 138 der mikroelektronischen Struktur 100 nach 4 die in 1C veranschaulichte Form annehmen kann. Die Ausführungsform nach 4 benutzt eine Anzahl von Elementen mit der Ausführungsform nach 1 gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. Bezüglich der Ausführungsform nach 1 können die Leitungen 140 mit geringer LER der Ausführungsform nach 4 einen kleineren Abstand 172, eine kleinere Leitungsbreite 174 und/oder einen kleineren Zwischenraum 176 aufweisen.In some embodiments, spacer-based techniques can be used to reduce the spacing 172 of the lines 140 with low LER in a patterned area 142 further decrease. the 4A-4B are z. B. different views of another microelectronic structure 100 , the lines 140 with low LER, in accordance with various embodiments. 4A Figure 3 is a side cross-sectional view of the microelectronic structure 100 through section AA after 4B , and 4B Figure 3 is a top view of the microelectronic structure 100 ; being the disordered lamellar structure 138 the microelectronic structure 100 after 4th in the 1C may take the illustrated form. The embodiment according to 4th uses a number of elements with the embodiment of FIG 1 together; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. With regard to the embodiment according to 1 can use the lines 140 with low LER according to the embodiment 4th a smaller distance 172 , a smaller line width 174 and / or a smaller gap 176 exhibit.

Die 5A-5D veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 4 in Übereinstimmung mit verschiedenen Ausführungsformen. 5A ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Bilden der Abstandshalter 124 an den Seitenflächen des mit einem Muster versehenen Maskenmaterials 108 der Anordnung nach 2K. Die Abstandshalter 124 können ein dielektrisches Material enthalten und können unter Verwendung irgendeiner geeigneten Abstandshaltertechnik (z. B. ein konformes Abscheiden des dielektrischen Materials, wie z. B. durch Atomlagenabscheidung (ALD), gefolgt von einem „nach unten“ gerichteten Ätzen, um das dielektrische Material auf horizontalen Oberflächen zu entfernen und das dielektrische Material an den Seitenflächen an Ort und Stelle zu belassen), hergestellt werden.the 5A-5D illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 4th in accordance with various embodiments. 5A Figure 13 is a side cross-sectional view of an assembly subsequent to the formation of the spacers 124 on the side surfaces of the patterned mask material 108 according to the arrangement 2K . The spacers 124 may contain a dielectric material and can be deposited around the dielectric material using any suitable spacer technique (e.g., conformally depositing the dielectric material, such as by atomic layer deposition (ALD), followed by a "downward" etch horizontal surfaces and leave the dielectric material in place on the side surfaces).

5B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden und die Musterbildung eines Maskenmaterials 182 auf der Anordnung nach 5A, um das Maskenmaterial 108 in der Nähe des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 136 zu bedecken, und dann das Entfernen des verbleibenden Maskenmaterials 108. Es können irgendein geeignetes Maskenmaterial 182, irgendwelche geeigneten Abscheidetechniken, irgendwelche geeigneten Musterbildungstechniken und irgendwelche geeigneten Ätztechniken verwendet werden. 5B Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition and patterning of a mask material 182 on the arrangement according to 5A to get the mask material 108 near the with a disordered lamellar pattern provided mask material 136 to cover, and then removing the remaining mask material 108 . Any suitable mask material can be used 182 , any suitable deposition techniques, any suitable patterning techniques, and any suitable etching techniques can be used.

5C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des Maskenmaterials 108/des mit einem ungeordnetem Lamellenmuster versehenen Maskenmaterials 136 (durch die dazwischenliegenden Maskenmaterialien 104 und 106, die anschließend entfernt werden) in das dielektrische Material 102 der Anordnung nach 5B und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER zu bilden. Das Muster des mit einem ungeordnetem Lamellenmuster versehenen Maskenmaterials 136 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. 5D ist eine Draufsicht auf die Anordnung nach 5C, die die Kanten 130 der Leitungen 140 mit geringer LER veranschaulicht. Die Veranschaulichung nach 5C ist durch den Schnitt C-C nach 5D genommen. Die Anordnung nach den 5C und 5D kann die Form der mikroelektronischen Struktur 100 nach 4 annehmen. 5C Figure 13 is a side cross-sectional view of an assembly subsequent to the transfer of the pattern of mask material 108 / disordered lamellar patterned mask material 136 (through the mask materials in between 104 and 106 which are subsequently removed) into the dielectric material 102 according to the arrangement 5B and then the provision of line material 120 in the openings of the dielectric material 102 to the lines 140 to form with low LER. The pattern of the mask material provided with a disordered lamellar pattern 136 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. 5D FIG. 13 is a top plan view of the arrangement of FIG 5C that are the edges 130 of the lines 140 illustrated with low LER. The illustration after 5C is by the section CC after 5D taken. The arrangement according to the 5C and 5D can take the shape of the microelectronic structure 100 after 4th accept.

Es können auf Abstandshaltern basierende Techniken verwendet werden, um den Zwischenraum 176 zwischen den Leitungen 140 mit geringer LER in einer mikroelektronischen Struktur 100 in anderen Weisen zu verringern. Die 6A-6B sind z. B. verschiedene Ansichten einer weiteren mikroelektronischen Struktur 100, die die Leitungen 140 mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. 6A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 6B, und 6B ist eine Draufsicht auf die mikroelektronische Struktur 100; wobei die ungeordnete lamellare Struktur 138 der mikroelektronischen Struktur 100 nach 6 die in 1C veranschaulichte Form annehmen kann. Die Ausführungsform nach 6 benutzt eine Anzahl von Elementen mit vorhergehenden Ausführungsformen gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. Bezüglich der Ausführungsform nach 1 können die Leitungen 140 mit geringer LER der Ausführungsform nach 6 einen kleineren Zwischenraum 176 aufweisen.Spacer-based techniques can be used to fill in the gap 176 between the lines 140 with low LER in a microelectronic structure 100 in other ways to decrease. the 6A-6B are z. B. different views of another microelectronic structure 100 who have made the lines 140 with low LER, in accordance with various embodiments. 6A Figure 3 is a side cross-sectional view of the microelectronic structure 100 through section AA after 6B , and 6B Figure 3 is a top view of the microelectronic structure 100 ; being the disordered lamellar structure 138 the microelectronic structure 100 after 6th in the 1C may take the illustrated form. The embodiment according to 6th shares a number of elements with previous embodiments; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. With regard to the embodiment according to 1 can use the lines 140 with low LER according to the embodiment 6th a smaller gap 176 exhibit.

Die 7A-7H veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 6 in Übereinstimmung mit verschiedenen Ausführungsformen. 7A ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Bereitstellen und die Musterbildung eines Maskenmaterials 128 in den nicht mit einem Muster versehenen Bereichen 144 einer Anordnung, die zu derjenigen nach 2G im Wesentlichen ähnlich ist, aber für Veranschaulichungszwecke mit zusätzlichen Öffnungen 180 zwischen den äußersten Abschnitten der ersten Komponente 116 und den ungeordneten Lamellen 132. Es kann jedes geeignete Maskenmaterial 128 verwendet werden.the 7A-7H illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 6th in accordance with various embodiments. 7A Figure 13 is a side cross-sectional view of an assembly subsequent to the provision and patterning of a mask material 128 in the unpatterned areas 144 an arrangement corresponding to that according to 2G is essentially similar, but with additional openings for illustrative purposes 180 between the outermost sections of the first component 116 and the disordered slats 132 . Any suitable mask material can be used 128 be used.

7B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Bilden der Abstandshalter 124 an Seitenflächen der ersten Komponente 116 der Anordnung nach 7A. Die Abstandshalter 124 können irgendeine der hier offenbarten Formen annehmen. 7B Figure 13 is a side cross-sectional view of an assembly subsequent to the formation of the spacers 124 on side faces of the first component 116 according to the arrangement 7A . The spacers 124 can take any of the forms disclosed herein.

7C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines Maskenmaterials 126 über der Anordnung nach 7B. In einigen Ausführungsformen kann das Maskenmaterial 126 amorphes Silicium enthalten. Das Maskenmaterial 126 kann die Öffnungen in den ungeordneten Lamellen 132 ausfüllen, wobei ein lamellares Material 184 gebildet wird. 7C Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a mask material 126 above the arrangement according to 7B . In some embodiments, the mask material can 126 contain amorphous silicon. The mask material 126 can open the openings in the disordered slats 132 fill in, being a lamellar material 184 is formed.

7D ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an die Anordnung nach 7C, um die Überladung des Maskenmaterials 126 zu entfernen. In einigen Ausführungsformen kann eine chemischmechanische Poliertechnik (CMP-Technik) verwendet werden. 7D FIG. 13 is a side cross-sectional view of an assembly subsequent to the assembly of FIG 7C to avoid overloading the mask material 126 to remove. In some embodiments, a chemical mechanical polishing (CMP) technique can be used.

7E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der ersten Komponente 116 und der Abstandshalter 124 von der Anordnung nach 7D. Es kann (können) irgendeine (irgendwelche) geeignete(n) selektive(n) Ätztechnik(en) verwendet werden (wenn z. B. die erste Komponente 116 PS enthält, kann eine Aschetechnik verwendet werden). Das Entfernen der ersten Komponente 116 aus dem lamellaren Material 184 kann zu dem teilweise geätzten lamellaren Material 186 führen, das eine Struktur wie die nach 1C aufweisen kann. 7E Figure 4 is a side cross-sectional view of an assembly following removal of the first component 116 and the spacer 124 according to the arrangement 7D . Any suitable selective etching technique (s) can be used (e.g., if the first component) 116 PS, an ash technique can be used). The removal of the first component 116 from the lamellar material 184 may result in the partially etched lamellar material 186 lead that a structure like that after 1C may have.

7F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des teilweise geätzten lamellaren Materials 186/Maskenmaterials 126 der Anordnung nach 7E in das Maskenmaterial 108. Das Übertragen des Musters kann das Übertragen der ungeordneten lamellaren Muster des teilweise geätzten lamellaren Materials 186 in das darunterliegende Maskenmaterial 108 in den nicht mit einem Muster versehenen Bereichen 144 enthalten, was das ungeordnete mit einem Lamellenmuster versehene Maskenmaterial 136 liefert. 7F Figure 13 is a side cross-sectional view of an assembly subsequent to transferring the pattern of the partially etched lamellar material 186 / Mask material 126 according to the arrangement 7E into the mask material 108 . Transferring the pattern may include transferring the disordered lamellar patterns of the partially etched lamellar material 186 into the underlying mask material 108 in the unpatterned areas 144 contain what that disordered with mask material provided with a lamellar pattern 136 supplies.

7G ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des Maskenmaterials 108/des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 136 der Anordnung nach 7F (durch die dazwischenliegenden Maskenmaterialien 104 und 106, die anschließend entfernt werden) in das dielektrische Material 102 und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER zu bilden. Das Muster des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 136 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. 7H ist eine Draufsicht auf die Anordnung nach 7G, die die Kanten 130 der Leitungen 140 mit geringer LER veranschaulicht. Die Veranschaulichung nach 7G ist durch den Schnitt G-G nach 7H genommen. Die Anordnung nach den 7G und 7H kann die Form der mikroelektronischen Struktur 100 nach 6 annehmen. 7G Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of mask material 108 / of the mask material provided with a disordered lamellar pattern 136 according to the arrangement 7F (through the mask materials in between 104 and 106 which are subsequently removed) into the dielectric material 102 and then the provision of line material 120 in the openings of the dielectric material 102 to the lines 140 to form with low LER. The pattern of the mask material provided with a disordered lamellar pattern 136 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. 7H FIG. 13 is a top plan view of the arrangement of FIG 7G that are the edges 130 of the lines 140 illustrated with low LER. The illustration after 7G is through the section GG after 7H taken. The arrangement according to the 7G and 7H can take the shape of the microelectronic structure 100 after 6th accept.

In einigen Ausführungsformen kann eine mikroelektronische Struktur 100 die Leitungen 140 mit geringer LER und die Leitungen 170 mit hoher LER enthalten. Die 8A-8B sind z. B. verschiedene Ansichten einer weiteren mikroelektronischen Struktur 100, die Leitungen 140 mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. 8A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 8B, und 8B ist eine Draufsicht auf die mikroelektronische Struktur 100; wobei die ungeordnete lamellare Struktur 138 der mikroelektronischen Struktur 100 nach 8 die in 1C veranschaulichte Form annehmen kann. Die Ausführungsform nach 8 benutzt eine Anzahl von Elementen mit den vorhergehenden Ausführungsformen gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. Bezüglich der Ausführungsform nach 1 enthält die mikroelektronische Struktur 100 nach 8 einen ersten mit einem Muster versehenen Bereich 142-1, der Leitungen 140 mit geringer LER enthält, und einen zweiten mit einem Muster versehenen Bereich 142-2, der eine (wie gezeigt ist) oder mehrere Leitungen 170 mit hoher LER enthält.In some embodiments, a microelectronic structure 100 the lines 140 with low LER and the lines 170 with high LER included. the 8A-8B are z. B. different views of another microelectronic structure 100 , the lines 140 with low LER, in accordance with various embodiments. 8A Figure 3 is a side cross-sectional view of the microelectronic structure 100 through section AA after 8B , and 8B Figure 3 is a top view of the microelectronic structure 100 ; being the disordered lamellar structure 138 the microelectronic structure 100 after 8th in the 1C may take the illustrated form. The embodiment according to 8th shares a number of elements with the previous embodiments; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. With regard to the embodiment according to 1 contains the microelectronic structure 100 after 8th a first patterned area 142-1 , the lines 140 with low LER, and a second patterned area 142-2 , the one (as shown) or multiple lines 170 with high LER contains.

Wie oben erwähnt worden ist, können in einigen Ausführungsformen die Leitungen oder die anderen Merkmale, die durch DSA-basierte Techniken mit einem Muster versehen worden sind, von den Leitungen oder den anderen Merkmalen, die durch lithographische Techniken (z. B. EUV-Lithographietechniken) mit einem Muster versehen worden sind, durch ihre LER unterschieden werden; insbesondere können die Leitungen oder die anderen Merkmale, die durch die hier offenbarten DSA-basierten Techniken mit einem Muster versehen worden sind, eine geringere LER als die Leitungen oder die anderen Merkmale, die durch lithographische Techniken mit einem Muster versehen worden sind, aufweisen. Andere Markierungen können die Leitungen oder die anderen Merkmale, die durch die hier offenbarten DSA-basierten Verfahren mit einem Muster versehen worden sind, von den Leitungen oder den anderen Merkmalen unterscheiden, die durch lithographische Techniken mit einem Muster versehen worden sind. In einigen Ausführungsformen können z. B. die Leitungen oder die anderen Merkmale, die durch herkömmliche lithographische Verfahren (z. B. EUV-Lithographietechniken) mit einem Muster versehen worden sind, eine Leitungsbreitenrauheit (LWR) aufweisen, die gleich der LER dieser Leitungen oder anderen Merkmale, multipliziert mit der Quadratwurzel aus 2, ist. Diese „lithographische Eigenschaft“ kann für Leitungen oder andere Merkmale, die durch die hier offenbarten DSA-basierten Verfahren mit einem Muster versehen worden sind, nicht gelten, wobei folglich das Vorhandensein dieser lithographischen Eigenschaft angeben kann, ob ein Merkmal unter Verwendung herkömmlicher lithographischer Techniken oder der hier offenbarten DSA-basierten Verfahren mit einem Muster versehen worden ist.As mentioned above, in some embodiments, the lines or the other features patterned by DSA-based techniques may differ from the lines or the other features identified by lithographic techniques (e.g., EUV lithography techniques ) have been provided with a pattern, can be distinguished by their LER; in particular, the lines or other features patterned by the DSA-based techniques disclosed herein may have a lower LER than the lines or other features patterned by lithographic techniques. Other markings may distinguish the lines or other features patterned by the DSA-based methods disclosed herein from the lines or other features patterned by lithographic techniques. In some embodiments, e.g. For example, the lines or other features patterned by conventional lithographic processes (e.g. EUV lithography techniques) have a line width roughness (LWR) equal to the LER of those lines or other features multiplied by that Square root of 2, is. This “lithographic property” may not apply to lines or other features that have been patterned by the DSA-based methods disclosed herein, and consequently the presence of this lithographic property may indicate whether a feature is using conventional lithographic techniques or not the DSA-based method disclosed herein has been patterned.

Die 9A-9M veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 8 in Übereinstimmung mit verschiedenen Ausführungsformen. 9A ist eine Seiten-Querschnittsansicht einer Anordnung wie der nach 2C, die eine mit einem Muster versehene Anfangsbürste 110 enthält. Die Anordnung nach 9A kann in Übereinstimmung mit jeder der hier bezüglich 2C erörterten Fertigungstechniken gebildet werden. Wie die Anordnung nach 2C können die Öffnungen 178 in dem ersten mit einem Muster versehenen Bereich 142-1 der ersten Komponente 116 unter Verwendung lithographischer Techniken als ein Muster gebildet werden, wobei sie folglich im hohen Grade raue Kanten aufweisen können.the 9A-9M illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 8th in accordance with various embodiments. 9A Figure 13 is a side cross-sectional view of an arrangement like the one in accordance with the present invention 2C who have favourited a patterned starter brush 110 contains. The arrangement according to 9A can be in accordance with any of the here regarding 2C discussed manufacturing techniques are formed. Like the arrangement after 2C can use the openings 178 in the first patterned area 142-1 the first component 116 may be formed as a pattern using lithographic techniques and consequently may have highly rough edges.

9B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines BCP 114 auf der Anordnung nach 9A. Die Bürste 110 und das BCP 114 können gewählt sein, um ein gewünschtes Verhalten zu erreichen, wenn sich das BCP 114 auf der Bürste 110 selbst organisiert. In der Ausführungsform nach 9 kann das BCP 114 eine erste Komponente 116 und eine zweite Komponente 118 enthalten. 9B Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a BCP 114 on the arrangement according to 9A . The brush 110 and the BCP 114 can be chosen to achieve a desired behavior when the BCP 114 on the brush 110 self organized. In the embodiment according to 9 can the BCP 114 a first component 116 and a second component 118 contain.

9C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Behandeln der Anordnung nach 9B, um zu bewirken, dass sich das BCP 114 in Übereinstimmung mit der durch die Bürste 110 bereitgestellten Schablone selbst organisiert. Wie oben bezüglich 2 erörtert worden ist, kann die resultierende Anordnung abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 in dem ersten mit einem Muster versehenen Bereich 142-1 enthalten. Außerhalb des ersten mit einem Muster versehenen Bereichs 142-1 kann die Bürste 110 keine Oberfläche bereitstellen, auf der sich das BCP 114 leicht in abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 selbst organisiert, so dass sich stattdessen das BCP 114 in dem nicht mit einem Muster versehenen Bereich 144 und dem zweiten mit einem Muster versehenen Bereich 142-2 in die ungeordneten Lamellen 132 der ersten Komponente 116 und der zweiten Komponente 118 selbst organisieren kann; wobei die ungeordneten Lamellen 132 eine Struktur wie die aufweisen können, die in 1C veranschaulicht ist. 9C FIG. 14 is a side cross-sectional view of an assembly subsequent to treating FIG Arrangement according to 9B to cause the BCP 114 in accordance with that by the brush 110 provided template organized by yourself. As above regarding 2 As discussed, the resulting arrangement can include alternating vertically oriented regions of the first component 116 and the second component 118 in the first patterned area 142-1 contain. Outside the first patterned area 142-1 can the brush 110 do not provide a surface on which the BCP 114 easily in alternating vertically oriented areas of the first component 116 and the second component 118 organized itself so that the BCP instead 114 in the unpatterned area 144 and the second patterned area 142-2 into the disordered slats 132 the first component 116 and the second component 118 can organize yourself; being the disordered lamellae 132 may have a structure like that shown in 1C is illustrated.

9D ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der zweiten Komponente 118 von der Anordnung nach 9C. Die erste Komponente 116 kann an Ort und Stelle verbleiben, wobei folglich der erste mit einem Muster versehene Bereich 142-1 eine Reihe von parallelen Öffnungen 180 enthalten kann. In einigen Ausführungsformen kann die Anordnung nach 9C mit einer lonenimplantationstechnik behandelt werden, um die erste Komponente 116 (z. B. PS) vor dem Entfernen der zweiten Komponente 118 (z. B. PMMA) zu härten. in einigen Ausführungsformen kann eine geeignete selektive Ätztechnik verwendet werden, um die zweite Komponente 118 zu entfernen. Das Entfernen der zweiten Komponente 118 von den ungeordneten Lamellen 132 kann zu den teilweise geätzten ungeordneten Lamellen 134 führen, die eine Struktur wie die beibehalten können, die in 1C veranschaulicht ist. Wie oben bezüglich der 2G und 2H erörtert worden ist, kann der Prozess des Ausführens einer DSA-Operation an den „rauen“ Öffnungen 178 der Anordnung nach 9A zu den „glatten“ Öffnungen 180 der Anordnung nach 9D führen, wobei folglich gesagt werden kann, dass die Technik nach 9 (und andere der hier offenbarten DSA-basierten Techniken) die „rauen“ Öffnungen 178 „begradigt“. 9D Figure 13 is a side cross-sectional view of an assembly following removal of the second component 118 according to the arrangement 9C . The first component 116 can remain in place, thus the first patterned area 142-1 a series of parallel openings 180 may contain. In some embodiments, the arrangement may be according to 9C treated with an ion implantation technique to make the first component 116 (e.g. PS) before removing the second component 118 (e.g. PMMA) to harden. in some embodiments, a suitable selective etching technique can be used to the second component 118 to remove. The removal of the second component 118 from the disordered slats 132 can lead to the partially etched disordered lamellae 134 that can maintain a structure like that found in 1C is illustrated. As above regarding the 2G and 2H As discussed, the process of performing a DSA operation on the "rough" openings 178 according to the arrangement 9A to the "smooth" openings 180 according to the arrangement 9D lead, and consequently it can be said that technology is based on 9 (and other of the DSA-based techniques disclosed herein) the "rough" openings 178 "Straightened".

9E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden und die Musterbildung eines Maskenmaterials 148 auf der Anordnung nach 9D, um die teilweise geätzten ungeordneten Lamellen 134 im zweiten mit einem Muster versehenen Bereich 142-2 abzudecken. Es können jedes geeignete Maskenmaterial 148 und jede geeignete Musterbildungstechnik verwendet werden. 9E Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition and patterning of a mask material 148 on the arrangement according to 9D to reveal the partially etched disordered lamellae 134 in the second patterned area 142-2 to cover. Any suitable mask material can be used 148 and any suitable patterning technique can be used.

9F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters der Öffnungen 180 der Anordnung nach 9E in das darunterliegende Maskenmaterial 108. Es kann jede geeignete Ätztechnik verwendet werden. Das Übertragen des Musters der Öffnungen 180 in das Maskenmaterial 108 kann außerdem zum Übertragen der ungeordneten lamellaren Muster der freiliegenden teilweise geätzten ungeordneten Lamellen 134 in das darunterliegende Maskenmaterial 108 im nicht mit einem Muster versehenen Bereich 144 führen, was das mit einem ungeordneten Lamellenmuster versehene Maskenmaterial 136 liefert. 9F Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of openings 180 according to the arrangement 9E into the underlying mask material 108 . Any suitable etching technique can be used. Transferring the pattern of the openings 180 into the mask material 108 can also be used to transfer the disordered lamellar pattern of the exposed partially etched disordered lamellae 134 into the underlying mask material 108 in the unpatterned area 144 lead what the mask material provided with a disordered lamellar pattern 136 supplies.

9G ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des Maskenmaterials 148 von der Anordnung nach 9F und dann das Entfernen der ersten Komponente 116. Es können irgendwelche geeigneten selektiven Ätztechniken verwendet werden (wenn z. B. die erste Komponente 116 PS enthält, kann eine Aschetechnik verwendet werden). 9G Figure 13 is a side cross-sectional view of an assembly following removal of the mask material 148 according to the arrangement 9F and then removing the first component 116 . Any suitable selective etching techniques can be used (e.g. if the first component 116 PS, an ash technique can be used).

9H ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines Maskenmaterials 128 auf der Anordnung nach 9G. Es kann jedes geeignete Maskenmaterial 128 verwendet werden. 9H Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a mask material 128 on the arrangement according to 9G . Any suitable mask material can be used 128 be used.

9I ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Bilden des Musters des Maskenmaterials 128 in dem zweiten mit einem Muster versehenen Bereich 142-2, um eine Öffnung 188 zu bilden, die der Leitung 170 mit hoher LER nach 8 entspricht. In einigen Ausführungsformen kann die Öffnung 188 unter Verwendung lithographischer Techniken gebildet werden, wobei sie folglich raue Kanten aufweisen kann. 9I Figure 13 is a side cross-sectional view of an assembly subsequent to the formation of the pattern of the mask material 128 in the second patterned area 142-2 to make an opening 188 to form that of the line 170 with a high LER 8th is equivalent to. In some embodiments, the opening 188 may be formed using lithographic techniques and consequently may have rough edges.

9J ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des Maskenmaterials 128 der Anordnung nach 9I in das Maskenmaterial 108 und das Maskenmaterial 106. Es können irgendwelche geeigneten Ätztechniken verwendet werden. 9Y Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of mask material 128 according to the arrangement 9I into the mask material 108 and the mask material 106 . Any suitable etching techniques can be used.

9K ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des Maskenmaterials 128 von der Anordnung nach 9J. Es kann jede geeignete Ätztechnik verwendet werden. 9K Figure 13 is a side cross-sectional view of an assembly following removal of the mask material 128 according to the arrangement 9Y . Any suitable etching technique can be used.

9L ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des Maskenmaterials 108/des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 136 (durch die dazwischenliegenden Maskenmaterialien 104 und 106, die anschließend entfernt werden) in das dielektrische Material 102 und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER und die Leitung 170 mit hoher LER zu bilden. Das Muster des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 136 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. 9M ist eine Draufsicht auf die Anordnung nach 9L, die die Kanten 130 der Leitungen 140 mit geringer LER und der Leitung 170 mit hoher LER veranschaulicht. Die Veranschaulichung nach 9L ist durch den Schnitt L-L nach 9M genommen. Die Anordnung nach den 9L und 9M kann die Form der mikroelektronischen Struktur 100 nach 8 annehmen. 9L Figure 13 is a side cross-sectional view of an assembly subsequent to transferring the pattern of mask material 108 / disordered lamellar patterned mask material 136 (through the mask materials in between 104 and 106 which are subsequently removed) into the dielectric material 102 and then the provision of line material 120 in the openings of the dielectric material 102 to the lines 140 with low LER and the line 170 with high LER form. The pattern of the mask material provided with a disordered lamellar pattern 136 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. 9M FIG. 13 is a top plan view of the arrangement of FIG 9L that are the edges 130 of the lines 140 with low LER and the line 170 illustrated with high LER. The illustration after 9L is through the section LL after 9M taken. The arrangement according to the 9L and 9M can take the shape of the microelectronic structure 100 after 8th accept.

In einigen Ausführungsformen kann der Zwischenraum 176 zwischen benachbarten Leitungen 140 mit geringer LER durch selektive Entvölkerung unter Verwendung einer DSA-basierten Technik vergrößert werden. Die 10A-10B sind z. B. verschiedene Ansichten einer weiteren mikroelektronischen Struktur 100, die Leitungen 140 mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. 10A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 10B, und 10B ist eine Draufsicht auf die mikroelektronische Struktur 100; wobei die ungeordnete lamellare Struktur 138 der mikroelektronischen Struktur 100 nach 10 die in 1C veranschaulichte Form annehmen kann. Die Ausführungsform nach 10 benutzt eine Anzahl von Elementen mit vorhergehenden Ausführungsformen gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. Bezüglich der Ausführungsform nach 1 enthält die mikroelektronische Struktur 100 nach 10 kleinere Zwischenleitungs-Zwischenräume 150-1 und größere Zwischenleitungs-Zwischenräume 150-2 zwischen benachbarten Leitungen 140 mit geringer LER. Die spezielle Anordnung von kleineren Zwischenzeilen-Zwischenräumen 150-1 und größeren Zwischenzeilen-Zwischenräumen 150-2 ist einfach veranschaulichend, wobei irgendeine gewünschte Anordnung in einer mikroelektronischen Struktur 100 in Übereinstimmung mit den hier offenbarten Techniken enthalten sein kann.In some embodiments, the gap can 176 between adjacent lines 140 with low LER can be enlarged by selective depopulation using a DSA-based technique. the 10A-10B are z. B. different views of another microelectronic structure 100 , the lines 140 with low LER, in accordance with various embodiments. 10A Figure 3 is a side cross-sectional view of the microelectronic structure 100 through section AA after 10B , and 10B Figure 3 is a top view of the microelectronic structure 100 ; being the disordered lamellar structure 138 the microelectronic structure 100 after 10 in the 1C may take the illustrated form. The embodiment according to 10 shares a number of elements with previous embodiments; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. With regard to the embodiment according to 1 contains the microelectronic structure 100 after 10 smaller interline gaps 150-1 and larger interline gaps 150-2 between adjacent lines 140 with low LER. The special arrangement of smaller interline spaces 150-1 and larger interline spaces 150-2 is simply illustrative of any desired arrangement in a microelectronic structure 100 may be included in accordance with the techniques disclosed herein.

Die 11A-11H veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 10 in Übereinstimmung mit verschiedenen Ausführungsformen. 11A ist eine Seiten-Querschnittsansicht einer Anordnung wie der nach den 2C und 9A, die eine mit einem Muster versehene Anfangsbürste 110 enthält. Die Anordnung nach 11A kann in Übereinstimmung mit jeder der hier bezüglich 2C erörterten Herstellungstechniken gebildet werden. Wie die Anordnung nach 2C können die Öffnungen 178 unter Verwendung lithographischer Techniken in der ersten Komponente 116 als ein Muster gebildet werden, wobei sie folglich im hohen Grade raue Kanten aufweisen können.the 11A-11H illustrate the steps in an exemplary process for fabricating the microelectronic structure 10 in accordance with various embodiments. 11A FIG. 13 is a side cross-sectional view of an arrangement like that of FIGS 2C and 9A who have favourited a patterned starter brush 110 contains. The arrangement according to 11A can be in accordance with any of the here regarding 2C discussed manufacturing techniques. Like the arrangement after 2C can use the openings 178 using lithographic techniques in the first component 116 can be formed as a pattern, and consequently they may have highly rough edges.

11B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines BCP (z. B. eines nicht gezeigten BCP 114, wie oben erörtert worden ist) auf der Anordnung nach 11A und dann das Behandeln der resultierenden Anordnung, um zu bewirken, dass sich das BCP in Übereinstimmung mit der durch die Bürste 110 bereitgestellten Schablone selbst organisiert. Die resultierende Anordnung enthält abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 in dem mit einem Muster versehenen Bereich 142. Außerhalb des mit einem Muster versehenen Bereichs 142 kann die Bürste 110 keine Oberfläche bereitstellen, auf der sich das BCP leicht in abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 selbst organisiert, wobei sich daher das BCP 114 stattdessen in dem nicht mit einem Muster versehenen Bereich 144 in ungeordnete Lamellen 132 der ersten Komponente 116 und der zweiten Komponente 118 selbst organisieren kann; wobei die ungeordneten Lamellen 132 eine Struktur wie die aufweisen können, die in 1C veranschaulicht ist. 11B Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a BCP (e.g., a BCP, not shown 114 as discussed above) on the arrangement 11A and then treating the resulting assembly to cause the BCP to conform to that provided by the brush 110 provided template organized by yourself. The resulting arrangement contains alternating vertically oriented areas of the first component 116 and the second component 118 in the patterned area 142 . Outside the patterned area 142 can the brush 110 do not provide a surface on which the BCP can easily turn into alternating vertically oriented areas of the first component 116 and the second component 118 organized itself, which is why the BCP 114 instead, in the unpatterned area 144 into disordered slats 132 the first component 116 and the second component 118 can organize yourself; being the disordered lamellae 132 may have a structure like that shown in 1C is illustrated.

11C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der zweiten Komponente 118 von der Anordnung nach 11 B. Die erste Komponente 116 kann an Ort und Stelle verbleiben, so dass der mit einem Muster versehene Bereich 142 eine Reihe von parallelen Öffnungen 180 enthalten kann. In einigen Ausführungsformen kann die Anordnung nach 11B mit einer lonenimplantationstechnik behandelt werden, um die erste Komponente 116 (z. B. PS) vor dem Entfernen der zweiten Komponente 118 (z. B. PMMA) zu härten. In einigen Ausführungsformen kann eine geeignete selektive Ätztechnik verwendet werden, um die zweite Komponente 118 zu entfernen. Das Entfernen der zweiten Komponente 118 von den ungeordneten Lamellen 132 kann zu den teilweise geätzten ungeordneten Lamellen 134 führen, die eine Struktur wie die beibehalten können, die in 1C veranschaulicht ist. Wie oben bezüglich der 2G und 2H erörtert worden ist, kann der Prozess des Ausführens einer DSA-Operation an den „rauen“ Öffnungen 178 der Anordnung nach 11A zu den „glatten“ Öffnungen 180 der Anordnung nach 11C führen, wobei folglich gesagt werden kann, dass die Technik nach 11 (und andere der hier offenbarten DSA-basierten Techniken) die „rauen“ Öffnungen 178 „begradigt“. 11C Figure 13 is a side cross-sectional view of an assembly following removal of the second component 118 according to the arrangement 11 B . The first component 116 can be left in place, leaving the patterned area 142 a series of parallel openings 180 may contain. In some embodiments, the arrangement may be according to 11B treated with an ion implantation technique to make the first component 116 (e.g. PS) before removing the second component 118 (e.g. PMMA) to harden. In some embodiments, a suitable selective etching technique can be used to the second component 118 to remove. The removal of the second component 118 from the disordered slats 132 can lead to the partially etched disordered lamellae 134 that can maintain a structure like that found in 1C is illustrated. As above regarding the 2G and 2H As discussed, the process of performing a DSA operation on the "rough" openings 178 according to the arrangement 11A to the "smooth" openings 180 according to the arrangement 11C lead, and consequently it can be said that technology is based on 11th (and other of the DSA-based techniques disclosed herein) the "rough" openings 178 "Straightened".

1 1D ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines Maskenmaterials 128 über der Anordnung nach 11C. Es kann jedes geeignete Maskenmaterial 128 verwendet werden. 1 Figure 1D is a side cross-sectional view of a post-deposition assembly of a mask material 128 above the arrangement according to 11C . Any suitable mask material can be used 128 be used.

11E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an die Musterbildung des Maskenmaterials 128 der Anordnung nach 11D, um die Öffnungen 180 in einem Bereich abzudecken, der dem größeren Zwischenleitungs-Zwischenraum 150-2 zwischen benachbarten Leitungen 140 mit geringer LER entspricht. Es kann jede geeignete Musterbildungstechnik verwendet werden. 11E Figure 13 is a side cross-sectional view of an assembly subsequent to the patterning of the mask material 128 according to the arrangement 11D to the openings 180 to be covered in an area corresponding to the larger interline gap 150-2 between adjacent lines 140 with a low LER. Any suitable patterning technique can be used.

11F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters der freiliegenden Öffnungen 180 der Anordnung nach 11E in das darunterliegende Maskenmaterial 108. Es kann jede geeignete Ätztechnik verwendet werden. Das Übertragen des Musters der Öffnungen 180 in das Maskenmaterial 108 kann außerdem zum Übertragen der ungeordneten lamellaren Muster der freiliegenden teilweise geätzten ungeordneten Lamellen 134 in das darunterliegende Maskenmaterial 108 im nicht mit einem Muster versehenen Bereich 144 führen, was das mit einem ungeordneten Lamellenmuster versehene Maskenmaterial 136 liefert. 11F Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of exposed openings 180 according to the arrangement 11E into the underlying mask material 108 . Any suitable etching technique can be used. Transferring the pattern of the openings 180 into the mask material 108 can also be used to transfer the disordered lamellar pattern of the exposed partially etched disordered lamellae 134 into the underlying mask material 108 in the unpatterned area 144 lead what the mask material provided with a disordered lamellar pattern 136 supplies.

11G ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des Maskenmaterials 128 von der Anordnung nach 11 F, das Übertragen des Musters des Maskenmaterials 108/des mit einem ungeordnetem Lamellenmuster versehenen Maskenmaterials 136 (durch die dazwischenliegenden Maskenmaterialien 104 und 106, die anschließend entfernt werden) in das dielektrische Material 102 und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER zu bilden. Das Muster des mit einem ungeordnetem Lamellenmuster versehenen Maskenmaterials 136 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. 11H ist eine Draufsicht auf die Anordnung nach 11G, die die Kanten 130 der Leitungen 140 mit geringer LER, die kleineren Zwischenleitungs-Zwischenräume 150-1 und einen größeren Zwischenleitungs-Zwischenraum 150-2 veranschaulicht. Die Veranschaulichung nach 11G ist durch den Schnitt G-G nach 11H genommen. Die Anordnung nach den 11G und 11H kann die Form der mikroelektronischen Struktur 100 nach 10 annehmen. 11G Figure 13 is a side cross-sectional view of an assembly following removal of the mask material 128 according to the arrangement 11 F. , the transfer of the pattern of the mask material 108 / of the mask material provided with a disordered lamellar pattern 136 (through the mask materials in between 104 and 106 which are subsequently removed) into the dielectric material 102 and then the provision of line material 120 in the openings of the dielectric material 102 to the lines 140 to form with low LER. The pattern of the mask material provided with a disordered lamellar pattern 136 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. 11H FIG. 13 is a top plan view of the arrangement of FIG 11G that are the edges 130 of the lines 140 with low LER, the smaller interline gaps 150-1 and a greater interline gap 150-2 illustrated. The illustration after 11G is through the section GG after 11H taken. The arrangement according to the 11G and 11H can take the shape of the microelectronic structure 100 after 10 accept.

Die 1-24 veranschaulichen beispielhafte mikroelektronische Strukturen 100 und Beispiele der Verfahren zum Herstellen derartiger mikroelektronischer Strukturen 100. Jedes der Merkmale, die bezüglich einer der 1-24 hier erörtert werden, kann mit jedem anderen Merkmal kombiniert werden, um eine mikroelektronische Struktur 100 zu bilden. Die 3 und 4 veranschaulichen z. B. eine Ausführungsform, bei der auf Abstandshaltern basierende Techniken verwendet werden, um den Abstand von Leitungen 140 mit geringer LER zu verringern, die 8 und 9 veranschaulichen eine Ausführungsform, die sowohl Leitungen 140 mit geringer LER als auch Leitungen 170 mit hoher LER enthält, und die 10 und 11 veranschaulichen eine Ausführungsform, bei der der Zwischenraum zwischen verschiedenen Paaren von benachbarten Leitungen 140 mit geringer LER durch selektive Entvölkerung vergrößert ist. Diese Merkmale nach den 3, 4, 8, 9, 10 und 11 können kombiniert werden, so dass eine mikroelektronische Struktur 100 Leitungen 140 mit geringer LER mit verringertem Abstand, sowohl Leitungen 140 mit geringer LER als auch Leitungen 170 mit hoher LER und vergrößerte Zwischenräume zwischen verschiedenen Paaren von Leitungen 140 mit geringer LER enthält. Eine derartige Ausführungsform einer mikroelektronischen Struktur 100 ist in 12 veranschaulicht, während ein Verfahren zum Herstellen der mikroelektronischen Struktur 100 nach 12 in 13 veranschaulicht ist. Diese spezielle Kombination ist jedoch einfach ein Beispiel, wobei irgendeine Kombination verwendet werden kann.the 1-24 illustrate exemplary microelectronic structures 100 and examples of the methods of manufacturing such microelectronic structures 100 . Each of the characteristics relating to any of the 1-24 discussed here can be combined with any other feature to form a microelectronic structure 100 to build. the 3 and 4th illustrate z. B. one embodiment in which spacer-based techniques are used to adjust the spacing of conduits 140 with low LER to decrease that 8th and 9 illustrate an embodiment that includes both conduits 140 with low LER as well as lines 170 with high LER contains, and the 10 and 11th illustrate an embodiment in which the spacing between different pairs of adjacent lines 140 with low LER is enlarged by selective depopulation. These characteristics according to the 3 , 4th , 8th , 9 , 10 and 11th can be combined so that a microelectronic structure 100 cables 140 with low LER with reduced spacing, both lines 140 with low LER as well as lines 170 with high LER and increased spaces between different pairs of lines 140 with low LER. Such an embodiment of a microelectronic structure 100 is in 12th Fig. 9 illustrates a process for fabricating the microelectronic structure 100 after 12th in 13th is illustrated. However, this particular combination is simply an example, and any combination can be used.

Wie oben erwähnt worden ist, sind die 12A-12B verschiedene Ansichten einer weiteren mikroelektronischen Struktur 100, die Leitungen 140 mit geringer LER und Leitungen 170 mit hoher LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. 12A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 12B, und 12B ist eine Draufsicht auf die mikroelektronische Struktur 100; wobei die ungeordnete lamellare Struktur 138 der mikroelektronischen Struktur 100 nach 12 die in 1C veranschaulichte Form annehmen kann. Die Ausführungsform nach 12 benutzt eine Anzahl von Elementen mit den vorhergehenden Ausführungsformen gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. Bezüglich der Ausführungsform nach 1 enthält die mikroelektronische Struktur 100 Leitungen 140 mit geringer LER mit verringertem Abstand, sowohl Leitungen 140 mit geringer LER als auch Leitungen 170 mit hoher LER und einen vergrößerten Zwischenraum 176 zwischen verschiedenen Paaren von Leitungen 140 mit geringer LER.As mentioned above, the 12A-12B different views of another microelectronic structure 100 , the lines 140 with low LER and lines 170 with high LER, in accordance with various embodiments. 12A Figure 3 is a side cross-sectional view of the microelectronic structure 100 through section AA after 12B , and 12B Figure 3 is a top view of the microelectronic structure 100 ; being the disordered lamellar structure 138 the microelectronic structure 100 after 12th in the 1C may take the illustrated form. The embodiment according to 12th shares a number of elements with the previous embodiments; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. With regard to the embodiment according to 1 contains the microelectronic structure 100 cables 140 with low LER with reduced spacing, both lines 140 with low LER as well as lines 170 with a high LER and an enlarged gap 176 between different pairs of lines 140 with low LER.

Die 13A-13P veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur nach 12 in Übereinstimmung mit verschiedenen Ausführungsformen. 13A ist eine Seiten-Querschnittsansicht einer Anordnung wie die nach den 2C, 9A und 11A, die eine mit einem Muster versehene Anfangsbürste 110 enthält. Die Anordnung nach 13A kann in Übereinstimmung mit jeder der hier bezüglich 2C erörterten Fertigungstechniken hergestellt werden. Wie bei der Anordnung nach 2C können die Öffnungen 178 unter Verwendung lithographischer Techniken in der ersten Komponente 116 als Muster gebildet werden, wobei sie folglich im hohen Grade raue Kanten aufweisen können.the 13A-13P illustrate the steps in an exemplary process for fabricating the microelectronic structure 12th in accordance with various embodiments. 13A Fig. 3 is a side cross-sectional view an arrangement like that after the 2C , 9A and 11A who have favourited a patterned starter brush 110 contains. The arrangement according to 13A can be in accordance with any of the here regarding 2C discussed manufacturing techniques. As with the arrangement after 2C can use the openings 178 using lithographic techniques in the first component 116 can be formed as a pattern, and consequently they may have highly rough edges.

13B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines BCP (z. B. eines nicht gezeigten BCP 114, wie oben beschrieben worden ist) auf der Anordnung nach 13A und dann das Behandeln der resultierenden Anordnung, um zu verursachen, dass sich das BCP in Übereinstimmung mit der durch die Bürste 110 bereitgestellten Schablone selbst organisiert. Die resultierende Anordnung enthält abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 in dem mit einem Muster versehenen Bereich 142. Außerhalb des mit einem Muster versehenen Bereichs 142 kann die Bürste 110 keine Oberfläche bereitstellen, auf der sich das BCP leicht in abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 selbst organisiert, so dass sich stattdessen das BCP 114 in dem nicht mit einem Muster versehenen Bereich 144 in ungeordneten Lamellen 132 der ersten Komponente 116 und der zweiten Komponente 118 selbst organisieren kann; wobei die ungeordneten Lamellen 132 eine Struktur wie die aufweisen können, die in 1C veranschaulicht ist. 13B Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a BCP (e.g., a BCP, not shown 114 as described above) on the arrangement 13A and then treating the resulting assembly to cause the BCP to conform to that provided by the brush 110 provided template organized by yourself. The resulting arrangement contains alternating vertically oriented areas of the first component 116 and the second component 118 in the patterned area 142 . Outside the patterned area 142 can the brush 110 do not provide a surface on which the BCP can easily turn into alternating vertically oriented areas of the first component 116 and the second component 118 organized itself so that the BCP instead 114 in the unpatterned area 144 in disordered slats 132 the first component 116 and the second component 118 can organize yourself; being the disordered lamellae 132 may have a structure like that shown in 1C is illustrated.

13C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der zweiten Komponente 118 von der Anordnung nach 13B. Die erste Komponente 116 kann an Ort und Stelle verbleiben, wobei folglich der mit einem Muster versehene Bereich 142 eine Reihe paralleler Öffnungen 180 enthalten kann. In einigen Ausführungsformen kann die Anordnung nach 13B mit einer lonenimplantationstechnik behandelt werden, um die erste Komponente 116 (z. B. PS) vor dem Entfernen der zweiten Komponente 118 (z. B. PMMA) zu härten. In einigen Ausführungsformen kann eine geeignete selektive Ätztechnik verwendet werden, um die zweite Komponente 118 zu entfernen. Das Entfernen der zweiten Komponente 118 von den ungeordneten Lamellen 132 kann zu den teilweise geätzten ungeordneten Lamellen 134 führen, die eine Struktur wie die nach 1C beibehalten können. Wie oben bezüglich der 2G und 2H erörtert worden ist, kann der Prozess des Ausführens einer DSA-Operation an den „rauen“ Öffnungen 178 der Anordnung nach 13A zu den „glatten“ Öffnungen 180 der Anordnung nach 13C führen, wobei folglich gesagt werden kann, dass die Technik nach 13 (und die anderen der hier offenbarten DSA-basierten Techniken) die „rauen“ Öffnungen 178 „begradigen“. 13C Figure 13 is a side cross-sectional view of an assembly following removal of the second component 118 according to the arrangement 13B . The first component 116 can remain in place, consequently the patterned area 142 a series of parallel openings 180 may contain. In some embodiments, the arrangement may be according to 13B treated with an ion implantation technique to make the first component 116 (e.g. PS) before removing the second component 118 (e.g. PMMA) to harden. In some embodiments, a suitable selective etching technique can be used to the second component 118 to remove. The removal of the second component 118 from the disordered slats 132 can lead to the partially etched disordered lamellae 134 lead that have a structure like that after 1C can maintain. As above regarding the 2G and 2H As discussed, the process of performing a DSA operation on the "rough" openings 178 according to the arrangement 13A to the "smooth" openings 180 according to the arrangement 13C lead, and consequently it can be said that technology is based on 13th (and the other of the DSA-based techniques disclosed herein) the "rough" openings 178 "straighten".

13D ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters der Öffnungen 180 der Anordnung nach 13C in das darunterliegende Maskenmaterial 108. Es kann jede geeignete Ätztechnik verwendet werden. Das Übertragen des Musters der Öffnungen 180 in das Maskenmaterial 108 kann außerdem zum Übertragen der ungeordneten lamellaren Muster der teilweise geätzten ungeordneten Lamellen 134 in das darunterliegende Maskenmaterial 108 in dem nicht mit einem Muster versehenen Bereich 144 führen, was das mit einem ungeordneten Lamellenmustern versehene Maskenmaterial 136 liefert. 13D Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of openings 180 according to the arrangement 13C into the underlying mask material 108 . Any suitable etching technique can be used. Transferring the pattern of the openings 180 into the mask material 108 can also transfer the disordered lamellar pattern of the partially etched disordered lamellae 134 into the underlying mask material 108 in the unpatterned area 144 lead what the mask material provided with a disordered lamellar pattern 136 supplies.

13E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der ersten Komponente 116 (und folglich der teilweise geätzten ungeordneten Lamellen 134) aus der Anordnung nach 13D. Es kann jede geeignete Ätztechnik (z. B. eine Aschetechnik, wenn die erste Komponente 116 PS enthält) verwendet werden. 13E Figure 4 is a side cross-sectional view of an assembly following removal of the first component 116 (and consequently the partially etched disordered lamellae 134 ) from the arrangement 13D . It can be any suitable etching technique (e.g. an ash technique if the first component 116 PS contains).

13F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines Maskenmaterials 128 über der Anordnung nach 13E und das Versehen des Maskenmaterials 128 mit einem Muster, um das Maskenmaterial 108 (und folglich das mit einem ungeordneten Lamellenmuster versehene Maskenmaterial 136) in dem nicht mit einem Muster versehenen Bereich 144 und dem ersten mit einem Muster versehenen Bereich 142-1 zu bedecken, während das Maskenmaterial 108 in dem zweiten mit einem Muster versehenen Bereich 142-2 freigelegt wird. Es kann jedes geeignete Maskenmaterial 128 verwendet werden. 13F Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a mask material 128 above the arrangement according to 13E and providing the mask material 128 with a pattern to the mask material 108 (and consequently the mask material provided with a disordered lamellar pattern 136 ) in the unpatterned area 144 and the first patterned area 142-1 to cover while the mask material 108 in the second patterned area 142-2 is exposed. Any suitable mask material can be used 128 be used.

13G ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des freiliegenden Maskenmaterials 108 (im zweiten mit einem Muster versehenen Bereich 142-2) von der Anordnung nach 13F. Es kann jede geeignete Ätztechnik verwendet werden. 13G Figure 13 is a side cross-sectional view of an assembly following removal of the exposed mask material 108 (in the second patterned area 142-2 ) according to the arrangement 13F . Any suitable etching technique can be used.

13H ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des Maskenmaterials 128 von der Anordnung nach 13G und das Bilden der Abstandshalter 124 an den Seitenflächen des verbleibenden mit einem Muster versehenen Maskenmaterials 108. Die Abstandshalter 124 können ein dielektrisches Material enthalten und können unter Verwendung jeder bekannten Abstandshaltertechnik (z. B. einer konformen Abscheidung des dielektrischen Materials, gefolgt von einem „nach unten“ gerichteten Ätzen, um das dielektrische Material auf den horizontalen Oberflächen zu entfernen und das dielektrische Material auf den Seitenflächen an Ort und Stelle zu belassen) hergestellt werden. 13H Figure 13 is a side cross-sectional view of an assembly following removal of the mask material 128 according to the arrangement 13G and forming the spacers 124 on the side surfaces of the remaining patterned mask material 108 . The spacers 124 may contain a dielectric material and can be made using any known spacer technique (e.g., conformal deposition of the dielectric material followed by a "downward" etch to remove the dielectric material on the horizontal surfaces and remove the dielectric material on the To leave side surfaces in place).

13I ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden und die Musterbildung eines Maskenmaterials 148 auf der Anordnung nach 13H, um selektiv gewünschte Abschnitte des Maskenmaterials 108, die Zwischenräume zwischen dem Maskenmaterial 108 und die Abschnitte des Maskenmaterials 106 im zweiten mit einem Muster versehenen Bereich 142-2 abzudecken, wie gezeigt ist. Es können jedes geeignete Maskenmaterial 148 und jede geeignete selektive Ätztechnik verwendet werden. Die Öffnungen 188 im Maskenmaterial 128 im zweiten mit einem Muster versehenen Bereich 142-2 entsprechen den Leitungen mit hoher LER 170 nach 12. In einigen Ausführungsformen können die Öffnungen 188 unter Verwendung lithographischer Techniken gebildet werden, wobei sie folglich raue Kanten aufweisen können. 13I Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition and patterning of a mask material 148 on the arrangement according to 13H to selectively desired portions of the mask material 108 , the gaps between the mask material 108 and the portions of mask material 106 in the second patterned area 142-2 cover as shown. Any suitable mask material can be used 148 and any suitable selective etching technique can be used. The openings 188 in the mask material 128 in the second patterned area 142-2 correspond to lines with a high LER 170 after 12th . In some embodiments, the openings 188 may be formed using lithographic techniques and consequently may have rough edges.

13J ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des Maskenmaterials 108/des Abstandshaltermaterials 124/des Maskenmaterials 148 der Anordnung nach 13I in das darunterliegende Maskenmaterial 106. Es kann jede geeignete selektive Ätztechnik verwendet werden. Das Muster des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 136 kann in das Maskenmaterial 106 in dem nicht mit einem Muster versehenen Bereich 144 übertragen werden, was das mit einem ungeordneten Lamellenmuster versehene Maskenmaterial 146 liefert. 13Y Figure 13 is a side cross-sectional view of an assembly subsequent to transferring the pattern of mask material 108 / spacer material 124 / mask material 148 according to the arrangement 13I into the underlying mask material 106 . Any suitable selective etching technique can be used. The pattern of the mask material provided with a disordered lamellar pattern 136 can in the mask material 106 in the unpatterned area 144 what the mask material provided with a disordered lamellar pattern 146 supplies.

13K ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines Maskenmaterials 182 auf der Anordnung nach 13J und dann das Aussparen des Maskenmaterials 182, um die Oberseiten des Maskenmaterials 108 (und deshalb des mit einem ungeordnete Lamellenmuster versehenen Maskenmaterials 136) und die Abstandshalter 124 freizulegen. Es können jedes geeignete Maskenmaterial 182 und jede geeignete Aussparungstechnik verwendet werden. 13K Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a mask material 182 on the arrangement according to 13Y and then the recessing of the mask material 182 to remove the tops of the mask material 108 (and therefore the mask material provided with a disordered lamellar pattern 136 ) and the spacers 124 to expose. Any suitable mask material can be used 182 and any suitable recess technique can be used.

13L ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des freiliegenden Maskenmaterials 108 (und deshalb des mit einem ungeordnete Lamellenmuster versehenen Maskenmaterials 136) von der Anordnung nach 13K, wobei das darunterliegende Maskenmaterial 106 selektiv freigelegt wird. Es kann jede geeignete selektive Ätztechnik verwendet werden. 13L Figure 13 is a side cross-sectional view of an assembly following removal of the exposed mask material 108 (and therefore the mask material provided with a disordered lamellar pattern 136 ) according to the arrangement 13K , with the underlying mask material 106 is selectively exposed. Any suitable selective etching technique can be used.

13M ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des freiliegenden Maskenmaterials 106 von der Anordnung nach 13L. Es kann jede geeignete selektive Ätztechnik verwendet werden. 13M Figure 13 is a side cross-sectional view of an assembly following removal of the exposed mask material 106 according to the arrangement 13L . Any suitable selective etching technique can be used.

13N ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der Abstandshalter 124 und des Maskenmaterials 182 von der Anordnung nach 13M. Es können irgendwelche geeigneten selektiven Ätztechniken verwendet werden. 13N Figure 13 is a side cross-sectional view of an assembly following removal of the spacers 124 and the mask material 182 according to the arrangement 13M . Any suitable selective etching techniques can be used.

13O ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Übertragen des Musters des Maskenmaterials 106/des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 146 der Anordnung nach 13N (durch das dazwischenliegende Maskenmaterial 104, das anschließend entfernt wird) in das dielektrische Material 102 und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER und die Leitungen 170 mit hoher LER zu bilden. Das Muster des mit einem ungeordneten Lamellenmuster versehenen Maskenmaterials 146 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. 13P ist eine Draufsicht auf die Anordnung nach 13O, die sowohl die Kanten 130 der Leitungen 140 mit geringer LER und der Leitung 170 mit hoher LER als auch die selektiv variablen Zwischenleitungs-Zwischenräume veranschaulicht. Die Veranschaulichung nach 13O ist durch den Schnitt O-O nach 13P genommen. Die Anordnung nach den 13O und 13P kann die Form der mikroelektronischen Struktur 100 nach 12 annehmen. 13O Figure 13 is a side cross-sectional view of an assembly following the transfer of the pattern of mask material 106 / of the mask material provided with a disordered lamellar pattern 146 according to the arrangement 13N (through the mask material in between 104 which is subsequently removed) into the dielectric material 102 and then the provision of line material 120 in the openings of the dielectric material 102 to the lines 140 with low LER and the lines 170 with high LER form. The pattern of the mask material provided with a disordered lamellar pattern 146 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. 13P FIG. 13 is a top plan view of the arrangement of FIG 13O that both the edges 130 of the lines 140 with low LER and the line 170 with high LER as well as the selectively variable link gaps. The illustration after 13O is through the section OO after 13P taken. The arrangement according to the 13O and 13P can take the shape of the microelectronic structure 100 after 12th accept.

Wie oben erwähnt worden ist, kann ein BCP in der Lage sein, sich selbst in mehrere verschiedene Anordnungen zu organisieren. Ein BCP kann z B. in der Lage sein, sowohl die vertikal orientierten sich wiederholenden Strukturen, die in verschiedenen der vorhergehenden Zeichnungen veranschaulicht sind, als auch horizontal orientierte sich wiederholende Strukturen zu bilden. Ob ein derartiges BCP eine vertikal orientierte sich wiederholende Struktur, eine horizontal orientierte sich wiederholende Struktur oder eine ungeordnete Struktur bildet, kann von dem Muster der darunterliegenden Bürste 110, der Zusammensetzung des BCP und den Bedingungen, unter denen das BCP der DSA unterzogen wird, abhängen; diese Variable können eingestellt werden, um ein gewünschtes Ergebnis zu erzielen. Die Möglichkeit, horizontal orientierte sich wiederholende Strukturen zu bilden, kann verwendet werden, um Leitungen 140 mit geringer LER herzustellen, die unterschiedliche Leitungsbreiten 174 aufweisen. Die 14A-14B sind z. B. verschiedene Ansichten einer weiteren mikroelektronischen Struktur 100, die die Leitungen 140 mit geringer LER enthält, die unterschiedliche Leitungsbreiten 174 aufweisen, in Übereinstimmung mit verschiedenen Ausführungsformen. 14A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 14B, und 14B ist eine Draufsicht auf die mikroelektronische Struktur 100; wobei die ungeordnete lamellare Struktur 138 der mikroelektronischen Struktur 100 nach 14 die in 1C veranschaulichte Form annehmen kann. Die Ausführungsform nach 14 benutzt eine Anzahl von Elementen mit vorhergehenden Ausführungsformen gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. Bezüglich der Ausführungsform nach 1 enthält die mikroelektronische Struktur 100 nach 14 Leitungen 140 mit geringer LER mit unterschiedlichen Leitungsbreiten 174 (d. h., wobei die mittlere Leitung 140 mit geringer LER eine größere Leitungsbreite 174 als die benachbarten Leitungen 140 mit geringer LER aufweist).As mentioned above, a BCP may be able to organize itself into several different arrangements. For example, a BCP may be capable of forming both the vertically oriented repeating structures illustrated in several of the preceding drawings and horizontally oriented repeating structures. Whether such a BCP forms a vertically oriented repeating structure, a horizontally oriented repeating structure or a disordered structure can be determined by the pattern of the underlying brush 110 , the composition of the BCP and the conditions under which the BCP is subjected to the DSA; these variables can be adjusted to achieve a desired result. The ability to form horizontally oriented repeating structures can be used to create lines 140 with low LER to produce the different line widths 174 exhibit. the 14A-14B are z. B. different views of another microelectronic structure 100 who have made the lines 140 with a low LER that contains different line widths 174 in accordance with various embodiments. 14A is a Side cross-sectional view of the microelectronic structure 100 through section AA after 14B , and 14B Figure 3 is a top view of the microelectronic structure 100 ; being the disordered lamellar structure 138 the microelectronic structure 100 after 14th in the 1C may take the illustrated form. The embodiment according to 14th shares a number of elements with previous embodiments; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. With regard to the embodiment according to 1 contains the microelectronic structure 100 after 14th cables 140 with low LER with different line widths 174 (ie, being the middle line 140 with a lower LER a larger line width 174 than the neighboring lines 140 with low LER).

Die 15A-15G veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 14 in Übereinstimmung mit verschiedenen Ausführungsformen. 15A ist eine Seiten-Querschnittsansicht einer Anordnung wie der nach 2C, die eine mit einem Muster versehene Anfangsbürste 110 enthält; die Anfangsbürste 110 nach 15A kann die zweite Komponente 118 anstelle der ersten Komponente 116 enthalten. Die Anordnung nach 15A kann in Übereinstimmung mit irgendeiner der hier bezüglich 2C erörterten Fertigungstechniken gebildet werden. Wie bei der Anordnung nach 2C können die Öffnungen 178 in der Bürste 110 unter Verwendung lithographischer Techniken als ein Muster gebildet werden, wobei sie folglich im hohen Grade raue Kanten aufweisen können. Es wird angegeben, dass der zentrale Abschnitt der zweiten Komponente 118 der Bürste 110 breiter als die anderen Abschnitte der zweiten Komponente 118 in dem mit einem Muster versehenen Bereich 142 ist.the 15A-15G illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 14th in accordance with various embodiments. 15A Figure 13 is a side cross-sectional view of an arrangement like the one in accordance with the present invention 2C who have favourited a patterned starter brush 110 contains; the initial brush 110 after 15A can the second component 118 instead of the first component 116 contain. The arrangement according to 15A may be in accordance with any of the here regarding 2C discussed manufacturing techniques are formed. As with the arrangement after 2C can use the openings 178 in the brush 110 may be formed as a pattern using lithographic techniques and consequently may have highly rough edges. It is indicated that the central section is the second component 118 the brush 110 wider than the other sections of the second component 118 in the patterned area 142 is.

15B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das „Ausfüllen“ der Öffnungen 178 in der Bürste 110 nach 15A mit der ersten Komponente 116, um die Bürste 110 zu „vervollständigen“, wie oben bezüglich 3 erörtert worden ist. In anderen Ausführungsformen wird diese Operation nicht vor dem Weitergehen zu den nachfolgenden Operationen ausgeführt. 15B Figure 4 is a side cross-sectional view of an assembly following "filling in" the openings 178 in the brush 110 after 15A with the first component 116 to the brush 110 to "complete" as above regarding 3 has been discussed. In other embodiments, this operation is not performed until proceeding to subsequent operations.

15C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines BCP 114 auf der Anordnung nach 15B. Wie oben erwähnt worden ist, können die Bürste 110 und das BCP 114 gewählt werden, um ein gewünschtes DSA-Verhalten zu erreichen. In der Ausführungsform nach 15 kann das BCP 114 eine erste Komponente 116 und eine zweite Komponente 118 enthalten. 15C Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a BCP 114 on the arrangement according to 15B . As mentioned above, the brush can 110 and the BCP 114 can be selected in order to achieve a desired DSA behavior. In the embodiment according to 15th can the BCP 114 a first component 116 and a second component 118 contain.

15D ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Behandeln der Anordnung nach 15C, um zu verursachen, dass sich das BCP 114 in Übereinstimmung mit der durch die Bürste 110 bereitgestellten Schablone selbst organisiert. Die resultierende Anordnung enthält sowohl abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 als auch einen horizontal orientierten Bereich der ersten Komponente 116 (der über dem „breiteren“ Abschnitt der zweiten Komponente 118 in dem mit einem Muster versehenen Bereich 142 ausgebildet ist). Außerhalb des mit einem Muster versehenen Bereichs 142 kann die Bürste 110 keine Oberfläche bereitstellen, auf der sich das BCP 114 leicht in abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 (oder in abwechselnde horizontal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118) selbst organisiert, wobei sich das BCP 114 in dem nicht mit einem Muster versehenen Bereich 144 so stattdessen selbst in ungeordnete Lamellen 132 der ersten Komponente 116 und der zweiten Komponente 118 organisieren kann; wobei die ungeordneten Lamellen 132 eine Struktur wie die aufweisen können, die in 1C veranschaulicht ist. 15D FIG. 14 is a side cross-sectional view of an assembly following the treatment of the assembly of FIG 15C to cause the BCP 114 in accordance with that by the brush 110 provided template organized by yourself. The resulting arrangement contains both alternating vertically oriented areas of the first component 116 and the second component 118 as well as a horizontally oriented area of the first component 116 (the one above the "wider" section of the second component 118 in the patterned area 142 is trained). Outside the patterned area 142 can the brush 110 do not provide a surface on which the BCP 114 easily in alternating vertically oriented areas of the first component 116 and the second component 118 (or in alternating horizontally oriented areas of the first component 116 and the second component 118 ) self-organized, whereby the BCP 114 in the unpatterned area 144 so instead in disordered slats themselves 132 the first component 116 and the second component 118 can organize; being the disordered lamellae 132 may have a structure like that shown in 1C is illustrated.

15E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Planarisieren der Anordnung nach 15D, um den oberen Abschnitt der ersten Komponente 116, der zweiten Komponente 118 und der ungeordneten Lamellen 132 (z. B. unter Verwendung einer CMP-Technik) zu entfernen. 15E FIG. 14 is a side cross-sectional view of an assembly following planarization of the assembly of FIG 15D to the top section of the first component 116 , the second component 118 and the disordered lamellas 132 (e.g. using a CMP technique).

15F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der zweiten Komponente 118 von der Anordnung nach 15E (z. B. unter Verwendung einer geeigneten selektiven Ätztechnik), um Öffnungen in der ersten Komponente 116 zu bilden, die „glatter“ als die rauen Öffnungen 178 sind, das Übertragen des Musters der ersten Komponente 116 (durch die Zwischenmaskenmaterialien 108, 106 und 104, die anschließend entfernt werden) und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER zu bilden. Das Muster der ungeordneten Lamellen 132 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. 15G ist eine Draufsicht auf die Anordnung nach 15F, die die Kanten 130 der Leitungen 140 mit geringer LER veranschaulicht. Die Veranschaulichung nach 15F ist durch den Schnitt F-F nach 15G genommen. Die Anordnung nach den 15F und 15G kann die Form der mikroelektronischen Struktur 100 nach 14 annehmen. 15F Figure 13 is a side cross-sectional view of an assembly following removal of the second component 118 according to the arrangement 15E (e.g. using a suitable selective etching technique) to make openings in the first component 116 to make the "smoother" than the rough openings 178 are transferring the pattern of the first component 116 (through the masking materials 108 , 106 and 104 which are then removed) and then the provision of pipe material 120 in the openings of the dielectric material 102 to the lines 140 to form with low LER. The pattern of the disordered slats 132 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. 15G FIG. 13 is a top plan view of the arrangement of FIG 15F that are the edges 130 of the lines 140 illustrated with low LER. The illustration after 15F is through the section FF after 15G taken. The arrangement according to the 15F and 15G can take the shape of the microelectronic structure 100 after 14th accept.

Die Möglichkeit, horizontal orientierte sich wiederholende Strukturen zu bilden, kann verwendet werden, um Leitungen 140 mit geringer LER mit unterschiedlichen Zwischenräumen 176 (anstelle von oder zusätzlich zu unterschiedlichen Leitungsbreiten 174, wie oben bezüglich der 14 und 15 erörtert worden ist) herzustellen. Die 16A-16B sind z. B. verschiedene Ansichten einer weiteren mikroelektronischen Struktur 100, die die Leitungen 140 mit geringer LER mit unterschiedlichen Zwischenräumen 176 dazwischen enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. 16A ist eine Seiten-Querschnittsansicht der mikroelektronischen Struktur 100 durch den Schnitt A-A nach 16B, und 16B ist eine Draufsicht auf die mikroelektronische Struktur 100; wobei die ungeordnete lamellare Struktur 138 der mikroelektronischen Struktur 100 nach 16 die in 1C veranschaulichte Form annehmen kann. Die Ausführungsform nach 16 benutzt eine Anzahl von Elementen mit den vorhergehenden Ausführungsformen gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. Bezüglich der Ausführungsform nach 1 enthält die mikroelektronische Struktur 100 nach 16 die Leitungen 140 mit geringer LER mit unterschiedlichen Zwischenräumen 176 (d. h., wobei der mittlere Zwischenraum 176 größer als die benachbarten Zwischenräume 176 ist).The ability to form horizontally oriented repeating structures can be used to create lines 140 with low LER with different spaces 176 (instead of or in addition to different line widths 174 , as above regarding the 14th and 15th has been discussed). the 16A-16B are z. B. different views of another microelectronic structure 100 who have made the lines 140 with low LER with different spaces 176 contains therebetween, in accordance with various embodiments. 16A Figure 3 is a side cross-sectional view of the microelectronic structure 100 through section AA after 16B , and 16B Figure 3 is a top view of the microelectronic structure 100 ; being the disordered lamellar structure 138 the microelectronic structure 100 after 16 in the 1C may take the illustrated form. The embodiment according to 16 shares a number of elements with the previous embodiments; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. With regard to the embodiment according to 1 contains the microelectronic structure 100 after 16 the lines 140 with low LER with different spaces 176 (ie, being the middle gap 176 larger than the neighboring spaces 176 is).

Die 17A-17G veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 16 in Übereinstimmung mit verschiedenen Ausführungsformen. 17A ist eine Seiten-Querschnittsansicht einer Anordnung wie der nach 2C, die eine mit einem Muster versehene Anfangsbürste 110 der ersten Komponente 116 enthält. Die Anordnung nach 17A kann in Übereinstimmung mit irgendeiner der hier bezüglich 2C erörterten Fertigungstechniken gebildet werden. Wie bei der Anordnung nach 2C können die Öffnungen 178 in der Bürste 110 unter Verwendung lithographischer Techniken als das Muster gebildet werden, wobei sie folglich im hohen Grade raue Kanten aufweisen können. Es wird angegeben, dass der zentrale Abschnitt der ersten Komponente 116 der Bürste 110 breiter ist als die anderen Abschnitte der ersten Komponente 116 in dem mit einem Muster versehenen Bereich 142 ist.the 17A-17G illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 16 in accordance with various embodiments. 17A Figure 13 is a side cross-sectional view of an arrangement like the one in accordance with the present invention 2C who have favourited a patterned starter brush 110 the first component 116 contains. The arrangement according to 17A may be in accordance with any of the here regarding 2C discussed manufacturing techniques are formed. As with the arrangement after 2C can use the openings 178 in the brush 110 may be formed as the pattern using lithographic techniques, and consequently may have highly rough edges. It is indicated that the central section is the first component 116 the brush 110 is wider than the other sections of the first component 116 in the patterned area 142 is.

17B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das „Auffüllen“ der Öffnungen 178 in der Bürste 110 nach 17A mit der zweiten Komponente 118, um die Bürste 110 zu „vervollständigen“, wie oben bezüglich 3 erörtert worden ist. In anderen Ausführungsformen wird diese Operation nicht vor dem Weitergehen zu den nachfolgenden Operationen ausgeführt. 17B Figure 13 is a side cross-sectional view of an assembly following "filling" of the openings 178 in the brush 110 after 17A with the second component 118 to the brush 110 to "complete" as above regarding 3 has been discussed. In other embodiments, this operation is not performed until proceeding to subsequent operations.

17C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines BCP 114 auf der Anordnung nach 17B. Wie oben erwähnt worden ist, können die Bürste 110 und das BCP 114 gewählt sein, um ein gewünschtes DSA-Verhalten zu erreichen. In der Ausführungsform nach 17 kann das BCP 114 eine erste Komponente 116 und eine zweite Komponente 118 enthalten. 17C Figure 13 is a side cross-sectional view of an assembly subsequent to the deposition of a BCP 114 on the arrangement according to 17B . As mentioned above, the brush can 110 and the BCP 114 be selected in order to achieve a desired DSA behavior. In the embodiment according to 17th can the BCP 114 a first component 116 and a second component 118 contain.

17D ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Behandeln der Anordnung nach 17C, um zu verursachen, dass sich das BCP 114 in Übereinstimmung mit der durch die Bürste 110 bereitgestellten Schablone selbst organisiert. Die resultierende Anordnung enthält sowohl abwechselnde vertikal orientierte Bereiche der ersten Komponente 116 und der zweiten Komponente 118 als auch einen horizontal orientierten Bereich der zweiten Komponente 118 (der über dem „breiteren“ Abschnitt der ersten Komponente 116 in dem mit einem Muster versehenen Bereich 142 ausgebildet ist). Außerhalb des mit einem Muster versehenen Bereichs 142 kann die Bürste 110 keine Oberfläche bereitstellen, auf der sich das BCP 114 leicht in abwechselnde vertikal (oder horizontal) orientierte Bereichen der ersten Komponente 116 und der zweiten Komponente 118 selbst organisiert, wobei sich das BCP 114 in dem nicht mit einem Muster versehenen Bereich 144 so stattdessen in die ungeordneten Lamellen 132 der ersten Komponente 116 und der zweiten Komponente 118 selbst organisieren kann; wobei die ungeordneten Lamellen 132 eine Struktur wie die nach 1C aufweisen können. 17D FIG. 14 is a side cross-sectional view of an assembly following the treatment of the assembly of FIG 17C to cause the BCP 114 in accordance with that by the brush 110 provided template organized by yourself. The resulting arrangement contains both alternating vertically oriented areas of the first component 116 and the second component 118 as well as a horizontally oriented area of the second component 118 (the one above the "wider" section of the first component 116 in the patterned area 142 is trained). Outside the patterned area 142 can the brush 110 do not provide a surface on which the BCP 114 easily in alternating vertically (or horizontally) oriented areas of the first component 116 and the second component 118 self-organized, whereby the BCP 114 in the unpatterned area 144 so instead in the disordered slats 132 the first component 116 and the second component 118 can organize yourself; being the disordered lamellae 132 a structure like that after 1C can have.

17E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Planarisieren der Anordnung nach 17D, um den oberen Abschnitt der ersten Komponente 116, der zweiten Komponente 118 und der ungeordneten Lamellen 132 (z. B. unter Verwendung einer CMP-Technik) zu entfernen. 17E FIG. 14 is a side cross-sectional view of an assembly following planarization of the assembly of FIG 17D to the top section of the first component 116 , the second component 118 and the disordered lamellas 132 (e.g. using a CMP technique).

17F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der zweiten Komponente 118 von der Anordnung nach 17E (z. B. unter Verwendung einer geeigneten selektiven Ätztechnik), um Öffnungen in der ersten Komponente 116 zu bilden, die „glatter“ als die rauen Öffnungen 178 sind, das Übertragen des Musters der ersten Komponente 116 (durch die Zwischenmaskenmaterialien 108, 106 und 104, die anschließend entfernt werden) und dann das Bereitstellen von Leitungsmaterial 120 in den Öffnungen des dielektrischen Materials 102, um die Leitungen 140 mit geringer LER zu bilden. Das Muster der ungeordneten Lamellen 132 kann in das dielektrische Material 102 übertragen werden, um die ungeordnete lamellare Struktur 138 zu bilden. 17G ist eine Draufsicht auf die Anordnung nach 17F, die die Kanten 130 der Leitungen 140 mit geringer LER veranschaulicht. Die Veranschaulichung nach 17F ist durch den Schnitt F-F nach 17G genommen. Die Anordnung nach den 17F und 17G kann die Form der mikroelektronischen Struktur 100 nach 16 annehmen. 17F Figure 13 is a side cross-sectional view of an assembly following removal of the second component 118 according to the arrangement 17E (e.g. using a suitable selective etching technique) to make openings in the first component 116 to make the "smoother" than the rough openings 178 are transferring the pattern of the first component 116 (through the masking materials 108 , 106 and 104 which are then removed) and then the provision of pipe material 120 in the openings of the dielectric material 102 to the lines 140 to form with low LER. The pattern of the disordered slats 132 can in the dielectric material 102 transferred to the disordered lamellar structure 138 to build. 17G FIG. 13 is a top plan view of the arrangement of FIG 17F who the edge 130 of the lines 140 illustrated with low LER. The illustration after 17F is through the section FF after 17G taken. The arrangement according to the 17F and 17G can take the shape of the microelectronic structure 100 after 16 accept.

In einigen Ausführungsformen kann ein BCP, das in einer DSA-basierten Technik verwendet wird, insofern „dehnbar“ sein, als es sich abhängig von den Abmessungen und der Struktur der zugrundeliegenden Bürste selbst in sich wiederholende Muster mit variabler Größe (z. B. um eine Nenngröße) organisieren kann. 18 ist z. B. eine Draufsicht auf eine mikroelektronische Struktur 100, die Leitungen 140 mit geringer LER in mehreren Abständen (einschließlich variabler Leitungsbreiten und Leitungsabstände) in Übereinstimmung mit verschiedenen Ausführungsformen enthält. Die mikroelektronische Struktur 100 nach 18 enthält einen ersten Satz von Leitungen 140-1 mit geringer LER und einen zweiten Satz von Leitungen 140-2 mit geringer LER und die entsprechenden Zwischenleitungs-Zwischenräume 150-1 bzw. 150-2. Die Breiten der Leitungen 140 mit geringer LER sind als über die Leitungen 140 mit geringer LER überlagert gezeigt (z. B. 1x, 1,5x, 2x), wobei die Breiten der Zwischenleitungs-Zwischenräume 150 den Zwischenleitungs-Zwischenräumen 150 benachbart gezeigt sind (z. B. 1x, 1,2x, 3x). Die Verwendung eines dehnbaren BCP in einer DSA-basierten Technik, wie z. B. jener, die im Folgenden bezüglich der 19 und 20 erörtert wird, um eine mikroelektronische Struktur 100 zu bilden, kann zu Merkmalen führen, die Rauheiten aufweisen, die mit der Merkmalsgröße zunehmen; ferner können diese Merkmale die lithographische Eigenschaft nicht aufweisen, wie oben erörtert worden ist, wobei folglich die Verwendung eines dehnbaren BCP bei der Herstellung einer mikroelektronischen Struktur 100 in der mikroelektronischen Struktur 100 detektiert werden kann.In some embodiments, a BCP used in a DSA-based technique can be "stretchable" in that it transforms itself into repetitive patterns of variable size (e.g., around, depending on the dimensions and structure of the underlying brush) a nominal size). 18th is z. B. a plan view of a microelectronic structure 100 , the lines 140 with low LER at multiple pitches (including variable line widths and line spacings) in accordance with various embodiments. The microelectronic structure 100 after 18th contains a first set of lines 140-1 with low LER and a second set of lines 140-2 with low LER and the corresponding intermediate line spaces 150-1 respectively. 150-2 . The widths of the lines 140 with lower LER than over the lines 140 shown superimposed with low LER (e.g. 1x, 1.5x, 2x), with the widths of the intermediate line spaces 150 the interline gaps 150 are shown adjacent (e.g. 1x, 1,2x, 3x). The use of a stretchable BCP in a DSA-based technique, such as B. those that are discussed below in relation to 19th and 20th is discussed to be a microelectronic structure 100 Forming can result in features that have roughness that increase with feature size; furthermore, these features may not exhibit the lithographic property as discussed above, hence the use of a ductile BCP in the manufacture of a microelectronic structure 100 in the microelectronic structure 100 can be detected.

Die 19A-19E veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 18 in Übereinstimmung mit verschiedenen Ausführungsformen. 19A ist eine Draufsicht auf eine Anordnung, die ein mit einem Muster versehenes Metall 152 auf einem Maskenmaterial 108 enthält. Zusätzliche Maskenmaterialien (z. B. die nicht gezeigten Maskenmaterialien 104 und 106) können unter dem Maskenmaterial 108 liegen, wobei ein (nicht gezeigtes) dielektrisches Material 102 unter den zusätzlichen Maskenmaterialien liegen kann. In einigen Ausführungsformen kann das Metall 152 Titannitrid oder ein Metalloxid enthalten. Das Metall 152 kann unter Verwendung einer lithographischen Technik mit einem Muster versehen werden (und kann folglich raue Kanten aufweisen).the 19A-19E illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 18th in accordance with various embodiments. 19A Figure 13 is a top plan view of an assembly incorporating a patterned metal 152 on a mask material 108 contains. Additional mask materials (e.g. the mask materials not shown 104 and 106 ) can under the mask material 108 lying, a (not shown) dielectric material 102 may be under the additional mask materials. In some embodiments, the metal 152 Contain titanium nitride or a metal oxide. The metal 152 can be patterned (and thus have rough edges) using a lithographic technique.

19B ist eine Draufsicht auf eine Anordnung im Anschluss an das Bereitstellen einer Bürste 110 auf dem Metall 152 der Anordnung nach 19A. In einigen Ausführungsformen kann die Bürste 110 ein Material sein, das sich selektiv auf dem Metall 152 ablagert und daran haftet, um das Muster des Metalls 152 zu replizieren. 19B Figure 3 is a plan view of an arrangement following the provision of a brush 110 on the metal 152 according to the arrangement 19A . In some embodiments, the brush can 110 be a material that is selective on the metal 152 Deposits and adheres to the pattern of the metal 152 to replicate.

19C ist eine Draufsicht auf eine Anordnung im Anschluss an das Abscheiden eines BCP (z. B. des nicht gezeigten BCP 114), das Behandeln der resultierenden Anordnung, um zu verursachen, dass sich das BCP in Übereinstimmung mit der durch die Bürste 110 bereitgestellten Schablone selbst organisiert, und dann das Entfernen von etwas des organisierten BCP, um die BCP-Komponente 154 zurückzulassen. Die BCP-Komponente 154 kann eine „dehnbare“ Komponente sein, da sie sich abhängig von den Abmessungen der zugrundeliegenden Bürste 110 in vertikal orientierte Bänder mit unterschiedlichen Breiten (z. B. 1× und 1,2x) organisieren kann. In einigen Ausführungsformen kann ein „dehnbares“ BCP ein Triblockcopolymer enthalten, wie z. B. PMMA-b-PS-b-PMMA, PSb-PMMA-b-PS, PS-b- Poly(ethylenoxid) (PEO), PS-b-PEO-b-PS, PEO-b-PS-b-PEO, Poly(styrol-b-2-vinylpyridin) (PSb-P2VP), PS-b-P2VP-b-PS, P2VP-b-PS-b-P2VP, PS-b-P4VP, PS-b-P4VP-b-PS, P4VP-b-PS-b-P4VP, PolystyrolBlock-Polydimethylsiloxan (PS-b-PDMS), PDMS-b-PS-b-PDMS oder PS-b-PDMS-b-PS. 19C Figure 13 is a top plan view of an arrangement following the deposition of a BCP (e.g., the BCP, not shown 114 ), treating the resulting assembly to cause the BCP to align with that by the brush 110 provided stencil organizing itself, and then removing some of the organized BCP to make the BCP component 154 to leave behind. The BCP component 154 can be a "stretchable" component as it depends on the dimensions of the underlying brush 110 can organize into vertically oriented bands with different widths (e.g. 1 × and 1.2x). In some embodiments, a "stretchable" BCP can contain a triblock copolymer, such as. B. PMMA-b-PS-b-PMMA, PSb-PMMA-b-PS, PS-b- Poly (ethylene oxide) (PEO), PS-b-PEO-b-PS, PEO-b-PS-b- PEO, poly (styrene-b-2-vinylpyridine) (PSb-P2VP), PS-b-P2VP-b-PS, P2VP-b-PS-b-P2VP, PS-b-P4VP, PS-b-P4VP- b-PS, P4VP-b-PS-b-P4VP, polystyrene block polydimethylsiloxane (PS-b-PDMS), PDMS-b-PS-b-PDMS or PS-b-PDMS-b-PS.

19D ist eine Draufsicht auf eine Anordnung im Anschluss an das Entfernen des freiliegenden Maskenmaterials 108 von der Anordnung nach 19C (z. B. durch ein geeignetes selektives Ätzen), die Musterbildung des darunterliegenden dielektrischen Materials 102 der resultierenden Anordnung in Übereinstimmung mit dem Muster der BCP-Komponente 154 und der Bürste 110 und dann das Entfernen der BCP-Komponente 154 und der Bürste 110 (z. B. durch geeignete selektive Ätzungen). Die Öffnungen 190 im dielektrischen Material 102 können „glatte“ Kanten aufweisen. 19D Figure 13 is a top plan view of an assembly following removal of the exposed mask material 108 according to the arrangement 19C (e.g. by suitable selective etching), the patterning of the underlying dielectric material 102 the resulting arrangement in accordance with the pattern of the BCP component 154 and the brush 110 and then removing the BCP component 154 and the brush 110 (e.g. by suitable selective etching). The openings 190 in the dielectric material 102 can have "smooth" edges.

19E ist eine Draufsicht auf eine Anordnung im Anschluss an das Füllen der Öffnungen 190 der Anordnung nach 19D mit Leitungsmaterial (z. B. dem Leitungsmaterial 120), um die Leitungen 140 mit geringer LER und die Zwischenleitungs-Zwischenräume 150 zu bilden. Die Anordnung nach 19E kann die Form der mikroelektronischen Struktur 100 nach 18 annehmen. Es wird angegeben, dass die Zeichnungen nach den 18 und 19 einfach Beispiele sind und deren Komponenten irgendeine geeignete Form annehmen können. Das dielektrische Material 102 kann z. B. ein Mehrschichtdielektrikum sein und/oder die Zwischenleitungs-Zwischenräume 150 können durch dielektrische Abstandshalter (die z. B. Siliciumoxynitrid, Siliciumoxycarbid, Aluminiumoxid, Siliciumnitrid oder Siliciumoxid enthalten) auf einem dazwischenliegenden dielektrischen Material (z. B. einem kohlenstoffdotierten Oxid) bereitgestellt sein. 19E Figure 3 is a top plan view of an arrangement following the filling of the openings 190 according to the arrangement 19D with line material (e.g. the line material 120 ) to the lines 140 with low LER and the interline gaps 150 to build. The arrangement according to 19E can take the shape of the microelectronic structure 100 after 18th accept. It is stated that the drawings according to 18th and 19th are simply examples and the components of which can take any suitable form. The dielectric material 102 can e.g. B. be a multilayer dielectric and / or the interconnect gaps 150 can be replaced by dielectric spacers (e.g. silicon oxynitride, Silicon oxycarbide, aluminum oxide, silicon nitride or silicon oxide) may be provided on an intervening dielectric material (e.g. a carbon-doped oxide).

In einigen Ausführungsformen kann ein „dehnbares“ BCP in einer DSA-basierten Technik verwendet werden, die kein darunterliegendes Metall 152 verwendet, auf dem eine Bürste 110 repliziert werden kann. Stattdessen kann die Bürste 110 unter Verwendung anderer Techniken (z. B. Lithographie) mit einem Muster versehen werden. 20 ist z. B. eine Draufsicht auf eine Anordnung, die eine mit einem Muster versehene Bürste 110 auf einem Maskenmaterial 108 enthält. Eine Anordnung wie die nach 20 kann verwendet werden, wie oben bezüglich der 19C-19E erörtert worden ist, um die mikroelektronische Struktur 100 nach 18 zu bilden.In some embodiments, a “ductile” BCP can be used in a DSA-based technique that has no underlying metal 152 used on which a brush 110 can be replicated. Instead, you can use the brush 110 can be patterned using other techniques (e.g., lithography). 20th is z. B. is a top plan view of an assembly incorporating a patterned brush 110 on a mask material 108 contains. An arrangement like the one after 20th can be used as above regarding the 19C-19E has been discussed to the microelectronic structure 100 after 18th to build.

In einigen Ausführungsformen können die Leitungen 140 mit geringer LER in einem Metallisierungsstapel enthalten sein, wie im Folgenden bezüglich 29 erörtert wird. Die Leitungen 140 mit geringer LER in Übereinstimmung mit einer der hier offenbarten Ausführungsformen können z. B. ein Teil der M0-, M1-, M2- oder anderer Zusammenschaltungsschichten eines Metallisierungsstapels sein. In einigen Ausführungsformen können sich die Leitungen 140 mit geringer LER durch Durchkontaktierungen in einem Metallisierungsstapel in Kontakt befinden. In einigen derartigen Ausführungsformen können die Durchkontaktierungen unter Verwendung herkömmlicher Techniken gebildet werden, z. B. durch das Bilden von Öffnungen, die auf den Leitungen 140 mit geringer LER ankommen, unter Verwendung lithographischer Techniken und das Füllen dieser Öffnungen mit einem leitfähigen Material. In anderen Ausführungsformen können derartige Durchkontaktierungen unter Verwendung von Selbstausrichtungstechniken gebildet werden, um die Fehlausrichtung zu verringern, die auftreten kann, wenn herkömmliche Herangehensweisen verwendet werden. 21 ist z. B. eine Seiten-Querschnittsansicht einer mikroelektronischen Struktur 100, die die Durchkontaktierungen 166 in leitfähigen Kontakt mit den Leitungen 140 mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. 21 (und andere der beigefügten Zeichnungen) veranschaulichen, dass die Durchkontaktierungen 166 das Leitungsmaterial 120 enthalten, wobei aber die Durchkontaktierungen 166 irgendwelche geeigneten Füll- und/oder Futtermaterialien enthalten können.In some embodiments, the lines 140 with low LER can be included in a metallization stack, as described below with respect to 29 is discussed. The lines 140 with low LER in accordance with one of the embodiments disclosed herein, e.g. B. be part of the M0, M1, M2 or other interconnection layers of a metallization stack. In some embodiments, the lines can be 140 with low LER are in contact through vias in a metallization stack. In some such embodiments, the vias may be formed using conventional techniques, e.g. B. by forming openings on the lines 140 arrive with a low LER using lithographic techniques and filling these openings with a conductive material. In other embodiments, such vias can be formed using self-alignment techniques to reduce the misalignment that can occur when using conventional approaches. 21 is z. B. a side cross-sectional view of a microelectronic structure 100 that have made the vias 166 in conductive contact with the lines 140 with low LER, in accordance with various embodiments. 21 (and others of the accompanying drawings) illustrate the vias 166 the line material 120 included, but the vias 166 may contain any suitable filling and / or lining materials.

In der mikroelektronischen Struktur 100 nach 21 enthalten die Durchkontaktierungen 166 einen unteren Abschnitt, der sich durch eine zweite Replikationsbürstenkomponente 158 (die im Folgenden weiter erörtert wird) erstreckt, und einen oberen Abschnitt, der sich durch einen Photolack 162 erstreckt. Der Photolack 162 kann ein dielektrisches Material sein, das Vernetzungselemente enthält, die durch EUV-Bestrahlung selektiv aktiviert werden können, wie im Folgenden weiter erörtert wird. Ein nicht mit einem Muster versehener Bereich 144 der mikroelektronischen Struktur 100 nach 21 kann eine ungeordnete lamellare Struktur 138, wie oben erörtert worden ist, und ein ungeordnetes dielektrisches Material 160 auf der ungeordneten lamellaren Struktur 138 enthalten.In the microelectronic structure 100 after 21 contain the vias 166 a lower portion that extends through a second replication brush component 158 (which will be discussed further below), and a top portion that extends through a photoresist 162 extends. The photoresist 162 may be a dielectric material containing crosslinking elements that can be selectively activated by EUV irradiation, as discussed further below. An unpatterned area 144 the microelectronic structure 100 after 21 can have a disordered lamellar structure 138 as discussed above and a disordered dielectric material 160 on the disordered lamellar structure 138 contain.

22A-22F veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 21 in Übereinstimmung mit verschiedenen Ausführungsformen. 22A ist eine Seiten-Querschnittsansicht einer Anordnung, die einen mit einem Muster versehenen Bereich 142 mit einer oder mehreren Leitungen 140 mit geringer LER in einem dielektrischen Material 102 und einen nicht mit einem Muster versehenen Bereich 144 mit einer ungeordneten lamellaren Struktur 138 enthält. Die Anordnung nach 22A kann die Form irgendeiner der mikroelektronischen Strukturen 100 annehmen, die oben bezüglich der 1-20 erörtert worden sind. 22A-22F illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 21 in accordance with various embodiments. 22A Figure 13 is a side cross-sectional view of an assembly having a patterned area 142 with one or more lines 140 with low LER in a dielectric material 102 and an unpatterned area 144 with a disordered lamellar structure 138 contains. The arrangement according to 22A can take the form of any of the microelectronic structures 100 assume the above regarding the 1-20 have been discussed.

22B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Bilden einer Replikationsbürste 192 auf der Anordnung nach 22A. Die Replikationsbürste 192 kann eine erste Replikationsbürstenkomponente 156 und eine zweite Replikationsbürstenkomponente 158 enthalten. Die erste Replikationsbürstenkomponente 156 kann bevorzugt an dem Leitungsmaterial 120 der Leitungen 140 mit geringem LER befestigt sein, während die zweite Replikationsbürstenkomponente 158 bevorzugt an dem dielektrischen Material 102 befestigt sein kann, um eine selbstorganisierte Replikationsbürste 192 zu bilden. Die Replikationsbürste 192 kann außerdem das ungeordnete dielektrische Material 160 enthalten, das keine selbstorganisierte Struktur aufweisen kann oder eine ungeordnete lamellare Struktur wie die nach 1C aufweisen kann. In einigen Ausführungsformen kann die erste Replikationsbürstenkomponente 156 (ein metall-selektives Bürstenmaterial) eine Oberflächenverankerungsgruppe aufweisen, die Phosphine, Thiol, Thiolat, Thioacetat, Disulfid, Alkylazid, Arylazid, Nitril, Phosphat, Silyl, Alkyl- und andere Phosphonatester, Phosphonamid, Sulfonamide, Sulfenat, Sulfinat, Sulfonat, Borsäure, Phosphonsäuren, Carbonsäuren, Phosphordichlorid, AlkeneoderAlkinmaterial umfasst. In einigen Ausführungsformen kann die zweite Replikationsbürstenkomponente 158 (ein dielektrikum-selektives Bürstenmaterial) eine Oberflächenverankerungsgruppe aus Hydroxyl, Aminen oder einer Carbonsäuregruppe aufweisen. 22B Figure 13 is a side cross-sectional view of an assembly subsequent to the formation of a replication brush 192 on the arrangement according to 22A . The replication brush 192 can be a first replication brush component 156 and a second replication brush component 158 contain. The first replication brush component 156 can preferably on the line material 120 of the lines 140 with a low LER, while the second replication brush component 158 preferably on the dielectric material 102 can be attached to a self-organized replication brush 192 to build. The replication brush 192 can also use the disordered dielectric material 160 which cannot have a self-organized structure or a disordered lamellar structure like the one after 1C may have. In some embodiments, the first replication brush component 156 (a metal-selective brush material) have a surface anchoring group, the phosphines, thiol, thiolate, thioacetate, disulfide, alkyl azide, aryl azide, nitrile, phosphate, silyl, alkyl and other phosphonate esters, phosphonamide, sulfonamides, sulfenate, sulfinate, sulfonate, boric acid, Phosphonic acids, carboxylic acids, phosphorus dichloride, alkenes or alkene material. In some embodiments, the second replication brush component 158 (a dielectric-selective brush material) have a surface anchoring group of hydroxyl, amines or a carboxylic acid group.

22C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Abscheiden eines Photolacks 162 auf der Anordnung nach 22B. Der Photolack 162 kann Vernetzungselemente enthalten, die sich bei einer Aktivierung durch EUV-Bestrahlung vernetzen, wenn sie sich in Gegenwart der ersten Replikationsbürstenkomponente 156 befinden, und sich ansonsten nicht vernetzen. In einigen Ausführungsformen kann der Photolack 162 und/oder die erste Replikationsbürstenkomponente 156 Photosäuregeneratormoleküle (PAG-Moleküle) enthalten, die bei Ultraviolett- (UV-) Belichtung (z. B. EUV-Belichtung) eine Säure erzeugen, um eine Vernetzung des Photolacks 162 zu verursachen; der vernetzte Photolack 164, der im Folgenden erörtert wird, kann dann selektiv entfernt werden. In einigen Ausführungsformen kann der Photolack 162 und/oder die zweite Replikationsbürstenkomponente 158 Löschermoleküle enthalten, die bei UV-Belichtung bewirken, dass die durch den Photolack 162 erzeugte Säure in den Bereichen über der zweiten Replikationsbürstenkomponente 158 gelöscht wird, um die Vernetzung des Photolacks 162 in den Bereichen über der zweiten Replikationsbürstenkomponente 158 zu verhindern; der vernetzte Photolack 164, der im Folgenden erörtert wird, kann dann selektiv entfernt werden. Allgemeiner können die erste Replikationsbürstenkomponente 156, die zweite Replikationsbürstenkomponente 158 und/oder der Photolack 162 Katalysatoren enthalten, die die Vernetzung des Photolacks 162 bei der Belichtung mit UV-Strahlung selektiv lokalisieren können. 22C Fig. 3 is a side cross-sectional view of an assembly following Fig Deposition of a photoresist 162 on the arrangement according to 22B . The photoresist 162 may contain crosslinking elements which crosslink upon activation by EUV irradiation when they become in the presence of the first replication brush component 156 and otherwise not network. In some embodiments, the photoresist can 162 and / or the first replication brush component 156 Contain photoacid generator molecules (PAG molecules) that generate an acid when exposed to ultraviolet (UV) exposure (e.g. EUV exposure) in order to cross-link the photoresist 162 to cause; the networked photoresist 164 , which is discussed below, can then be selectively removed. In some embodiments, the photoresist can 162 and / or the second replication brush component 158 Contain extinguishing molecules which, when exposed to UV light, cause the penetration through the photoresist 162 acid generated in the areas above the second replication brush component 158 is deleted to the networking of the photoresist 162 in the areas above the second replication brush component 158 to prevent; the networked photoresist 164 , which is discussed below, can then be selectively removed. More generally, the first replication brush component 156 , the second replication brush component 158 and / or the photoresist 162 Contain catalysts that cross-link the photoresist 162 can selectively localize when exposed to UV radiation.

22D ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Belichten des Photolacks 162 der Anordnung nach 22C mit einer EUV-Strahlung (z. B. einer EUV-„Flut“), was einen vernetzten Photolack 164 in den Volumina des Photolacks 162 in der Nähe der ersten Replikationsbürstenkomponente 156 bildet. 22D Figure 3 is a side cross-sectional view of an assembly following exposure of the photoresist 162 according to the arrangement 22C with EUV radiation (e.g. an EUV "flood"), which creates a cross-linked photoresist 164 in the volumes of the photoresist 162 near the first replication brush component 156 forms.

22E ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des vernetzten Photolacks 164 von der Anordnung nach 22D (z. B. unter Verwendung einer geeigneten selektiven Ätztechnik). 22E Figure 13 is a side cross-sectional view of an assembly following removal of the crosslinked photoresist 164 according to the arrangement 22D (e.g. using a suitable selective etching technique).

22F ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der ersten Replikationsbürstenkomponente 156 von der Anordnung nach 22E (z. B. unter Verwendung einer geeigneten selektiven Ätztechnik) und dann das Füllen der Öffnungen mit dem Leitungsmaterial 120, um die Durchkontaktierungen 166 zu bilden. Die Anordnung nach 22F kann die Form der mikroelektronischen Struktur 100 nach 21 annehmen. 22F Figure 13 is a side cross-sectional view of an assembly following removal of the first replication brush component 156 according to the arrangement 22E (e.g. using a suitable selective etching technique) and then filling the openings with the lead material 120 to get the vias 166 to build. The arrangement according to 22F can take the shape of the microelectronic structure 100 after 21 accept.

Die 21 und 22 veranschaulichen eine mikroelektronische Struktur 100, die die Durchkontaktierungen 166 enthalten kann, die durch eine Technik, die ein EUV-Fluten enthält, als ein Muster gebildet worden sind. In anderen Ausführungsformen können die Durchkontaktierungen 166 unter Verwendung der selektiven Anwendung von UV-Strahlung als ein Muster gebildet werden. 23 ist z. B. eine Seiten-Querschnittsansicht einer weiteren mikroelektronischen Struktur 100, die die Durchkontaktierungen 166 in leitfähigen Kontakt mit den Leitungen 140 mit geringer LER enthält, in Übereinstimmung mit verschiedenen Ausführungsformen. Die mikroelektronische Struktur 100 nach 23 benutzt viele Elemente mit der mikroelektronischen Struktur 100 nach 21 gemeinsam; zur einfachen Erörterung wird eine Beschreibung dieser Elemente nicht wiederholt, wobei diese Elemente die Form irgendeiner der hier offenbarten Ausführungsformen dieser Elemente annehmen können. In der Ausführungsform nach 23 können jedoch die Durchkontaktierungen 166 nicht über den Leitungen 140 mit geringer LER zentriert sein, sondern können stattdessen an dem Kreuzungspunkt zwischen dem Volumen über den Leitungen 140 mit geringer LER und dem Bereich, auf den EUV-Strahlung selektiv angewendet wird, ausgebildet sein, wie im Folgenden erörtert wird.the 21 and 22nd illustrate a microelectronic structure 100 that have made the vias 166 formed as a pattern by a technique including EUV flooding. In other embodiments, the vias 166 using the selective application of UV radiation as a pattern. 23 is z. B. a side cross-sectional view of another microelectronic structure 100 that have made the vias 166 in conductive contact with the lines 140 with low LER, in accordance with various embodiments. The microelectronic structure 100 after 23 uses many elements with the microelectronic structure 100 after 21 together; Description of these elements will not be repeated for ease of discussion, and these elements may take the form of any of the embodiments of those elements disclosed herein. In the embodiment according to 23 however, the vias 166 not over the lines 140 with low LER but can instead be centered at the intersection point between the volumes above the lines 140 with low LER and the area to which EUV radiation is selectively applied, as discussed below.

Die 24A-24C veranschaulichen die Stufen in einem beispielhaften Prozess zum Herstellen der mikroelektronischen Struktur 100 nach 23 in Übereinstimmung mit verschiedenen Ausführungsformen. 24A ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Belichten des Photolacks 162 der Anordnung nach 22C mit einer mit einem Muster versehenen EUV-Strahlung (wobei die Bereiche der EUV-Strahlung durch die gestrichelten Linien angegeben sind), was einen vernetzter Photolack 164 am Kreuzungspunkt zwischen den EUV-Strahlungsvolumina und den Volumina des Photolacks 162 in der Nähe der ersten Replikationsbürstenkomponente 156 bildet.the 24A-24C illustrate the steps in an exemplary process for fabricating the microelectronic structure 100 after 23 in accordance with various embodiments. 24A Figure 3 is a side cross-sectional view of an assembly following exposure of the photoresist 162 according to the arrangement 22C with patterned EUV radiation (with the areas of EUV radiation indicated by the dashed lines), which is a crosslinked photoresist 164 at the point of intersection between the EUV radiation volumes and the volumes of the photoresist 162 near the first replication brush component 156 forms.

24B ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen des vernetzten Photolacks 164 von der Anordnung nach 24A (z. B. unter Verwendung einer geeigneten selektiven Ätztechnik). 24B Figure 13 is a side cross-sectional view of an assembly following removal of the crosslinked photoresist 164 according to the arrangement 24A (e.g. using a suitable selective etching technique).

24C ist eine Seiten-Querschnittsansicht einer Anordnung im Anschluss an das Entfernen der ersten Replikationsbürstenkomponente 156 von der Anordnung nach 24B (z. B. unter Verwendung einer geeigneten selektiven Ätztechnik) und dann das Füllen der Öffnungen mit dem Leitungsmaterial 122, was die Durchgangsöffnungen 166 bildet. Die Anordnung nach 24C kann die Form der mikroelektronischen Struktur 100 nach 23 annehmen. 24C Figure 13 is a side cross-sectional view of an assembly following removal of the first replication brush component 156 according to the arrangement 24B (e.g. using a suitable selective etching technique) and then filling the openings with the lead material 122 what the through holes 166 forms. The arrangement according to 24C can take the shape of the microelectronic structure 100 after 23 accept.

Der oben bezüglich der 4-5, 6-7 und 12-13 erörterte Fertigungsprozess enthält auf Abstandshaltern basierende Abstands-Teilungs-Techniken. Die speziellen Abstands-Teilungs-Techniken nach den 5, 7 und 13 sind Abstands-Halbierungs-Techniken (unter Verwendung einer Runde der Abstandshalterbildung), wobei aber in anderen Ausführungsformen stattdessen eine Abstands-Viertelungs-Technik (unter Verwendung von zwei Runden der Abstandshalterbildung) verwendet werden kann, um kleinere Merkmalsgrößen zu erhalten. Die Verwendung derartiger Abstands-Teilungs-Techniken im Prozess des Bildens von Leitungen 140 mit geringer LER in einem mit einem Muster versehenen Bereich 142 kann in einer mikroelektronischen Struktur 100 durch das Vorhandensein von Abstands-Teilungs-Artefakten in der mikroelektronischen Struktur 100 bewiesen werden. Infolge der Art und Weise, in der sich die Breite verschiedener Elemente durch die Abstands-Teilungs-Technik zu den Leitungsbreiten 174 und den Zwischenzeilen-Zwischenräumen 176 ausbreitet, können die Leitungsbreiten 174 und die Zwischenzeilen-Zwischenräume 176 z. B. eine Periodizität über mehrere der Leitungen 140 mit geringer LER aufweisen. Eine derartige Periodizität kann als ein Abstands-Teilungs-Artefakt in der mikroelektronischen Struktur 100 dienen, das einen Beweis für die Verwendung einer Abstands-Teilungs-Technik während der Herstellung bereitstellt. Ein weiteres Beispiel eines Abstands-Teilungs-Artefakts, das in einer mikroelektronischen Struktur 100 auftreten kann, sind verschachtelte und/oder abgerundete Halbringmuster im dielektrischen Material 102, die den Enden der Abstandshalter 124 entsprechen. Die 25, 26 und 27 sind Draufsichten auf die mikroelektronischen Strukturen 100 nach den 4, 6 bzw. 12, die derartige verschachtelten und abgerundeten Muster 168 in der Nähe eines Umfangs der mit einem Muster versehenen Bereiche 142 veranschaulichen; in den Ausführungsformen, in denen eine Abstands-Viertelungs-Technik anstelle einer Abstands-Halbierungs-Technik verwendet wird, können mehr „Halbringe“ Teil der Muster 168 sein. Das Vorhandensein derartiger verschachtelter und/oder abgerundeter Muster kann als Abstands-Teilungs-Artefakt in der mikroelektronischen Struktur 100 dienen, das einen Beweis für die Verwendung einer Abstands-Teilungs-Technik während der Herstellung bereitstellt. Andere Abstands-Teilungs-Artefakte können anstelle von oder zusätzlich zu einem oder mehreren dieser Artefakte vorhanden sein. Die auf Abstandshaltern basierende Abstands-Teilung, wie sie oben erörtert worden ist, kann eine einzige Größe eines Merkmals (entweder eine Leitungsbreite oder eine Breite eines Zwischenraums zwischen den Leitungen) aufweisen, die durch die ALD-Abstandshalterabscheidung definiert ist. Die Dicke der ALD-Abstandshalterabscheidung kann diese Größe bestimmen.The one above regarding the 4-5 , 6-7 and 12-13 The manufacturing process discussed includes spacer-based spacer-dividing techniques. The special spacing-division techniques according to the 5 , 7th and 13th are Space-halving techniques (using one round of spacer formation), but in other embodiments a space-quartering technique (using two rounds of spacer formation) can be used instead to obtain smaller feature sizes. The use of such pitch-sharing techniques in the process of forming conduits 140 with low LER in a patterned area 142 can be in a microelectronic structure 100 by the presence of space-division artifacts in the microelectronic structure 100 be proven. As a result of the way in which the widths of various elements are divided into the line widths by the spacing technique 174 and the interline spaces 176 spreads, the line widths 174 and the interline spaces 176 z. B. a periodicity over several of the lines 140 with a low LER. Such periodicity can be seen as a space-division artifact in the microelectronic structure 100 which provides evidence of the use of a pitch and pitch technique during manufacture. Another example of a space-sharing artifact residing in a microelectronic structure 100 can occur are nested and / or rounded half-ring patterns in the dielectric material 102 covering the ends of the spacers 124 correspond. the 25th , 26th and 27 are top views of the microelectronic structures 100 after the 4th , 6th respectively. 12th that have such nested and rounded patterns 168 near a perimeter of the patterned areas 142 illustrate; in the embodiments in which a pitch quarter technique is used instead of a pitch bisection technique, more "half-rings" can be part of the patterns 168 be. The presence of such nested and / or rounded patterns can be a space-division artifact in the microelectronic structure 100 which provides evidence of the use of a pitch and pitch technique during manufacture. Other pitch-sharing artifacts may be present in place of, or in addition to, one or more of these artifacts. The spacer-based spacing division as discussed above may have a single feature size (either a line width or a width of space between lines) defined by the ALD spacer deposit. The thickness of the ALD spacer deposit can determine this size.

Die hier offenbarten mikroelektronischen Strukturen 100 können in jeder geeigneten elektronischen Komponente enthalten sein. Die 28-32 veranschaulichen verschiedene Beispiele von Vorrichtungen, die eine der hier offenbarten mikroelektronischen Strukturen 100 enthalten können.The microelectronic structures disclosed here 100 can be included in any suitable electronic component. the 28-32 illustrate various examples of devices that incorporate any of the microelectronic structures disclosed herein 100 may contain.

28 ist eine Draufsicht auf einen Wafer 1500 und die Dies 1502, die eine oder mehrere mikroelektronische Strukturen 100 in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten können. Der Wafer 1500 kann aus einem Halbleitermaterial bestehen und kann einen oder mehrere Dies 1502 mit mikroelektronischen Strukturen enthalten, die auf einer Oberfläche des Wafers 1500 ausgebildet sind. Jeder der Dies 1502 kann eine sich wiederholende Einheit eines Halbleiterprodukts sein, das irgendeine geeignete mikroelektronische Struktur enthält. Nachdem die Herstellung des Halbleiterprodukts abgeschlossen ist, kann der Wafer 1500 einem Vereinzelungsprozess unterzogen werden, bei dem die Dies 1502 voneinander getrennt werden, um diskrete „Chips“ des Halbleiterprodukts bereitzustellen. Der Die 1502 kann sowohl eine oder mehrere mikroelektronische Strukturen 100 (wie z. B. im Folgenden bezüglich 29 erörtert wird), einen oder mehrere Transistoren (z. B. einige der Transistoren 1640 nach 29, die im Folgenden erörtert werden) und/oder eine unterstützende Schaltungsanordnung, um elektrische Signale zu den Transistoren zu leiten, als auch irgendwelche anderen Schaltungskomponenten enthalten. In einigen Ausführungsformen kann der Wafer 1500 oder der Die 1502 eine Speichervorrichtung (z. B. eine Schreib-Lese-Speicher- (RAM-) Vorrichtung, wie z. B. eine statische RAM-(SRAM-) Vorrichtung, eine magnetische RAM- (MRAM-) Vorrichtung, eine Widerstands-RAM- (RRAM-) Vorrichtung, eine Vorrichtung des RAM mit leitfähiger Überbrückung (CBRAM-Vorrichtung) usw.), eine Logikvorrichtung (z. B. ein UND-, ODER-, NAND- oder NOR-Gatter) oder irgendein anderes geeignetes Schaltungselement enthalten. Mehrere dieser Vorrichtungen können auf einem einzigen Die 1502 kombiniert sein. Eine aus mehreren Speichervorrichtungen ausgebildete Speicheranordnung kann z. B. auf demselben Die 1502 wie eine Verarbeitungsvorrichtung (z. B. die Verarbeitungsvorrichtung 1802 nach 32) oder eine andere Logik, die konfiguriert ist, Informationen in den Speichervorrichtungen zu speichern oder in der Speicheranordnung gespeicherte Anweisungen auszuführen, ausgebildet sein. 28 Fig. 3 is a plan view of a wafer 1500 and the this 1502 that have one or more microelectronic structures 100 in accordance with any of the embodiments disclosed herein. The wafer 1500 can consist of a semiconductor material and can have one or more dies 1502 with microelectronic structures contained on a surface of the wafer 1500 are trained. Everyone of this 1502 may be a repeating unit of a semiconductor product containing any suitable microelectronic structure. After the manufacture of the semiconductor product is completed, the wafer can 1500 be subjected to a separation process in which the dies 1502 separated from each other to provide discrete “chips” of the semiconductor product. The Die 1502 can be both one or more microelectronic structures 100 (such as in the following regarding 29 is discussed), one or more transistors (e.g. some of the transistors 1640 after 29 (discussed below) and / or supporting circuitry to route electrical signals to the transistors, as well as any other circuit components. In some embodiments, the wafer 1500 or the die 1502 a storage device (e.g., a random access memory (RAM) device such as a static RAM (SRAM) device, a magnetic RAM (MRAM) device, a resistive RAM (RRAM) device, a device of the RAM with conductive bridging (CBRAM device), etc.), a logic device (e.g. an AND, OR, NAND or NOR gate) or any other suitable circuit element. Multiple of these devices can be used on a single die 1502 be combined. A memory array formed from a plurality of memory devices can e.g. B. on the same die 1502 such as a processing device (e.g., the processing device 1802 after 32 ) or some other logic that is configured to store information in the memory devices or to execute instructions stored in the memory arrangement.

29 ist eine Seiten-Querschnittsansicht einer mikroelektronischen Vorrichtung 1600, die eine oder mehrere mikroelektronische Strukturen 100 in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten kann. Eine oder mehrere der mikroelektronischen Vorrichtungen 1600 können in einem oder mehreren Dies 1502 (28) enthalten sein. Die mikroelektronische Vorrichtung 1600 kann auf einem Substrat 1602 (z. B. dem Wafer 1500 nach 28) ausgebildet sein und kann in einem Die (z. B. dem Die 1502 nach 28) enthalten sein. Das Substrat 1602 kann ein Halbleitersubstrat sein, das aus Halbleitermaterialsystemen besteht, die z. B. n-Typ- oder p-Typ-Materialsysteme (oder eine Kombination aus beiden) enthalten. Das Substrat 1602 kann z. B. ein kristallines Substrat enthalten, das unter Verwendung einer Volumen-Silicium- oder einer Silicium-auf-Isolator- (SOI-) Unterstruktur gebildet wird. In einigen Ausführungsformen kann das Substrat 1602 unter Verwendung alternativer Materialien ausgebildet sein, die mit Silicium kombiniert sein können oder nicht, die Germanium, Indiumantimonid, Bleitellurid, Indiumarsenid, Indiumphosphid, Galliumarsenid oder Galliumantimonid enthalten, aber nicht darauf eingeschränkt sind. Weitere Materialien, die als Gruppe II-VI, III-V oder IV klassifiziert sind, können außerdem verwendet werden, um das Substrat 1602 zu bilden. Obwohl hier einige Beispiele der Materialien, aus denen das Substrat 1602 ausgebildet sein kann, beschrieben werden, kann irgendein Material verwendet werden, das als eine Grundlage für eine mikroelektronische Vorrichtung 1600 dienen kann. Das Substrat 1602 kann ein Teil eines vereinzelten Dies (z. B. der Dies 1502 nach 28) oder eines Wafers (z. B. des Wafers 1500 nach 28) sein. 29 Figure 3 is a side cross-sectional view of a microelectronic device 1600 that have one or more microelectronic structures 100 in accordance with any of the embodiments disclosed herein. One or more of the microelectronic devices 1600 can be in one or more dies 1502 ( 28 ) must be included. The microelectronic device 1600 can on a substrate 1602 (e.g. the wafer 1500 after 28 ) and can be formed in a die (e.g. the die 1502 after 28 ) must be included. That Substrate 1602 may be a semiconductor substrate which consists of semiconductor material systems which, for. B. contain n-type or p-type material systems (or a combination of both). The substrate 1602 can e.g. B. contain a crystalline substrate formed using a bulk silicon or a silicon-on-insulator (SOI) substructure. In some embodiments, the substrate 1602 be formed using alternative materials, which may or may not be combined with silicon, including, but not limited to, germanium, indium antimonide, lead telluride, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide. Other materials classified as Group II-VI, III-V, or IV can also be used to make the substrate 1602 to build. Although here are some examples of the materials that make up the substrate 1602 Any material that can be used as a basis for a microelectronic device 1600 can serve. The substrate 1602 can be part of an isolated die (e.g. the dies 1502 after 28 ) or a wafer (e.g. the wafer 1500 after 28 ) be.

Die mikroelektronische Vorrichtung 1600 kann eine oder mehrere Vorrichtungsschichten 1604 enthalten, die auf dem Substrat 1602 angeordnet sind. Die Vorrichtungsschicht 1604 kann die Merkmale eines oder mehrerer Transistoren 1640 (z. B. Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs)) enthalten, die auf dem Substrat 1602 ausgebildet sind. Die Vorrichtungsschicht 1604 kann z. B. einen oder mehrere Source- und/oder Drain-(S/D)-Bereiche 1620, ein Gate 1622, um den Stromfluss in den Transistoren 1640 zwischen den S/D-Bereichen 1620 zu steuern, und einen oder mehrere S/D-Kontakte 1624, um elektrische Signale zu/von den S/D-Bereichen 1620 zu leiten, enthalten. Die Transistoren 1640 können zusätzliche Merkmale enthalten, die um der Klarheit willen nicht dargestellt sind, wie z. B. Vorrichtungs-Isolationsbereiche, Gate-Kontakte und dergleichen. Die Transistoren 1640 sind nicht auf den Typ und die Konfiguration, die in 29 dargestellt sind, eingeschränkt und können eine umfangreiche Vielfalt anderer Typen und Konfigurationen enthalten, wie z. B. planare Transistoren, nicht planare Transistoren oder eine Kombination aus beiden. Die planaren Transistoren können Bipolartransistoren (BJT), Bipolartransistoren mit Heteroübergang (HBT) oder Transistoren mit hoher Elektronenbeweglichkeit (HEMT) enthalten. Die nicht planaren Transistoren können FinFET-Transistoren, wie z. B. Doppel-Gate-Transistoren oder Drei-Gate-Transistoren, und Herumwickel- oder Rundum-Gate-Transistoren, wie z. B. Nanoband- und Nanodraht-Transistoren, enthalten.The microelectronic device 1600 may be one or more device layers 1604 included that on the substrate 1602 are arranged. The device layer 1604 may have the characteristics of one or more transistors 1640 (e.g. Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs)) on the substrate 1602 are trained. The device layer 1604 can e.g. B. one or more source and / or drain (S / D) regions 1620 , a gate 1622 to control the flow of current in the transistors 1640 between the S / D areas 1620 to control, and one or more S / D contacts 1624 to get electrical signals to / from the S / D areas 1620 to direct, included. The transistors 1640 may include additional features not shown for clarity, such as: B. device isolation areas, gate contacts and the like. The transistors 1640 are inconsistent with the type and configuration included in 29 and may include a wide variety of other types and configurations such as: B. planar transistors, non-planar transistors, or a combination of both. The planar transistors can include bipolar transistors (BJT), heterojunction bipolar transistors (HBT), or high electron mobility transistors (HEMT). The non-planar transistors can be FinFET transistors, such as. B. double-gate transistors or three-gate transistors, and wrap-around or wrap-around gate transistors, such as. B. Nanoband and nanowire transistors included.

Jeder Transistor 1640 kann ein Gate 1622 enthalten, das aus wenigstens zwei Schichten, einem Gate-Dielektrikum und einer Gate-Elektrode, ausgebildet ist. Das Gate-Dielektrikum kann eine Schicht oder einen Stapel von Schichten enthalten. Die eine oder die mehreren Schichten können Siliciumoxid, Siliciumdioxid, Siliciumcarbid und/oder ein dielektrisches Material mit hohem k enthalten. Das dielektrische Material mit hohem k kann Elemente, wie z. B. Hafnium, Silicium, Sauerstoff, Titan, Tantal, Lanthan, Aluminium, Zirkon, Barium, Strontium, Yttrium, Blei, Scandium, Niob und Zink, enthalten. Die Beispiele der Materialien mit hohem k, die im Gate-Dielektrikum verwendet werden können, enthalten, sind aber nicht eingeschränkt auf, Hafniumoxid, Hafnium-Silicium-Oxid, Lanthanoxid, Lanthan-Aluminium-Oxid, Zirkonoxid, Zirkon-Silicium-Oxid, Tantaloxid, Titanoxid, Barium-Strontium-Titan-Oxid, Barium-Titan-Oxid, Strontium-Titan-Oxid, Yttriumoxid, Aluminiumoxid, Blei-Scandium-Tantal-Oxid und Blei-Zink-Niobat. In einigen Ausführungsformen kann an dem Gate-Dielektrikum ein Glühprozess ausgeführt werden, um seine Qualität zu verbessern, wenn ein Material mit hohem k verwendet wird.Every transistor 1640 can be a gate 1622 included, which is formed from at least two layers, a gate dielectric and a gate electrode. The gate dielectric can include one layer or a stack of layers. The one or more layers may include silicon oxide, silicon dioxide, silicon carbide, and / or a high-k dielectric material. The high-k dielectric material may include elements such as B. hafnium, silicon, oxygen, titanium, tantalum, lanthanum, aluminum, zirconium, barium, strontium, yttrium, lead, scandium, niobium and zinc. The examples of the high-k materials that can be used in the gate dielectric include, but are not limited to, hafnium oxide, hafnium-silicon oxide, lanthanum oxide, lanthanum-aluminum oxide, zirconium oxide, zirconium-silicon oxide, tantalum oxide , Titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, yttrium oxide, aluminum oxide, lead scandium tantalum oxide and lead zinc niobate. In some embodiments, an annealing process may be performed on the gate dielectric to improve its quality when a high-k material is used.

Die Gate-Elektrode kann auf dem Gate-Dielektrikum ausgebildet sein und kann abhängig davon, ob der Transistor 1640 ein p-Typ-Metall-Oxid-Halbleiter- (PMOS-) oder ein n-Typ-Metall-Oxid-Halbleiter- (NMOS-) Transistor sein soll, wenigstens ein p-Typ-Austrittsarbeits-Metall oder ein n-Typ-Austrittsarbeits-Metall enthalten. In einigen Implementierungen kann die Gate-Elektrode aus einem Stapel von zwei oder mehr Metallschichten bestehen, wobei eine oder mehrere Metallschichten Austrittsarbeits-Metallschichten sind und wenigstens eine Metallschicht eine Füllmetallschicht ist. Weitere Metallschichten können für andere Zwecke enthalten sein, wie z. B. als eine Barrierenschicht. Für einen PMOS-Transistor enthalten die Metalle, die für die Gate-Elektrode verwendet werden können, Ruthenium, Palladium, Platin, Kobalt, Nickel, leitfähige Metalloxide (z. B. Rutheniumoxid) und irgendwelche der Metalle, die im Folgenden bezüglich eines NMOS-Transistors erörtert werden, (z. B. zur Abstimmung der Austrittsarbeit), wobei sie aber nicht auf diese eingeschränkt sind. Für einen NMOS-Transistor enthalten die Metalle, die für die Gate-Elektrode verwendet werden können, Hafnium, Zirkon, Titan, Tantal, Aluminium, Legierungen dieser Metalle, Carbide dieser Metalle (z. B. Hafniumcarbid, Zirkoncarbid, Titancarbid, Tantalcarbid und Aluminiumcarbid) und irgendeines der Metalle, die oben bezüglich eines einen PMOS-Transistor erörtert worden sind, (z. B. zur Abstimmung der Austrittsarbeit), wobei sie aber nicht auf diese eingeschränkt sind.The gate electrode can be formed on the gate dielectric and, depending on whether the transistor 1640 be a p-type metal-oxide-semiconductor (PMOS) or an n-type metal-oxide-semiconductor (NMOS) transistor, at least one p-type work function metal or an n-type Work function metal included. In some implementations, the gate electrode may consist of a stack of two or more metal layers, where one or more metal layers are work function metal layers and at least one metal layer is a fill metal layer. Additional metal layers can be included for other purposes, such as e.g. B. as a barrier layer. For a PMOS transistor, the metals that can be used for the gate electrode include ruthenium, palladium, platinum, cobalt, nickel, conductive metal oxides (e.g. ruthenium oxide), and any of the metals discussed below with respect to an NMOS Transistors are discussed (z. B. for tuning the work function), but they are not limited to this. For an NMOS transistor, the metals that can be used for the gate electrode include hafnium, zirconium, titanium, tantalum, aluminum, alloys of these metals, carbides of these metals (e.g. hafnium carbide, zirconium carbide, titanium carbide, tantalum carbide and aluminum carbide ) and any of the metals discussed above in relation to a PMOS transistor (e.g., to tune the work function), but are not limited to these.

In einigen Ausführungsformen kann die Gate-Elektrode, wenn sie als ein Querschnitt des Transistors 1640 entlang der Source-Kanal-Drain-Richtung betrachtet wird, aus einer U-förmigen Struktur bestehen, die einen Bodenabschnitt, der zur Oberfläche des Substrats im Wesentlichen parallel ist, und zwei Seitenwandabschnitte, die zur Oberseite des Substrats im Wesentlichen senkrecht sind, enthält. In anderen Ausführungsformen kann wenigstens eine der Metallschichten, die die Gate-Elektrode bilden, einfach eine ebene Schicht sein, die zur Oberseite des Substrats im Wesentlichen parallel ist und keine Seitenwandabschnitte enthält, die zur Oberseite des Substrats im Wesentlichen senkrecht sind. In anderen Ausführungsformen kann die Gate-Elektrode aus einer Kombination aus U-förmigen Strukturen und ebenen, nicht U-förmigen Strukturen bestehen. Die Gate-Elektrode kann z. B. aus einer oder mehreren U-förmigen Metallschichten bestehen, die oben auf einer oder mehreren ebenen, nicht U-förmigen Schichten ausgebildet sind.In some embodiments, the gate electrode when viewed as a cross section of the transistor 1640 viewed along the source-channel-drain direction, consist of a U-shaped structure having a bottom portion leading to the Surface of the substrate is substantially parallel, and includes two side wall portions that are substantially perpendicular to the top of the substrate. In other embodiments, at least one of the metal layers forming the gate electrode may simply be a planar layer that is substantially parallel to the top of the substrate and does not include sidewall portions that are substantially perpendicular to the top of the substrate. In other embodiments, the gate electrode can consist of a combination of U-shaped structures and planar, non-U-shaped structures. The gate electrode can, for. B. consist of one or more U-shaped metal layers formed on top of one or more flat, non-U-shaped layers.

In einigen Ausführungsformen kann ein Paar von Seitenwand-Abstandshaltern auf gegenüberliegenden Seiten des Gate-Stapels ausgebildet sein, um den Gate-Stapel einzuklammern. Die Seitenwand-Abstandshalter können aus Materialien, wie z. B. Siliciumnitrid, Siliciumoxid, Siliciumcarbid, mit Kohlenstoff dotiertem Siliciumnitrid und Siliciumoxynitrid, ausgebildet sein. Die Prozesse zum Bilden von Seitenwandabstandshaltern sind in der Technik wohlbekannt und enthalten im Allgemeinen Abscheidungs- und Ätzprozessschritte. In einigen Ausführungsformen können mehrere Abstandshalterpaare verwendet werden; es können z. B. zwei Paare, drei Paare oder vier Paare von Seitenwand-Abstandshaltern auf gegenüberliegenden Seiten des Gate-Stapels ausgebildet sein.In some embodiments, a pair of sidewall spacers can be formed on opposite sides of the gate stack to clamp the gate stack. The side wall spacers can be made of materials such as. B. silicon nitride, silicon oxide, silicon carbide, carbon-doped silicon nitride and silicon oxynitride. The processes for forming sidewall spacers are well known in the art and generally include deposition and etching process steps. In some embodiments, multiple pairs of spacers can be used; it can e.g. B. two pairs, three pairs, or four pairs of sidewall spacers can be formed on opposite sides of the gate stack.

Die S/D-Bereiche 1620 können innerhalb des Substrats 1602 dem Gate 1622 jedes Transistors 1640 benachbart ausgebildet sein. Die S/D-Bereiche 1620 können z. B. unter Verwendung eines Implantations-/Diffusionsprozesses oder eines Ätz-/Abscheidungsprozesses gebildet werden. Im ersteren Prozess können Dotierstoffe, wie z. B. Bor, Aluminium, Antimon, Phosphor oder Arsen, in das Substrat 1602 ionen implantiert werden, um die S/D-Bereiche 1620 zu bilden. Dem Ionenimplantationsprozess kann ein Glühprozess folgen, der die Dotierstoffe aktiviert und sie veranlasst, weiter in das Substrat 1602 zu diffundieren. Im letzteren Prozess kann das Substrat 1602 zuerst geätzt werden, um Aussparungen an den Orten der S/D-Bereiche 1620 zu bilden. Dann kann ein epitaktischer Abscheidungsprozess ausgeführt werden, um die Vertiefungen mit einem Material zu füllen, das verwendet wird, um die S/D-Bereiche 1620 herzustellen. In einigen Implementierungen können die S/D-Bereiche 1620 unter Verwendung einer Siliciumlegierung, wie z. B. Siliciumgermanium oder Siliciumcarbid, hergestellt werden. In einigen Ausführungsformen kann die epitaktisch abgeschiedene Siliciumlegierung in situ mit Dotierstoffen, wie z. B. Bor, Arsen oder Phosphor, dotiert werden. In einigen Ausführungsformen können die S/D-Bereiche 1620 unter Verwendung eines oder mehrerer alternativer Halbleitermaterialien, wie z. B. Germanium oder eines Materials oder einer Legierung der Gruppe III-V, gebildet werden. In weiteren Ausführungsformen können eine oder mehrere Schichten aus Metall und/oder Metalllegierungen verwendet werden, um die S/D-Bereiche 1620 zu bilden.The S / D areas 1620 can within the substrate 1602 the gate 1622 each transistor 1640 be formed adjacent. The S / D areas 1620 can e.g. Be formed using an implantation / diffusion process or an etch / deposition process. In the former process, dopants such as. B. boron, aluminum, antimony, phosphorus or arsenic, into the substrate 1602 Ions are implanted to the S / D areas 1620 to build. The ion implantation process can be followed by an annealing process that activates the dopants and causes them to continue into the substrate 1602 to diffuse. In the latter process, the substrate can 1602 first to be etched to make cutouts at the locations of the S / D areas 1620 to build. An epitaxial deposition process can then be performed to fill the recesses with a material that will be used to form the S / D areas 1620 to manufacture. In some implementations, the S / D areas 1620 using a silicon alloy, such as. B. silicon germanium or silicon carbide. In some embodiments, the epitaxially deposited silicon alloy can be coated in situ with dopants, such as. B. boron, arsenic or phosphorus, are doped. In some embodiments, the S / D areas 1620 using one or more alternative semiconductor materials, such as. B. germanium or a material or alloy of the group III-V can be formed. In further embodiments, one or more layers of metal and / or metal alloys can be used to define the S / D regions 1620 to build.

Elektrische Signale, wie z. B. Leistungs- und/oder Eingangs-/Ausgangssignale (E/A-Signale), können durch eine oder mehrere Zusammenschaltungsschichten, die auf der Vorrichtungsschicht 1604 angeordnet sind (die in 29 als die Zusammenschaltungsschichten 1606-1610 veranschaulicht sind), zu und/oder von den Vorrichtungen (z. B. den Transistoren 1640) der Vorrichtungsschicht 1604 geleitet werden. Die leitfähigen Merkmale der Vorrichtungsschicht 1604 (z. B. das Gate 1622 und die S/D-Kontakte 1624) können z. B. mit den Zusammenschaltungsstrukturen 1628 der Zusammenschaltungsschichten 1606-1610 elektrisch gekoppelt sein. Die eine oder die mehreren Zusammenschaltungsschichten 1606-1610 können einen Metallisierungsstapel (der außerdem als ein „ILD-Stapel“ bezeichnet wird) 1619 der mikroelektronischen Vorrichtung 1600 bilden. Irgendeine der hier offenbarten mikroelektronischen Strukturen 100 kann in irgendeiner der Zusammenschaltungsschichten eines Metallisierungsstapels 1619 enthalten sein.Electrical signals such as B. Power and / or input / output signals (I / O signals), may pass through one or more layers of interconnection that are on top of the device layer 1604 are arranged (which are in 29 than the interconnection layers 1606-1610 are illustrated), to and / or from the devices (e.g., the transistors 1640 ) the device layer 1604 be directed. The conductive features of the device layer 1604 (e.g. the gate 1622 and the S / D contacts 1624 ) can e.g. B. with the interconnection structures 1628 of the interconnection layers 1606-1610 be electrically coupled. The one or more interconnection layers 1606-1610 can use a metallization stack (also referred to as an "ILD stack") 1619 the microelectronic device 1600 form. Any of the microelectronic structures disclosed herein 100 may be in any of the interconnect layers of a metallization stack 1619 be included.

Die Zusammenschaltungsstrukturen 1628 können innerhalb der Zusammenschaltungsschichten 1606-1610 angeordnet sein, um elektrische Signale gemäß einer umfangreichen Vielfalt von Entwürfen zu leiten (insbesondere ist die Anordnung nicht auf die in 29 dargestellte spezielle Konfiguration der Zusammenschaltungsstrukturen 1628 eingeschränkt). Obwohl in 29 eine spezielle Anzahl von Zusammenschaltungsschichten 1606-1610 dargestellt ist, enthalten die Ausführungsformen der vorliegenden Offenbarung mikroelektronische Vorrichtungen mit mehr oder weniger Zusammenschaltungsschichten als dargestellt.The interconnection structures 1628 can within the interconnection layers 1606-1610 be arranged to conduct electrical signals in accordance with a wide variety of designs (in particular, the arrangement is not limited to that in 29 The special configuration of the interconnection structures shown 1628 restricted). Although in 29 a specific number of interconnection layers 1606-1610 As illustrated, embodiments of the present disclosure include microelectronic devices with more or fewer interconnection layers than illustrated.

In einigen Ausführungsformen können die Zusammenschaltungsstrukturen 1628 die Leitungen 1628a und/oder die Durchkontaktierungen 1628b, die mit einem leitfähigen Material, wie z. B. einem Metall, gefüllt sind, enthalten. Die Leitungen 1628a können angeordnet sein, um elektrische Signale in einer Richtung einer Ebene zu leiten, die zu einer Oberfläche des Substrats 1602, auf der die Vorrichtungsschicht 1604 ausgebildet ist, im Wesentlichen parallel ist. Die Leitungen 1628a können z. B. elektrische Signale aus der Perspektive nach 29 in einer Richtung in die und aus der Seite leiten. Jede der Leitungen 1628a in einem Metallisierungsstapel 1619 kann die Form der hier offenbarten Leitungen 140 mit geringer LER annehmen; eine oder mehrere der Leitungen 1628a in einer Zusammenschaltungsschicht eines Metallisierungsstapels 1619 können z. B. Leitungen 140 mit geringer LER sein. Die Durchkontaktierungen 1628b können angeordnet sein, um elektrische Signale in einer Richtung einer Ebene leiten, die zur Oberfläche des Substrats 1602, auf dem die Vorrichtungsschicht 1604 ausgebildet ist, im Wesentlichen senkrecht ist. In einigen Ausführungsformen können die Durchkontaktierungen 1628b die Leitungen 1628a verschiedener Zusammenschaltungsschichten 1606-1610 elektrisch miteinander koppeln. Jede der Durchkontaktierungen 1628b in einem Metallisierungsstapel 1619 kann die Form der hier offenbarten Durchkontaktierungen 166 annehmen.In some embodiments, the interconnection structures 1628 the lines 1628a and / or the vias 1628b coated with a conductive material such as B. a metal, are included. The lines 1628a may be arranged to conduct electrical signals in a direction of a plane leading to a surface of the substrate 1602 on which the device layer 1604 is formed is substantially parallel. The lines 1628a can e.g. B. electrical signals from the perspective 29 in one direction in and out of the page. Each of the lines 1628a in a metallization stack 1619 may take the form of the lines disclosed herein 140 accept with low LER; one or more of the lines 1628a in a Interconnection layer of a metallization stack 1619 can e.g. B. Lines 140 be with low LER. The vias 1628b can be arranged to conduct electrical signals in one direction of a plane facing the surface of the substrate 1602 on which the device layer 1604 is formed, is substantially perpendicular. In some embodiments, the vias 1628b the lines 1628a different interconnection layers 1606-1610 electrically couple with each other. Each of the vias 1628b in a metallization stack 1619 may take the form of the vias disclosed herein 166 accept.

Die Zusammenschaltungsschichten 1606-1610 können ein dielektrisches Material 1626 enthalten, das zwischen den Zusammenschaltungsstrukturen 1628 angeordnet ist, wie in 29 gezeigt ist. In einigen Ausführungsformen kann das dielektrische Material 1626, das zwischen den Zusammenschaltungsstrukturen 1628 in verschiedenen der Zusammenschaltungsschichten 1606-1610 angeordnet ist, unterschiedliche Zusammensetzungen aufweisen; in anderen Ausführungsformen kann die Zusammensetzung des dielektrischen Materials 1626 zwischen verschiedenen Zusammenschaltungsschichten 1606-1610 die gleiche sein.The interconnection layers 1606-1610 can be a dielectric material 1626 included that between the interconnection structures 1628 is arranged as in 29 is shown. In some embodiments, the dielectric material can 1626 that is between the interconnection structures 1628 in various of the interconnection layers 1606-1610 arranged to have different compositions; in other embodiments, the composition of the dielectric material may be 1626 between different interconnection layers 1606-1610 be the same.

Eine erste Zusammenschaltungsschicht 1606 kann über der Vorrichtungsschicht 1604 ausgebildet sein. In einigen Ausführungsformen kann die erste Zusammenschaltungsschicht 1606 die Leitungen 1628a und/oder die Durchkontaktierungen 1628b enthalten, wie gezeigt ist. Die Leitungen 1628a der ersten Zusammenschaltungsschicht 1606 können mit den Kontakten (z. B. den S/D-Kontakten 1624) der Vorrichtungsschicht 1604 gekoppelt sein. Die erste Zusammenschaltungsschicht 1606 kann als die „MO“-Zusammenschaltungsschicht bezeichnet werden, wobei in einigen Ausführungsformen die M0-Zusammenschaltungsschicht irgendeine der hier offenbarten Leitungen 140 mit geringer LER enthalten kann. In einigen Ausführungsformen kann die M0-Zusammenschaltungsschicht irgendeinen geeigneten Abschnitt einer der hier offenbarten mikroelektronischen Strukturen 100 enthalten.A first layer of interconnection 1606 can over the device layer 1604 be trained. In some embodiments, the first layer of interconnection 1606 the lines 1628a and / or the vias 1628b included as shown. The lines 1628a the first layer of interconnection 1606 can with the contacts (e.g. the S / D contacts 1624 ) the device layer 1604 be coupled. The first layer of interconnection 1606 may be referred to as the "MO" interconnection layer, with in some embodiments the M0 interconnection layer being any of the lines disclosed herein 140 with low LER. In some embodiments, the M0 interconnection layer can be any suitable portion of any of the microelectronic structures disclosed herein 100 contain.

Eine zweite Zusammenschaltungsschicht 1608 kann über der ersten Zusammenschaltungsschicht 1606 ausgebildet sein. In einigen Ausführungsformen kann die zweite Zusammenschaltungsschicht 1608 die Durchkontaktierungen 1628b enthalten, um die Leitungen 1628a der zweiten Zusammenschaltungsschicht 1608 mit den Leitungen 1628a der ersten Zusammenschaltungsschicht 1606 zu koppeln. Obwohl die Leitungen 1628a und die Durchkontaktierungen 1628b um der Klarheit willen mit einer Linie innerhalb jeder Zusammenschaltungsschicht (z. B. innerhalb der zweiten Zusammenschaltungsschicht 1608) abgegrenzt sind, können die Leitungen 1628a und die Durchkontaktierungen 1628b in einigen Ausführungsformen strukturell und/oder materiell zusammenhängend sein (z. B. gleichzeitig während eines Dual-Damascene-Prozesses gefüllt werden). Die zweite Zusammenschaltungsschicht 1608 kann als die „M1”-Zusammenschaltungsschicht bezeichnet werden, wobei in einigen Ausführungsformen die M1-Zusammenschaltungsschicht irgendeine der hier offenbarten Leitungen 140 mit geringer LER enthalten kann. In einigen Ausführungsformen kann die M1-Zusammenschaltungsschicht irgendeinen geeigneten Abschnitt irgendeiner der hier offenbarten mikroelektronischen Strukturen 100 enthalten.A second layer of interconnection 1608 can be over the first layer of interconnection 1606 be trained. In some embodiments, the second layer of interconnection 1608 the vias 1628b included to the lines 1628a the second interconnection layer 1608 with the lines 1628a the first layer of interconnection 1606 to pair. Although the lines 1628a and the vias 1628b with a line within each interconnection layer (e.g., within the second interconnection layer) for clarity 1608 ) are delimited, the lines 1628a and the vias 1628b in some embodiments structurally and / or materially contiguous (e.g., being filled simultaneously during a dual damascene process). The second layer of interconnection 1608 may be referred to as the "M1" interconnection layer, with in some embodiments the M1 interconnection layer being any of the lines disclosed herein 140 with low LER. In some embodiments, the M1 interconnection layer can be any suitable portion of any of the microelectronic structures disclosed herein 100 contain.

Eine dritte Zusammenschaltungsschicht 1610 (und auf Wunsch zusätzliche Zusammenschaltungsschichten) können nacheinander auf der zweiten Zusammenschaltungsschicht 1608 gemäß ähnlichen Techniken und Konfigurationen ausgebildet sein, die im Zusammenhang mit der zweiten Zusammenschaltungsschicht 1608 oder der ersten Zusammenschaltungsschicht 1606 beschrieben worden sind. Die dritte Zusammenschaltungsschicht 1610 kann als die „M2“-Zusammenschaltungsschicht bezeichnet werden, wobei in einigen Ausführungsformen die M2-Zusammenschaltungsschicht irgendeine der hier offenbarten Leitungen 140 mit geringer LER enthalten kann. In einigen Ausführungsformen kann die M2-Zusammenschaltungsschicht irgendeinen geeigneten Abschnitt irgendeiner der hier offenbarten mikroelektronischen Strukturen 100 enthalten. In einigen Ausführungsformen können die Zusammenschaltungsschichten, die sich „weiter oben“ im Metallisierungsstapel 1619 in der mikroelektronischen Vorrichtung 1600 (d. h., weiter entfernt von der Vorrichtungsschicht 1604) befinden, dicker sein.A third layer of interconnection 1610 (and additional interconnection layers if desired) can be sequentially placed on the second interconnection layer 1608 may be formed in accordance with similar techniques and configurations associated with the second layer of interconnection 1608 or the first layer of interconnection 1606 have been described. The third layer of interconnection 1610 may be referred to as the "M2" interconnection layer, with in some embodiments the M2 interconnection layer being any of the lines disclosed herein 140 with low LER. In some embodiments, the M2 interconnection layer can be any suitable portion of any of the microelectronic structures disclosed herein 100 contain. In some embodiments, the interconnection layers that are “higher” in the metallization stack may be used 1619 in the microelectronic device 1600 (ie, further away from the device layer 1604 ) are thicker.

Die mikroelektronische Vorrichtung 1600 kann ein Lötabdecklackmaterial 1634 (z. B. Polyimid oder ein ähnliches Material) und einen oder mehrere leitfähige Kontakte 1636 enthalten, die auf den Zusammenschaltungsschichten 1606-1610 ausgebildet sind. In 29 ist veranschaulicht, dass die leitfähigen Kontakte 1636 die Form von Bondinseln annehmen. Die leitfähigen Kontakte 1636 können mit den Zusammenschaltungsstrukturen 1628 elektrisch gekoppelt und konfiguriert sein, die elektrischen Signale des Transistors (der Transistoren) 1640 zu anderen externen Vorrichtungen zu leiten. Auf dem einen oder den mehreren leitfähigen Kontakten 1636 können z. B. Lötverbindungen ausgebildet sein, um einen Chip, der die mikroelektronische Vorrichtung 1600 enthält, mechanisch und/oder elektrisch mit einer weiteren Komponente (z. B. einer Leiterplatte) zu koppeln. Die mikroelektronische Vorrichtung 1600 kann zusätzliche oder alternative Strukturen enthalten, um die elektrischen Signale von den Zusammenschaltungsschichten 1606-1610 zu leiten; die leitfähigen Kontakte 1636 können z. B. andere analoge Merkmale (z. B. Pfosten) enthalten, die die elektrischen Signale zu externen Komponenten leiten.The microelectronic device 1600 can be a solder resist material 1634 (e.g. polyimide or similar material) and one or more conductive contacts 1636 included on the interconnection layers 1606-1610 are trained. In 29 is illustrated that the conductive contacts 1636 take the form of bond islands. The conductive contacts 1636 can with the interconnection structures 1628 be electrically coupled and configured, the electrical signals of the transistor (s) 1640 to other external devices. On the one or more conductive contacts 1636 can e.g. B. solder connections may be formed to a chip that the microelectronic device 1600 contains to mechanically and / or electrically couple with another component (e.g. a circuit board). The microelectronic device 1600 may contain additional or alternative structures to carry the electrical signals from the interconnection layers 1606-1610 to direct; the conductive contacts 1636 can e.g. E.g. contain other analog features (e.g. posts) that route the electrical signals to external components.

30 ist eine Seiten-Querschnittsansicht einer beispielhaften mikroelektronischen Baugruppe 1650, die eine oder mehrere mikroelektronische Strukturen 100 in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten kann. In einigen Ausführungsformen kann die mikroelektronische Baugruppe 1650 ein System in einer Baugruppe (SiP) sein. 30th Figure 3 is a side cross-sectional view of an exemplary microelectronic assembly 1650 that have one or more microelectronic structures 100 in accordance with any of the embodiments disclosed herein. In some embodiments, the microelectronic assembly can 1650 be a system in an assembly (SiP).

Das Baugruppensubstrat 1652 kann aus einem dielektrischen Material (z. B. einer Keramik, einem Oberflächenfilm, einem Epoxidfilm mit Füllstoffpartikeln darin, einem Glas, einem organischen Material, einem anorganischen Material, Kombinationen aus organischen und anorganischen Materialien, eingebetteten Abschnitten, die aus verschiedenen Materialien ausgebildet sind, usw.) ausgebildet sein und kann leitfähige Pfade aufweisen, die sich zwischen der Fläche 1672 und der Fläche 1674 oder zwischen verschiedenen Stellen auf der Fläche 1672 und/oder zwischen verschiedenen Stellen auf der Fläche 1674 durch das dielektrische Material erstrecken. Diese leitfähigen Pfade können die Form irgendeiner der oben bezüglich der 29 erörterten Zusammenschaltungen 1628 annehmen.The assembly substrate 1652 may be made of a dielectric material (e.g. a ceramic, a surface film, an epoxy film with filler particles therein, a glass, an organic material, an inorganic material, combinations of organic and inorganic materials, embedded portions formed from various materials, etc.) and may have conductive paths extending between the surface 1672 and the area 1674 or between different places on the surface 1672 and / or between different locations on the surface 1674 extend through the dielectric material. These conductive paths can take the form of any of the above with respect to FIG 29 discussed interconnections 1628 accept.

Das Baugruppensubstrat 1652 kann die leitfähigen Kontakte 1663 enthalten, die an (nicht gezeigte) leitfähige Pfade durch das Baugruppensubstrat 1652 gekoppelt sind, was es ermöglicht, dass die Schaltungsanordnung innerhalb der Dies 1656 und/oder des „Interposers“ 1657 elektrisch an verschiedene der leitfähigen Kontakte 1664 (oder an nicht gezeigte andere im Baugruppensubstrat 1652 enthaltenen Vorrichtungen) gekoppelt sein können.The assembly substrate 1652 can make the conductive contacts 1663 containing conductive paths (not shown) through the assembly substrate 1652 are coupled, which allows the circuitry to be within the die 1656 and / or the "interposer" 1657 electrically to various of the conductive contacts 1664 (or to others not shown in the assembly substrate 1652 contained devices) can be coupled.

Die mikroelektronische Baugruppe 1650 kann einen „Interposer“ 1657 enthalten, der über die leitfähigen Kontakte 1661 des „Interposers“ 1657, die Zusammenschaltungen 1665 erster Ebene und die leitfähigen Kontakte 1663 des Baugruppensubstrats 1652 an das Baugruppensubstrat 1652 gekoppelt ist. Die in 30 veranschaulichten Zusammenschaltungen 1665 erster Ebene sind Lötperlen, wobei aber irgendwelche geeigneten Zusammenschaltungen 1665 erster Ebene verwendet werden können. In einigen Ausführungsformen kann in der mikroelektronischen Baugruppe 1650 kein „Interposer“ 1657 enthalten sein; stattdessen können die Dies 1656 durch die Zusammenschaltungen 1665 erster Ebene direkt an die leitfähigen Kontakte 1663 auf der Fläche 1672 gekoppelt sein. Allgemeiner können ein oder mehrere Dies 1656 über irgendeine geeignete Struktur (z. B. eine Siliciumbrücke, eine organische Brücke, einen oder mehrere Wellenleiter, einen oder mehrere „Interposer“, Drahtverbindungen usw.) an das Baugruppensubstrat 1652 gekoppelt sein.The microelectronic assembly 1650 can use an "interposer" 1657 included, the one via the conductive contacts 1661 of the "interposer" 1657 who have favourited interconnections 1665 first level and the conductive contacts 1663 of the assembly substrate 1652 to the assembly substrate 1652 is coupled. In the 30th illustrated interconnections 1665 first level are solder balls, but with any suitable interconnections 1665 first level can be used. In some embodiments, in the microelectronic assembly 1650 no "interposer" 1657 be included; instead, the dies 1656 through the interconnections 1665 first level directly to the conductive contacts 1663 on the surface 1672 be coupled. More generally, one or more dies 1656 via any suitable structure (e.g. a silicon bridge, an organic bridge, one or more waveguides, one or more "interposers", wire connections, etc.) to the assembly substrate 1652 be coupled.

Die mikroelektronische Baugruppe 1650 kann einen oder mehrere Dies 1656 enthalten, die über die leitfähigen Kontakte 1654 der Dies 1656, die Zusammenschaltungen 1658 erster Ebene und die leitfähigen Kontakte 1660 des „Interposers“ 1657 an den „Interposer“ 1657 gekoppelt sind. Die leitfähigen Kontakte 1660 können durch den „Interposer“ 1657 an (nicht gezeigte) leitfähigen Pfade gekoppelt sein, was es ermöglicht, dass die Schaltungsanordnung innerhalb der Dies 1656 an verschiedene der leitfähigen Kontakte 1661 (oder an nicht gezeigte andere im „Interposer“ 1657 enthaltenen Vorrichtungen) elektrisch gekoppelt sind. Die in 30 veranschaulichten Zusammenschaltungen 1658 erster Ebene sind Lötperlen, wobei aber irgendwelche geeignete Zusammenschaltungen 1658 erster Ebene verwendet werden können. Ein „leitfähiger Kontakt“, wie er hier verwendet wird, kann sich auf einen Abschnitt aus leitfähigem Material (z. B. einem Metall) beziehen, der als eine Schnittstelle zwischen verschiedenen Komponenten dient; wobei die leitfähigen Kontakte aus einer Oberfläche einer Komponente ausgespart sein können, mit einer Oberfläche einer Komponente bündig sein können oder sich von einer Oberfläche einer Komponente weg erstrecken können und irgendeine geeignete Form (z. B. eine leitfähige Kontaktfläche oder einen Sockel) annehmen können.The microelectronic assembly 1650 can be one or more dies 1656 included that via the conductive contacts 1654 the this 1656 who have favourited interconnections 1658 first level and the conductive contacts 1660 of the "interposer" 1657 to the "interposer" 1657 are coupled. The conductive contacts 1660 can through the "interposer" 1657 be coupled to conductive paths (not shown), which allows the circuitry within the dies 1656 to various of the conductive contacts 1661 (or to others not shown in the "Interposer" 1657 contained devices) are electrically coupled. In the 30th illustrated interconnections 1658 first level are solder balls, but with any suitable interconnections 1658 first level can be used. As used herein, a “conductive contact” may refer to a section of conductive material (e.g., a metal) that serves as an interface between various components; wherein the conductive contacts can be recessed from a surface of a component, can be flush with a surface of a component, or can extend from a surface of a component and take any suitable shape (e.g., a conductive pad or socket).

In einigen Ausführungsformen kann ein Unterfüllungsmaterial 1666 zwischen dem Baugruppensubstrat 1652 und dem „Interposer“ 1657 um die Zusammenschaltungen 1665 erster Ebene angeordnet sein, wobei eine Formmasse 1668 um die Dies 1656 und den „Interposer“ 1657 und in Kontakt mit dem Baugruppensubstrat 1652 angeordnet sein kann. In einigen Ausführungsformen kann das Unterfüllungsmaterial 1666 das gleiche wie die Formmasse 1668 sein. Beispielhafte Materialien, die für das Unterfüllungsmaterial 1666 und die Formmasse 1668 verwendet werden können, sind Epoxid-Formmaterialien, wie sie geeignet sind. Die Zusammenschaltungen 1670 zweiter Ebene können an die leitfähigen Kontakte 1664 gekoppelt sein. Die in 30 veranschaulichten Zusammenschaltungen 1670 zweiter Ebene sind Lötkugeln (z. B. für eine Kugelgitteranordnungs-Anordnung), wobei aber irgendwelche geeigneten Zusammenschaltungen 16770 zweiter Ebene (z. B. die Anschlussstifte in einer Anschlussstiftgitteranordnungs-Anordnung oder die „Lands“ in einer „Lands“-Gitteranordnungs-Anordnung) verwendet werden können. Die Zusammenschaltungen 1670 zweiter Ebene können verwendet werden, um die mikroelektronische Baugruppe 1650 an eine weitere Komponente, wie z. B. eine Leiterplatte (z. B. eine Hauptplatine), einen „Interposer“ oder eine weitere mikroelektronische Baugruppe, zu koppeln, wie in der Technik bekannt ist und wie im Folgenden bezüglich 31 erörtert wird.In some embodiments, an underfill material 1666 between the assembly substrate 1652 and the "interposer" 1657 about the interconnections 1665 be arranged first level, with a molding compound 1668 around the this 1656 and the "interposer" 1657 and in contact with the assembly substrate 1652 can be arranged. In some embodiments, the underfill material can be 1666 the same as the molding compound 1668 be. Exemplary materials used for the underfill material 1666 and the molding compound 1668 Epoxy molding materials as appropriate. The interconnections 1670 second level can connect to the conductive contacts 1664 be coupled. In the 30th illustrated interconnections 1670 second level are solder balls (e.g. for a ball grid array arrangement), but with any suitable interconnections 16770 second level (e.g. the pins in a pin grid arrangement or the "lands" in a "lands" grid arrangement) can be used. The interconnections 1670 second level can be used to hold the microelectronic assembly 1650 to another component, such as B. a printed circuit board (e.g. a motherboard), an "interposer" or another microelectronic Assembly, as is known in the art and as referred to below 31 is discussed.

Die Dies 1656 können die Form irgendeiner der hier erörterten Ausführungsformen des Dies 1502 annehmen (sie können z. B. irgendeine der Ausführungsformen der mikroelektronischen Vorrichtung 1600 enthalten). In den Ausführungsformen, in denen die mikroelektronische Baugruppe 1650 mehrere Dies 1656 enthält, kann die mikroelektronische Baugruppe 1650 als Multi-Chip-Baugruppe (MCP) bezeichnet werden. Die Dies 1656 können eine Schaltungsanordnung enthalten, um jede gewünschte Funktionalität ausführen. Ein oder mehrere der Dies 1656 können z. B. Logik-Dies (z. B. siliciumbasierte Dies) sein, wobei einer oder mehrere der Dies 1656 Speicher-Dies (z. B. Speicher mit hoher Bandbreite) sein können.The this 1656 may take the form of any of the embodiments of the die discussed herein 1502 assume (e.g., they may be any of the embodiments of the microelectronic device 1600 contain). In the embodiments in which the microelectronic assembly 1650 several dies 1656 contains, the microelectronic assembly 1650 referred to as a multi-chip assembly (MCP). The this 1656 may contain circuitry to perform any desired functionality. One or more of the dies 1656 can e.g. B. Logic dies (e.g. silicon-based dies), with one or more of the dies 1656 Storage-These can be (such as high bandwidth storage).

Obwohl die in 30 veranschaulichte mikroelektronische Baugruppe 1650 eine Flip-Chip-Baugruppe ist, können andere Baugruppearchitekturen verwendet werden. Die mikroelektronische Baugruppe 1650 kann z. B. eine Kugelgitteranordnungs- (BGA-) Baugruppe, wie z. B. eine eingebettete Kugelgitteranordnungs-Baugruppe auf Wafer-Ebene (eWLB-Baugruppe), sein. In einem weiteren Beispiel kann die mikroelektronische Baugruppe 1650 eine Baugruppe im Chip-Maßstab auf Wafer-Ebene (WLCSP) oder eine Tafelverzweigungs- (FO-) Baugruppe sein. Obwohl in der mikroelektronischen Baugruppe 1650 nach 30 zwei Dies 1656 veranschaulicht sind, kann eine mikroelektronische Baugruppe 1650 jede gewünschte Anzahl von Dies 1656 enthalten. Eine mikroelektronische Baugruppe 1650 kann zusätzliche passive Komponenten, wie z. B. oberflächenmontierte Widerstände, Kondensatoren und Induktoren, die auf der ersten Fläche 1672 oder der zweiten Fläche 1674 des Baugruppensubstrats 1652 oder auf einer der Flächen des „Interposers“ 1657 angeordnet sind, enthalten. Allgemeiner kann eine mikroelektronische Baugruppe 1650 irgendwelche anderen aktiven oder passiven Komponenten enthalten, die in der Technik bekannt sind.Although the in 30th illustrated microelectronic assembly 1650 is a flip-chip assembly, other assembly architectures can be used. The microelectronic assembly 1650 can e.g. B. a ball grid assembly (BGA) assembly such. B. an embedded ball grid array assembly at the wafer level (eWLB assembly). In a further example, the microelectronic assembly 1650 a chip-scale wafer-level assembly (WLCSP) or a panel branch (FO) assembly. Although in the microelectronic assembly 1650 after 30th two this 1656 illustrated can be a microelectronic assembly 1650 any number of dies desired 1656 contain. A microelectronic assembly 1650 additional passive components such as B. surface mount resistors, capacitors and inductors placed on the first surface 1672 or the second surface 1674 of the assembly substrate 1652 or on one of the surfaces of the "interposer" 1657 are arranged, included. More generally, a microelectronic assembly 1650 contain any other active or passive components known in the art.

31 ist eine Seiten-Querschnittsansicht einer Anordnung 1700 einer mikroelektronischen Vorrichtung, die eine oder mehrere mikroelektronische Baugruppen oder andere elektronische Komponenten (z. B. einen Die) einschließlich einer oder mehrerer mikroelektronische Strukturen 100 in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten kann. Die Anordnung 1700 einer mikroelektronischen Vorrichtung enthält eine Anzahl von Komponenten, die auf einer Leiterplatte 1702 (die z. B. eine Hauptplatine sein kann) angeordnet sind. Die Anordnung 1700 einer mikroelektronischen Vorrichtung enthält Komponenten, die auf einer ersten Fläche 1740 der Leiterplatte 1702 und einer gegenüberliegenden zweiten Fläche 1742 der Leiterplatte 1702 angeordnet sind; im Allgemeinen können die Komponenten auf einer oder beiden Flächen 1740 und 1742 angeordnet sein. Jede der mikroelektronischen Baugruppen, die im Folgenden bezüglich der Anordnung 1700 einer mikroelektronischen Vorrichtung erörtert werden, kann die Form einer der Ausführungsformen der mikroelektronischen Baugruppe 1650 annehmen, die oben bezüglich 30 erörtert worden sind, (kann z. B. eine oder mehrere mikroelektronische Strukturen 100 in einem Die enthalten). 31 Figure 3 is a side cross-sectional view of an assembly 1700 a microelectronic device that includes one or more microelectronic assemblies or other electronic components (e.g., a die) including one or more microelectronic structures 100 in accordance with any of the embodiments disclosed herein. The order 1700 A microelectronic device contains a number of components that are on a printed circuit board 1702 (which can for example be a motherboard) are arranged. The order 1700 A microelectronic device includes components that are on a first surface 1740 the circuit board 1702 and an opposite second surface 1742 the circuit board 1702 are arranged; in general, the components can be on one or both surfaces 1740 and 1742 be arranged. Each of the microelectronic assemblies, which are described below with regard to the arrangement 1700 of a microelectronic device, the shape of one of the embodiments of the microelectronic assembly may be discussed 1650 accept the above re 30th have been discussed (e.g. may be one or more microelectronic structures 100 contained in a die).

In einigen Ausführungsformen kann die Leiterplatte 1702 eine gedruckte Leiterplatte (PCB) sein, die mehrere Metallschichten enthält, die durch Schichten eines dielektrischen Materials voneinander getrennt sind und durch leitfähige Durchkontaktierungen zusammengeschaltet sind. Eine oder mehrere der Metallschichten können in einem gewünschten Schaltungsmuster ausgebildet sein, um elektrische Signale (optional in Verbindung mit anderen Metallschichten) zwischen den an die Leiterplatte 1702 gekoppelten Komponenten zu leiten. In anderen Ausführungsformen kann die Leiterplatte 1702 ein Nicht-PCB-Substrat sein.In some embodiments, the circuit board 1702 be a printed circuit board (PCB) containing multiple layers of metal separated by layers of dielectric material and interconnected by conductive vias. One or more of the metal layers can be formed in a desired circuit pattern to transmit electrical signals (optionally in conjunction with other metal layers) between the to the circuit board 1702 to conduct coupled components. In other embodiments, the circuit board 1702 be a non-PCB substrate.

Die in 31 veranschaulichte Anordnung 1700 einer mikroelektronischen Vorrichtung enthält eine Baugruppe-auf-„Interposer“-Struktur 1736, die durch die Kopplungskomponenten 1716 an die erste Fläche 1740 der Leiterplatte 1702 gekoppelt ist. Die Kopplungskomponenten 1716 können die Baugruppe-auf-„Interposer“-Struktur 1736 elektrisch und mechanisch an die Leiterplatte 1702 koppeln und können Lötkugeln (wie in 31 gezeigt ist), Stecker- und Buchsenabschnitte eines Sockels, einen Klebstoff, ein Unterfüllungsmaterial und/oder jede andere geeignete elektrische und/oder mechanische Kopplungsstruktur enthalten.In the 31 illustrated arrangement 1700 A microelectronic device includes an assembly-on-"interposer" structure 1736 that is secured by the coupling components 1716 to the first surface 1740 the circuit board 1702 is coupled. The coupling components 1716 can use the assembly-on-“interposer” structure 1736 electrically and mechanically to the circuit board 1702 couple and can solder balls (as in 31 shown), male and female portions of a socket, an adhesive, an underfill material, and / or any other suitable electrical and / or mechanical coupling structure.

Die Baugruppe-auf-„Interposer“-Struktur 1736 kann eine mikroelektronische Baugruppe 1720 enthalten, die durch die Kopplungskomponenten 1718 an einen Baugruppen-„Interposer“ 1704 gekoppelt ist. Die Kopplungskomponenten 1718 können jede für die Anwendung geeignete Form, wie z. B. die oben bezüglich der Kopplungskomponenten 1716 erörterten Formen, annehmen. Obwohl in 31 eine einzelne mikroelektronische Baugruppe 1720 gezeigt ist, können mehrere mikroelektronische Baugruppe an den Baugruppen-„Interposer“ 1704 gekoppelt sein; tatsächlich können zusätzliche „Interposer“ an den Baugruppen-„Interposer“ 1704 gekoppelt sein. Der Baugruppen-„Interposer“ 1704 kann ein Zwischensubstrat bereitstellen, das verwendet wird, um die Leiterplatte 1702 und die mikroelektronische Baugruppe 1720 zu überbrücken. Die mikroelektronische Baugruppe 1720 kann z. B. ein Die (der Die 1502 nach 28), eine mikroelektronische Vorrichtung (z. B. die mikroelektronische Vorrichtung 1600 nach 29) oder jede andere geeignete Komponente sein oder enthalten. Im Allgemeinen kann der Baugruppen-„Interposer“ 1704 eine Verbindung auf einen größeren Abstand ausbreiten oder eine Verbindung zu einer anderen Verbindung umleiten. Der Baugruppen-„Interposer“ 1704 kann die mikroelektronische Baugruppe 1720 (z. B. einen Die) an einen Satz leitfähiger BGA-Kontakte der Kopplungskomponenten 1716 zum Koppeln an die Leiterplatte 1702 koppeln. In der in 31 veranschaulichten Ausführungsform sind die mikroelektronische Baugruppe 1720 und die Leiterplatte 1702 an gegenüberliegenden Seiten des Baugruppen-„Interposers“ 1704 befestigt; in anderen Ausführungsformen können die mikroelektronische Baugruppe 1720 und die Leiterplatte 1702 an derselben Seite des Baugruppen-„Interposers“ 1704 befestigt sein. In einigen Ausführungsformen können drei oder mehr Komponenten über den Baugruppen-„Interposer“ 1704 zusammengeschaltet sein.The assembly-on-“interposer” structure 1736 can be a microelectronic assembly 1720 included by the coupling components 1718 to an assembly "interposer" 1704 is coupled. The coupling components 1718 can be any form suitable for the application, e.g. B. those above regarding the coupling components 1716 take the forms discussed. Although in 31 a single microelectronic assembly 1720 shown, several microelectronic assemblies can be connected to the assembly "interposer" 1704 be coupled; in fact, additional "interposers" can be connected to the assembly "interposers" 1704 be coupled. The assembly "interposer" 1704 may provide an intermediate substrate that is used to hold the circuit board 1702 and the microelectronic assembly 1720 to bridge. The microelectronic assembly 1720 can e.g. B. a Die (the Die 1502 after 28 ), a microelectronic device (e.g., the microelectronic device 1600 after 29 ) or any other suitable Be or contain a component. In general, the assembly "interposer" 1704 extend a connection to a greater distance or redirect a connection to another connection. The assembly "interposer" 1704 can the microelectronic assembly 1720 (e.g. a die) to a set of conductive BGA contacts of the coupling components 1716 for coupling to the circuit board 1702 couple. In the in 31 The illustrated embodiment is the microelectronic assembly 1720 and the circuit board 1702 on opposite sides of the assembly "interposer" 1704 attached; in other embodiments, the microelectronic assembly 1720 and the circuit board 1702 on the same side of the assembly "interposer" 1704 be attached. In some embodiments, three or more components can be interposed through the assembly "interposer" 1704 be interconnected.

In einigen Ausführungsformen kann der Baugruppen-„Interposer“ 1704 als eine PCB ausgebildet sein, die mehrere Metallschichten enthält, die durch Schichten dielektrischen Materials voneinander getrennt und durch leitfähige Durchkontaktierungen zusammengeschaltet sind. In einigen Ausführungsformen kann der Baugruppen-„Interposer“ 1704 aus einem Epoxidharz, einem glasfaserverstärkten Epoxidharz, einem Epoxidharz mit anorganischen Füllstoffen, einem keramischen Material oder einem Polymermaterial, wie z. B. Polyimid, ausgebildet sein. In einigen Ausführungsformen kann der Baugruppen-„Interposer“ 1704 aus abwechselnd starren oder flexiblen Materialien ausgebildet sein, die die gleichen Materialien enthalten können, die oben für die Verwendung in einem Halbleitersubstrat beschrieben worden sind, wie z. B. Silicium, Germanium und andere Materialien der Gruppe III-V und der Gruppe IV. Der Baugruppen-„Interposer“ 1704 kann die Metallleitungen 1710 und die Durchkontaktierungen 1708 enthalten, einschließlich, aber nicht eingeschränkt auf durch das Silicium gehende Durchkontaktierungen (TSVs) 1706. Der Baugruppen-„Interposer“ 1704 kann ferner eingebettete Vorrichtungen 1714 einschließlich sowohl passiver als auch aktiver Vorrichtungen enthalten. Derartige Vorrichtungen können Kondensatoren, Entkopplungskondensatoren, Widerstände, Induktivitäten, Sicherungen, Dioden, Transformatoren, Sensoren, elektrostatische Entladungsvorrichtungen (ESD-Vorrichtungen) und Speichervorrichtungen enthalten, sind aber nicht auf diese eingeschränkt. Komplexere Vorrichtungen wie Hochfrequenz-Vorrichtungen, Leistungsverstärker, Leistungsmanagementvorrichtungen, Antennen, Anordnungen, Sensoren und Vorrichtungen mikroelektromechanischer Systeme (MEMS-Vorrichtungen) können außerdem auf dem Baugruppen-„Interposer“ 1704 ausgebildet sein. Die Baugruppe-auf-„Interposer“-Struktur 1736 kann die Form irgendeiner der in der Technik bekannten Baugruppe-auf-„Interposer“-Strukturen annehmen.In some embodiments, the assembly "interposer" 1704 be designed as a PCB which contains several metal layers which are separated from one another by layers of dielectric material and interconnected by conductive vias. In some embodiments, the assembly "interposer" 1704 from an epoxy resin, a glass fiber reinforced epoxy resin, an epoxy resin with inorganic fillers, a ceramic material or a polymer material, such as. B. polyimide. In some embodiments, the assembly "interposer" 1704 be formed from alternately rigid or flexible materials, which may contain the same materials that have been described above for use in a semiconductor substrate, such as. B. silicon, germanium and other materials of group III-V and group IV. The assembly "interposer" 1704 can the metal lines 1710 and the vias 1708 Included, including, but not limited to, Silicon Through Vias (TSVs) 1706 . The assembly "interposer" 1704 can also use embedded devices 1714 including both passive and active devices. Such devices may include, but are not limited to, capacitors, decoupling capacitors, resistors, inductors, fuses, diodes, transformers, sensors, electrostatic discharge (ESD) devices, and storage devices. More complex devices such as radio frequency devices, power amplifiers, power management devices, antennas, arrays, sensors, and microelectromechanical system (MEMS) devices can also be used on the assembly "interposer" 1704 be trained. The assembly-on-“interposer” structure 1736 may take the form of any of the assembly-on-"interposer" structures known in the art.

Die Anordnung 1700 einer mikroelektronischen Vorrichtung kann eine mikroelektronische Baugruppe 1724 enthalten, die über Kopplungskomponenten 1722 an die erste Fläche 1740 der Leiterplatte 1702 gekoppelt ist. Die Kopplungskomponenten 1722 können die Form einer der Ausführungsformen annehmen, die oben bezüglich der Kopplungskomponenten 1716 erörtert worden sind, wobei die mikroelektronische Baugruppe 1724 die Form einer der Ausführungsformen annehmen kann, die oben bezüglich der mikroelektronischen Baugruppe 1720 erörtert worden sind.The order 1700 A microelectronic device can be a microelectronic assembly 1724 included that have coupling components 1722 to the first surface 1740 the circuit board 1702 is coupled. The coupling components 1722 may take the form of any of the embodiments described above with respect to the coupling components 1716 have been discussed, the microelectronic assembly 1724 may take the form of any of the embodiments described above with respect to the microelectronic assembly 1720 have been discussed.

Die in 31 veranschaulichte Anordnung 1700 einer mikroelektronischen Vorrichtung enthält eine Baugruppe-auf-Baugruppe-Struktur 1734, die durch die Kopplungskomponenten 1728 an die zweite Fläche 1742 der Leiterplatte 1702 gekoppelt ist. Die Baugruppe-auf-Baugruppe-Struktur 1734 kann eine mikroelektronische Baugruppe 1726 und eine mikroelektronische Baugruppe 1732 enthalten, die durch die Kopplungskomponenten 1730 aneinandergekoppelt sind, so dass die mikroelektronische Baugruppe 1726 zwischen der Leiterplatte 1702 und der mikroelektronischen Baugruppe 1732 angeordnet ist. Die Kopplungskomponenten 1728 und 1730 können die Form irgendeiner der oben erörterten Ausführungsformen der Kopplungskomponenten 1716 annehmen, wobei die mikroelektronischen Baugruppen 1726 und 1732 die Form irgendeiner der oben erörterten Ausführungsformen der mikroelektronischen Baugruppe 1720 annehmen können. Die Baugruppe-auf-Baugruppe-Struktur 1734 kann in Übereinstimmung mit jeder der in der Technik bekannten Baugruppe-auf-Baugruppe-Strukturen konfiguriert sein.In the 31 illustrated arrangement 1700 a microelectronic device includes an assembly-on-assembly structure 1734 by the coupling components 1728 to the second surface 1742 the circuit board 1702 is coupled. The assembly-on-assembly structure 1734 can be a microelectronic assembly 1726 and a microelectronic assembly 1732 included by the coupling components 1730 are coupled together so that the microelectronic assembly 1726 between the circuit board 1702 and the microelectronic assembly 1732 is arranged. The coupling components 1728 and 1730 may take the form of any of the coupling component embodiments discussed above 1716 assume the microelectronic assemblies 1726 and 1732 the shape of any of the microelectronic package embodiments discussed above 1720 can accept. The assembly-on-assembly structure 1734 may be configured in accordance with any of the assembly-on-assembly structures known in the art.

32 ist ein Blockschaltplan einer beispielhaften Rechenvorrichtung 1800, die eine oder mehrere mikroelektronische Strukturen 100 in Übereinstimmung mit einer der hier offenbarten Ausführungsformen enthalten kann. Es können z. B. irgendwelche geeigneten Komponenten der Komponenten der Rechenvorrichtung 1800 eine oder mehrere der hier offenbarten Anordnungen 1700 einer mikroelektronischen Vorrichtung, mikroelektronischen Baugruppen 1650, mikroelektronischen Vorrichtungen 1600 oder Dies 1502 enthalten. In 32 ist eine Anzahl von Komponenten veranschaulicht, die in der Rechenvorrichtung 1800 enthalten sind, wobei aber irgendeine oder mehrere dieser Komponenten weggelassen oder verdoppelt sein können, wie es für die Anwendung geeignet ist. In einigen Ausführungsformen können einige oder alle Komponenten, die in der Rechenvorrichtung 1800 enthalten sind, an einer oder mehreren Hauptplatinen befestigt sein. In einigen Ausführungsformen sind einige oder alle dieser Komponenten auf einem einzigen Die eines Systems auf einem Chip (SoC) hergestellt. 32 Figure 3 is a block diagram of an exemplary computing device 1800 that have one or more microelectronic structures 100 in accordance with any of the embodiments disclosed herein. It can e.g. B. any suitable components of the components of the computing device 1800 one or more of the arrangements disclosed herein 1700 a microelectronic device, microelectronic assemblies 1650 , microelectronic devices 1600 or this 1502 contain. In 32 illustrates a number of components included in the computing device 1800 are included, but any one or more of these components may be omitted or duplicated as appropriate for the application. In some embodiments, some or all of the components that are present in the computing device 1800 be attached to one or more motherboards. In some embodiments, some or all of these are Components manufactured on a single die of a system on a chip (SoC).

Zusätzlich kann in verschiedenen Ausführungsformen die Rechenvorrichtung 1800 eine oder mehrere der in 32 veranschaulichten Komponenten nicht enthalten, wobei aber die Rechenvorrichtung 1800 eine Schnittstellenschaltungsanordnung zum Koppeln an die eine oder die mehreren Komponenten enthalten kann. Die Rechenvorrichtung 1800 kann z. B. keine Anzeigevorrichtung 1806 enthalten, kann aber eine Anzeigevorrichtungs-Schnittstellenschaltungsanordnung (z. B. einen Verbinder und eine Treiberschaltungsanordnung) enthalten, an die eine Anzeigevorrichtung 1806 gekoppelt sein kann. In einer weiteren Menge von Beispielen kann die Rechenvorrichtung 1800 keine Audio-Eingabevorrichtung 1824 oder keine Audio-Ausgabevorrichtung 1808 enthalten, kann aber eine Audio-Eingabe- oder -Ausgabevorrichtungs-Schnittstellenschaltungsanordnung (z. B. Verbinder und eine unterstützende Schaltungsanordnung) enthalten, an die eine Audio-Eingabevorrichtung 1824 oder eine Audio-Ausgabevorrichtung 1808 gekoppelt sein kann.In addition, in various embodiments, the computing device 1800 one or more of the in 32 illustrated components not included, but the computing device 1800 may include interface circuitry for coupling to the one or more components. The computing device 1800 can e.g. B. no display device 1806 but may include display device interface circuitry (e.g., connector and driver circuitry) to which a display device 1806 can be coupled. In another set of examples, the computing device may 1800 no audio input device 1824 or no audio output device 1808 but may include audio input or output device interface circuitry (e.g., connectors and supporting circuitry) to which an audio input device 1824 or an audio output device 1808 can be coupled.

Die Rechenvorrichtung 1800 kann eine Verarbeitungsvorrichtung 1802 (z. B. eine oder mehrere Verarbeitungsvorrichtungen) enthalten. Der Begriff „Verarbeitungsvorrichtung“ oder „Prozessor“, wie er hier verwendet wird, kann sich auf irgendeine Vorrichtung oder irgendeinen Abschnitt einer Vorrichtung beziehen, die elektronische Daten aus Registern und/oder einem Speicher verarbeitet, um diese elektronischen Daten in andere elektronische Daten zu transformieren, die in Registern und/oder einem Speicher gespeichert werden können. Die Verarbeitungsvorrichtung 1802 kann einen oder mehrere digitale Signalprozessoren (DSPs), eine oder mehrere anwendungsspezifische integrierte Schaltungen (ASICs), eine oder mehrere Zentraleinheiten (CPUs), eine oder mehrere Graphikverarbeitungseinheiten (GPUs), einen oder mehrere Kryptoprozessoren (spezialisierte Prozessoren, die kryptographische Algorithmen innerhalb der Hardware ausführen), einen oder mehrere Server-Prozessoren oder irgendwelche andere geeignete Verarbeitungsvorrichtungen enthalten. Die Rechenvorrichtung 1800 kann einen Speicher 1804 enthalten, der selbst eine oder mehrere Speichervorrichtungen, wie z. B. flüchtigen Speicher (z. B. dynamischen Schreib-Lese-Speicher (DRAM)), nichtflüchtigen Speicher (z. B. Festwertspeicher (ROM)), Flash-Speicher, Festkörperspeicher und/oder ein Festplattenlaufwerk, enthalten kann. In einigen Ausführungsformen kann der Speicher 1804 einen Speicher enthalten, der einen Die mit der Verarbeitungsvorrichtung 1802 gemeinsam benutzt. Dieser Speicher kann als ein Cache-Speicher verwendet werden und kann eingebetteten dynamischen Schreib-Lese-Speicher (eDRAM) oder magnetischen Spin-Übertragungsdrehmoment-Schreib-Lese-Speicher (STT-MRAM) enthalten.The computing device 1800 can be a processing device 1802 (e.g., one or more processing devices). As used herein, the term “processing device” or “processor” may refer to any device or portion of a device that processes electronic data from registers and / or memory to transform that electronic data into other electronic data that can be stored in registers and / or a memory. The processing device 1802 can be one or more digital signal processors (DSPs), one or more application-specific integrated circuits (ASICs), one or more central processing units (CPUs), one or more graphics processing units (GPUs), one or more cryptoprocessors (specialized processors, the cryptographic algorithms within the hardware run), one or more server processors, or any other suitable processing device. The computing device 1800 can have a memory 1804 included, which itself has one or more storage devices, such as e.g. B. volatile memory (e.g. dynamic read-write memory (DRAM)), non-volatile memory (e.g. read-only memory (ROM)), flash memory, solid-state memory and / or a hard disk drive. In some embodiments, the memory 1804 a memory containing a die with the processing device 1802 shared. This memory can be used as a cache memory and can include embedded dynamic random access memory (eDRAM) or magnetic spin transfer torque random access memory (STT-MRAM).

In einigen Ausführungsformen kann die Rechenvorrichtung 1800 einen Kommunikations-Chip 1812 (z. B. einen oder mehrere Kommunikations-Chips) enthalten. Der Kommunikations-Chip 1812 kann z. B. für das Managen drahtloser Kommunikationen für die Übertragung von Daten zu und von der Rechenvorrichtung 1800 konfiguriert sein. Der Begriff „drahtlos“ und seine Ableitungen können verwendet werden, um Schaltungen, Vorrichtungen, Systeme, Verfahren, Techniken, Kommunikationskanäle usw. zu beschreiben, die Daten durch die Verwendung von modulierter elektromagnetischer Strahlung durch ein nichtfestes Medium übertragen können. Der Begriff impliziert nicht, dass die zugehörigen Vorrichtungen keine Drähte enthalten, obwohl sie dies in einigen Ausführungsformen nicht könnten.In some embodiments, the computing device may 1800 a communication chip 1812 (e.g. one or more communication chips). The communication chip 1812 can e.g. For managing wireless communications for the transfer of data to and from the computing device 1800 configured. The term "wireless" and its derivatives can be used to describe circuits, devices, systems, methods, techniques, communication channels, etc. that can transmit data through a non-solid medium through the use of modulated electromagnetic radiation. The term does not imply that the associated devices do not contain wires, although in some embodiments they may not.

Der Kommunikations-Chip 1812 kann irgendeine Anzahl von drahtlosen Standards oder Protokollen implementieren, einschließlich der, aber nicht eingeschränkt auf die Standards des Institutes für Elektro- und Elektronikingenieure (IEEE-Standards), einschließlich Wi-Fi (der IEEE 802.11-Familie), der IEEE 802.16-Standards (z. B. des Zusatzes des IEEE 802.16-2005), des Projekts der langfristigen Entwicklung (LTE) zusammen mit irgendwelchen Zusätzen, Aktualisierungen und/oder Überarbeitungen (z. B. dem weiterentwickelten LTE-Projekt, dem Projekt des ultramobilen Breitbands (UMB) (das außerdem als „3GPP2“ bezeichnet wird) usw.). IEEE 802.16-kompatible drahtlose Breitbandzugangs- (BWA-) Netze werden im Allgemeinen als WiMAX-Netze bezeichnet, ein Akronym, das für Worldwide Interoperability for Microwave Access steht, was ein Zertifizierungszeichen für Produkte ist, die Konformitäts- und Interoperabilitätstests für die IEEE 802.16-Standards bestehen. Der Kommunikations-Chip 1812 kann in Übereinstimmung mit einem globalen System für die Mobilkommunikation (GSM), dem allgemeinen Paketfunkdienst (GPRS), dem universellen Mobiltelekommunikationssystem (UMTS), dem Hochgeschwindigkeits-Paketzugriff (HSPA), dem entwickelten HSPA (E-HSPA) oder dem LTE-Netz arbeiten. Der Kommunikations-Chip 1812 kann in Übereinstimmung mit verbesserten Daten für GSM-Entwicklung (EDGE), dem GSM-EDGE-Funkzugriffsnetz (GERAN), dem universellen terrestrischen Funkzugangsnetz (UTRAN) oder dem entwickelten UTRAN (E-UTRAN) arbeiten. Der Kommunikations-Chip 1812 kann in Übereinstimmung mit sowohl dem Codemultiplex (CDMA), dem Zeitmultiplex (TDMA), der digitalen verbesserten schnurlosen Telekommunikation (DECT), Evolutions-Datenoptimiert (EV-DO) und Ableitungen davon als auch anderen drahtlosen Protokollen arbeiten, die als 3G, 4G, 5G und darüber hinaus bezeichnet werden. Der Kommunikations-Chip 1812 kann in anderen Ausführungsformen in Übereinstimmung mit anderen drahtlosen Protokollen arbeiten. Die Rechenvorrichtung 1800 kann eine Antenne 1822 enthalten, um die drahtlose Kommunikation zu fördern und/oder andere drahtlose Kommunikationen (wie AM- oder FM-Funkübertragungen) zu empfangen.The communication chip 1812 may implement any number of wireless standards or protocols including, but not limited to, the Institute of Electrical and Electronic Engineering (IEEE standards) standards, including Wi-Fi (the IEEE 802.11 family), the IEEE 802.16 standards ( e.g. the addition of IEEE 802.16-2005), the long-term development project (LTE) together with any additions, updates and / or revisions (e.g. the further developed LTE project, the ultra-mobile broadband project (UMB) (also referred to as “3GPP2”, etc.). IEEE 802.16 Compatible Broadband Wireless Access (BWA) networks are commonly referred to as WiMAX networks, an acronym that stands for Worldwide Interoperability for Microwave Access, which is a certification mark for products that test for compliance and interoperability with the IEEE 802.16. Standards exist. The communication chip 1812 can operate in accordance with Global Mobile Communication System (GSM), General Packet Radio Service (GPRS), Universal Mobile Telecommunication System (UMTS), High Speed Packet Access (HSPA), Developed HSPA (E-HSPA) or LTE network . The communication chip 1812 can work in accordance with the improved data for GSM development (EDGE), the GSM-EDGE radio access network (GERAN), the universal terrestrial radio access network (UTRAN) or the developed UTRAN (E-UTRAN). The communication chip 1812 can operate in accordance with both Code Division Multiple Access (CDMA), Time Division Multiple Access (TDMA), Digital Enhanced Cordless Telecommunications (DECT), Evolution Data Optimized (EV-DO) and derivatives thereof, as well as other wireless protocols known as 3G, 4G, 5G and beyond. The communication chip 1812 can in others Embodiments operate in accordance with other wireless protocols. The computing device 1800 can have an antenna 1822 included to promote wireless communications and / or receive other wireless communications (such as AM or FM radio transmissions).

In einigen Ausführungsformen kann der Kommunikations-Chip 1812 drahtgebundene Kommunikationen managen, wie z. B. elektrische, optische oder andere geeignete Kommunikationsprotokolle (z. B. das Ethernet). Wie oben erwähnt worden ist, kann der Kommunikations-Chip 1812 mehrere Kommunikations-Chips enthalten. Ein erster Kommunikations-Chip 1812 kann z. B. für die drahtlosen Kommunikationen mit kürzerer Reichweite, wie z. B. Wi-Fi oder Bluetooth, dediziert sein, während ein zweiter Kommunikations-Chip 1812 für die drahtlosen Kommunikationen mit längerer Reichweite, wie z. B. das globale Positionierungssystem (GPS), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO oder andere, dediziert sein kann. In einigen Ausführungsformen kann ein erster Kommunikations-Chip 1812 für die drahtlosen Kommunikationen dediziert sein, während ein zweiter Kommunikations-Chip 1812 für die drahtgebundenen Kommunikationen dediziert sein kann.In some embodiments, the communication chip 1812 manage wired communications such as B. electrical, optical or other suitable communication protocols (e.g. Ethernet). As mentioned above, the communication chip can 1812 multiple communication chips included. A first communication chip 1812 can e.g. For short-range wireless communications, e.g. B. Wi-Fi or Bluetooth, while a second communication chip 1812 for longer range wireless communications such as B. the global positioning system (GPS), EDGE, GPRS, CDMA, WiMAX, LTE, EV-DO or others can be dedicated. In some embodiments, a first communication chip 1812 be dedicated to wireless communications, while a second communications chip 1812 may be dedicated to the wired communications.

Die Rechenvorrichtung 1800 kann eine Batterie-/Leistungsversorgungsschaltungsanordnung 1814 enthalten. Die Batterie-/Leistungsversorgungsschaltungsanordnung 1814 kann eine oder mehrere Energiespeichervorrichtungen (z. B. Batterien oder Kondensatoren) und/oder eine Schaltungsanordnung zum Koppeln von Komponenten der Rechenvorrichtung 1800 an eine von der Rechenvorrichtung 1800 getrennte Energiequelle (z. B. Wechselstrom-Netzleistung) enthalten.The computing device 1800 may include battery / power supply circuitry 1814 contain. The battery / power supply circuitry 1814 may include one or more energy storage devices (e.g. batteries or capacitors) and / or a circuit arrangement for coupling components of the computing device 1800 to one of the computing device 1800 Contain a separate energy source (e.g. AC mains power).

Die Rechenvorrichtung 1800 kann eine Anzeigevorrichtung 1806 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert worden ist) enthalten. Die Anzeigevorrichtung 1806 kann irgendwelche visuellen Indikatoren enthalten, wie z. B. ein „Head-up-Display“, einen Computermonitor, einen Projektor, eine Berührungsschirmanzeige, eine Flüssigkristallanzeige (LCD), eine Leuchtdiodenanzeige oder eine Flachtafelanzeige.The computing device 1800 can be a display device 1806 (or equivalent interface circuitry as discussed above). The display device 1806 may contain any visual indicators such as B. a "head-up display", a computer monitor, a projector, a touch screen display, a liquid crystal display (LCD), a light emitting diode display or a flat panel display.

Die Rechenvorrichtung 1800 kann eine Audio-Ausgabevorrichtung 1808 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert worden ist) enthalten. Die Audio-Ausgabevorrichtung 1808 kann irgendeine Vorrichtung enthalten, die einen hörbaren Indikator erzeugt, wie z. B. Lautsprecher, Kopfhörer oder Ohrhörer.The computing device 1800 can be an audio output device 1808 (or equivalent interface circuitry as discussed above). The audio output device 1808 may include any device that produces an audible indicator, such as an audible indicator. B. speakers, headphones or earphones.

Die Rechenvorrichtung 1800 kann eine Audio-Eingabevorrichtung 1824 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert worden ist) enthalten. Die Audio-Eingabevorrichtung 1824 kann irgendeine Vorrichtung enthalten, die ein Signal erzeugt, das einen Ton repräsentiert, wie z. B. Mikrophone, Mikrophonanordnungen oder digitale Instrumente (z. B. Instrumente mit einem Ausgang einer digitalen Musikinstrumentenschnittstelle (MIDI-Ausgang)).The computing device 1800 can be an audio input device 1824 (or equivalent interface circuitry as discussed above). The audio input device 1824 may include any device that generates a signal representing a tone, such as a B. microphones, microphone arrangements or digital instruments (z. B. instruments with an output of a digital musical instrument interface (MIDI output)).

Die Rechenvorrichtung 1800 kann eine GPS-Vorrichtung 1818 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert worden ist) enthalten. Die GPS-Vorrichtung 1818 kann mit einem satellitengestützten System in Verbindung stehen und kann einen Ort der Rechenvorrichtung 1800 empfangen, wie in der Technik bekannt ist.The computing device 1800 can use a GPS device 1818 (or equivalent interface circuitry as discussed above). The GPS device 1818 can be in communication with a satellite-based system and can be a location of the computing device 1800 received as is known in the art.

Die Rechenvorrichtung 1800 kann eine andere Ausgabevorrichtung 1810 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert worden ist) enthalten. Die Beispiele der anderen Ausgabevorrichtung 1810 können einen Audio-Codec, einen Video-Codec, einen Drucker, einen drahtgebundenen oder drahtlosen Sender zum Bereitstellen von Informationen für andere Vorrichtungen oder eine zusätzliche Speichervorrichtung enthalten.The computing device 1800 can use a different output device 1810 (or equivalent interface circuitry as discussed above). The examples of the other output device 1810 may include an audio codec, video codec, printer, wired or wireless transmitter to provide information to other devices, or an additional storage device.

Die Rechenvorrichtung 1800 kann eine andere Eingabevorrichtung 1820 (oder eine entsprechende Schnittstellenschaltungsanordnung, wie oben erörtert worden ist) enthalten. Die Beispiele der anderen Eingabevorrichtung 1820 können einen Beschleunigungsmesser, ein Gyroskop, einen Kompass, eine Bildaufnahmevorrichtung, eine Tastatur, eine Cursor-Steuervorrichtung, wie z. B. eine Maus, einen Taststift, ein Tastfeld, einen Strichcodeleser, eine Schnelle-Antwort- (QR-) Codelesevorrichtung, irgendeinen beliebigen Sensor oder eine Hochfrequenzidentifikations- (RFID-) Lesevorrichtung enthalten.The computing device 1800 can use a different input device 1820 (or equivalent interface circuitry as discussed above). The examples of the other input device 1820 may include an accelerometer, a gyroscope, a compass, an image pickup device, a keyboard, a cursor control device, e.g. A mouse, stylus, touch pad, bar code reader, quick response (QR) code reader, any sensor, or radio frequency identification (RFID) reader.

Die Rechenvorrichtung 1800 kann jeden gewünschten Formfaktor aufweisen, wie z. B. eine Handheld- oder mobile Rechenvorrichtung (z. B. ein Mobiltelephon, ein Smartphone, eine mobile Internetvorrichtung, einen Musikspieler, einen Tablet-Computer, einen Laptop-Computer, einen Netbook-Computer, einen Ultrabook-Computer, einen persönlichen digitalen Assistenten (PDA), einen ultramobilen Personal-Computer, usw.), eine Desktop-Rechenvorrichtung, eine Server-Rechenvorrichtung oder eine andere vernetzte Rechenkomponente, eine Fahrzeug-Rechenvorrichtung (z. B. eine Fahrzeugsteuereinheit), eine Laptop-Rechenvorrichtung, einen Drucker, einen Scanner, einen Monitor, eine Set-Top-Box, eine Unterhaltungssteuereinheit, eine Digitalkamera, einen digitalen Videorecorder oder eine tragbare Computervorrichtung. In einigen Ausführungsformen kann die Computervorrichtung 1800 irgendeine andere elektronische Vorrichtung sein, die Daten verarbeitet.The computing device 1800 can have any desired form factor, such as. A handheld or mobile computing device (e.g., cell phone, smartphone, mobile internet device, music player, tablet computer, laptop computer, netbook computer, ultrabook computer, personal digital assistant (PDA), an ultra-mobile personal computer, etc.), a desktop computing device, a server computing device or other networked computing component, a vehicle computing device (e.g. a vehicle control unit), a laptop computing device, a printer, a scanner, monitor, set-top box, entertainment controller, digital camera, digital video recorder, or portable computing device. In some embodiments, the computing device 1800 be any other electronic device that processes data.

Die folgenden Abschnitte stellen verschiedene Beispiele der hier offenbarten Ausführungsformen bereit. Das Beispiel 1 ist eine mikroelektronische Struktur, die Folgendes enthält: einen mit einem Muster versehenen Bereich, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung der ersten leitfähigen Leitung benachbart ist, die erste leitfähige Leitung und die zweite leitfähige Leitung einen Abstand aufweisen, der kleiner als 30 Nanometer ist, die erste leitfähige Leitung eine Leitungskantenrauheit aufweist, die kleiner als 1,2 Nanometer ist, und die zweite leitfähige Leitung eine Leitungskantenrauheit aufweist, die kleiner als 1,2 Nanometer ist.The following sections provide various examples of the embodiments disclosed herein. Example 1 is a microelectronic structure that includes a patterned area containing a first conductive line and a second conductive line, the second conductive line being adjacent to the first conductive line, the first conductive line, and the second conductive line have a pitch that is less than 30 nanometers, the first conductive line has a line edge roughness that is less than 1.2 nanometers, and the second conductive line has a line edge roughness that is less than 1.2 nanometers.

Das Beispiel 2 enthält den Gegenstand des Beispiels 1 und spezifiziert ferner, dass die mikroelektronische Struktur ferner einen ungeordneten Bereich mit einem ungeordneten lamellaren Muster enthält und der ungeordnete Bereich mit dem mit einem Muster versehenen Bereich koplanar ist.Example 2 contains the subject matter of Example 1 and further specifies that the microelectronic structure further includes a disordered area with a disordered lamellar pattern, and the disordered area is coplanar with the patterned area.

Das Beispiel 3 enthält den Gegenstand des Beispiels 2 und spezifiziert ferner, dass die mikroelektronische Struktur ein Teil eines Dies ist und der ungeordnete Bereich ein Teil eines Übergangsbereichs des Dies unter einem Schutzring des Dies oder in einem Rahmen des Dies ist.Example 3 contains the subject matter of Example 2 and further specifies that the microelectronic structure is part of a die and the disordered area is part of a transition area of the die under a guard ring of the die or in a frame of the die.

Das Beispiel 4 enthält den Gegenstand eines der Beispiele 2-3 und spezifiziert ferner, dass die erste leitfähige Leitung ein leitfähiges Material enthält und der ungeordnete Bereich ein Material mit einer gleichen Materialzusammensetzung wie das leitfähige Material enthält.Example 4 contains the subject matter of any of Examples 2-3 and further specifies that the first conductive line contains a conductive material and the disordered area contains a material having a material composition identical to that of the conductive material.

Das Beispiel 5 enthält den Gegenstand eines der Beispiele 2-4 und spezifiziert ferner, dass der mit einem Muster versehene Bereich ein dielektrisches Material enthält und der ungeordnete Bereich ein Material enthält, das eine gleiche Materialzusammensetzung wie das dielektrische Material aufweist.Example 5 contains the subject matter of any of Examples 2-4 and further specifies that the patterned area contains a dielectric material and the disordered area contains a material having the same material composition as the dielectric material.

Das Beispiel 6 enthält den Gegenstand eines der Beispiele 1-5 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung kleiner als 15 Nanometer ist.Example 6 contains the subject matter of any of Examples 1-5 and further specifies that a gap between the first conductive line and the second conductive line is less than 15 nanometers.

Das Beispiel 7 enthält den Gegenstand eines der Beispiele 1-6 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung kleiner als 12 Nanometer ist.Example 7 contains the subject matter of any of Examples 1-6 and further specifies that a gap between the first conductive line and the second conductive line is less than 12 nanometers.

Das Beispiel 8 enthält den Gegenstand eines der Beispiele 1-6 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die kleiner als 15 Nanometer ist.Example 8 contains the subject matter of any of Examples 1-6 and further specifies that the first conductive line has a width that is less than 15 nanometers.

Das Beispiel 9 enthält den Gegenstand eines der Beispiele 1-8 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die kleiner als 12 Nanometer ist.Example 9 contains the subject matter of any of Examples 1-8 and further specifies that the first conductive line has a width that is less than 12 nanometers.

Das Beispiel 10 enthält den Gegenstand eines der Beispiele 1-9 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Breite aufweist, die kleiner als 15 Nanometer ist.Example 10 includes the subject matter of any of Examples 1-9 and further specifies that the second conductive line has a width that is less than 15 nanometers.

Das Beispiel 11 enthält den Gegenstand eines der Beispiele 1-10 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Breite aufweist, die kleiner als 12 Nanometer ist.Example 11 contains the subject matter of any of Examples 1-10 and further specifies that the second conductive line has a width that is less than 12 nanometers.

Das Beispiel 12 enthält den Gegenstand eines der Beispiele 1-11 und spezifiziert ferner, dass die erste leitfähige Leitung und die zweite leitfähige Leitung ein Teil eines Satzes von leitfähigen Leitungen sind, der Satz von leitfähigen Leitungen mehr als zwei leitfähige Leitungen enthält und der Abstand der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung der gleiche wie ein Abstand zwischen benachbarten der leitfähigen Leitungen in dem Satz von leitfähigen Leitungen ist.Example 12 contains the subject matter of any of Examples 1-11 and further specifies that the first conductive line and the second conductive line are part of a set of conductive lines, the set of conductive lines includes more than two conductive lines and the spacing of the the first conductive line and the second conductive line is the same as a distance between adjacent ones of the conductive lines in the set of conductive lines.

Das Beispiel 13 enthält den Gegenstand eines der Beispiele 1-12 und spezifiziert ferner, dass die mikroelektronische Struktur ferner Abstands-Teilungs-Artefakte in der Nähe des mit einem Muster versehenen Bereichs enthält.Example 13 contains the subject matter of any of Examples 1-12 and further specifies that the microelectronic structure further includes space-division artifacts in the vicinity of the patterned area.

Das Beispiel 14 enthält den Gegenstand des Beispiels 13 und spezifiziert ferner, dass die Abstands-Teilungs-Artefakte ein oder mehrere Halbringmuster in einem dielektrischen Material enthalten.Example 14 includes the subject matter of Example 13 and further specifies that the pitch-pitch artifacts include one or more half-ring patterns in a dielectric material.

Das Beispiel 15 enthält den Gegenstand eines der Beispiele 1-14 und spezifiziert ferner, dass die Breiten von wenigstens einigen der leitfähigen Leitungen in dem mit einem Muster versehenen Bereich über die leitfähigen Leitungen periodisch sind.Example 15 includes the subject matter of any of Examples 1-14 and further specifies that the widths of at least some of the conductive lines in the patterned area across the conductive lines are periodic.

Das Beispiel 16 enthält den Gegenstand eines der Beispiele 1-15 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Breite aufweist, die größer als eine Breite der ersten leitfähigen Leitung ist.Example 16 includes the subject matter of any of Examples 1-15 and further specifies that the second conductive line has a width that is greater than a width of the first conductive line.

Das Beispiel 17 enthält den Gegenstand des Beispiels 16 und spezifiziert ferner, dass die Leitungskantenrauheit der zweiten leitfähigen Leitung größer als die Leitungskantenrauheit der ersten leitfähigen Leitung ist.Example 17 includes the subject matter of Example 16 and further specifies that the line edge roughness of the second conductive line is greater than the line edge roughness of the first conductive line.

Das Beispiel 18 enthält den Gegenstand des Beispiels 17 und spezifiziert ferner, dass der mit einem Muster versehene Bereich eine andere leitfähige Leitung enthält, eine Breite der anderen leitfähigen Leitung größer als eine Breite der zweiten leitfähigen Leitung ist und eine Leitungskantenrauheit der anderen leitfähigen Leitung größer als die Leitungskantenrauheit der zweiten leitfähigen Leitung ist.Example 18 includes the subject matter of Example 17 and further specifies that the patterned area includes a different conductive line, a width of the other conductive line is larger than a width of the second conductive line and a line edge roughness of the other conductive line is larger than the line edge roughness of the second conductive line.

Das Beispiel 19 enthält den Gegenstand eines der Beispiele 16-18 und spezifiziert ferner, dass die Breite der zweiten leitfähigen Leitung wenigstens dreimal größer als eine Breite der ersten leitfähigen Leitung ist.Example 19 includes the subject matter of any of Examples 16-18 and further specifies that the width of the second conductive line is at least three times greater than a width of the first conductive line.

Das Beispiel 20 enthält den Gegenstand eines der Beispiele 1-15 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die größer als eine Breite der zweiten leitfähigen Leitung ist.Example 20 includes the subject matter of any of Examples 1-15 and further specifies that the first conductive line has a width that is greater than a width of the second conductive line.

Das Beispiel 21 enthält den Gegenstand des Beispiels 20 und spezifiziert ferner, dass die Leitungskantenrauheit der ersten leitfähigen Leitung größer als die Leitungskantenrauheit der zweiten leitfähigen Leitung ist.Example 21 includes the subject matter of Example 20 and further specifies that the line edge roughness of the first conductive line is greater than the line edge roughness of the second conductive line.

Das Beispiel 22 enthält den Gegenstand des Beispiels 21 und spezifiziert ferner, dass der mit einem Muster versehene Bereich eine andere leitfähige Leitung enthält, eine Breite der anderen leitfähigen Leitung größer als eine Breite der ersten leitfähigen Leitung ist und eine Leitungskantenrauheit der anderen leitfähigen Leitung größer als die Leitungskantenrauheit der ersten leitfähigen Leitung ist.Example 22 includes the subject matter of Example 21, and further specifies that the patterned area includes another conductive line, a width of the other conductive line is greater than a width of the first conductive line, and a line edge roughness of the other conductive line is greater than is the line edge roughness of the first conductive line.

Das Beispiel 23 enthält den Gegenstand des Beispiels 22 und spezifiziert ferner, dass die Breite der ersten leitfähigen Leitung wenigstens dreimal größer als eine Breite der zweiten leitfähigen Leitung ist.Example 23 includes the subject matter of Example 22 and further specifies that the width of the first conductive line is at least three times greater than a width of the second conductive line.

Das Beispiel 24 enthält den Gegenstand eines der Beispiele 1-23 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung größer als ein Zwischenraum zwischen der zweiten leitfähigen Leitung und einer der zweiten leitfähigen Leitung benachbarten leitfähigen Leitung ist.Example 24 includes the subject matter of any of Examples 1-23 and further specifies that a gap between the first conductive line and the second conductive line is larger than a gap between the second conductive line and a conductive line adjacent to the second conductive line.

Das Beispiel 25 enthält den Gegenstand eines der Beispiele 1-23 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung kleiner als ein Zwischenraum zwischen der zweiten leitfähigen Leitung und einer der zweiten leitfähigen Leitung benachbarten leitfähigen Leitung ist.Example 25 includes the subject matter of any of Examples 1-23 and further specifies that a gap between the first conductive line and the second conductive line is smaller than a gap between the second conductive line and a conductive line adjacent to the second conductive line.

Das Beispiel 26 enthält den Gegenstand eines der Beispiele 1-25 und spezifiziert ferner, dass der mit einem Muster versehene Bereich ein erster mit einem Muster versehener Bereich ist, die mikroelektronische Struktur ferner einen zweiten mit einem Muster versehenen Bereich enthält, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs der ersten leitfähigen Leitung des zweiten mit einem Muster versehenen Bereichs benachbart ist, die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs und die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs einen Abstand aufweisen, der größer als 24 Nanometer ist.Example 26 contains the subject matter of any of Examples 1-25 and further specifies that the patterned area is a first patterned area, the microelectronic structure further includes a second patterned area having a first conductive line and a second conductive line, wherein the second conductive line of the second patterned area is adjacent to the first conductive line of the second patterned area, the first conductive line of the second patterned area and the second conductive line of the second patterned area have a spacing that is greater than 24 nanometers.

Das Beispiel 27 enthält den Gegenstand des Beispiels 26 und spezifiziert ferner, dass die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs und die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs einen Abstand aufweisen, der größer als 30 Nanometer ist.Example 27 incorporates the subject matter of Example 26 and further specifies that the first conductive line of the second patterned area and the second conductive line of the second patterned area are spaced apart greater than 30 nanometers.

Das Beispiel 28 enthält den Gegenstand eines der Beispiele 26-27 und spezifiziert ferner, dass die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungskantenrauheit aufweist, die größer als 1,2 Nanometer ist, und die zweite leitfähige Leitung eine Leitungskantenrauheit aufweist, die größer als 1,2 Nanometer ist.Example 28 contains the subject matter of any of Examples 26-27 and further specifies that the first conductive line of the second patterned region has a line edge roughness greater than 1.2 nanometers and the second conductive line has a line edge roughness, that is larger than 1.2 nanometers.

Das Beispiel 29 enthält den Gegenstand eines der Beispiele 26-28 und spezifiziert ferner, dass die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungsbreitenrauheit und eine Leitungskantenrauheit aufweist und die Leitungsbreitenrauheit gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit ist.Example 29 includes the subject matter of any of Examples 26-28 and further specifies that the first conductive line of the second patterned region has a line width roughness and a line edge roughness, and the line width roughness is equal to the line edge roughness multiplied by the square root of 2.

Das Beispiel 30 enthält den Gegenstand eines der Beispiele 26-29 und spezifiziert ferner, dass die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungsbreitenrauheit und eine Leitungskantenrauheit aufweist und die Leitungsbreitenrauheit gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit ist.Example 30 includes the subject matter of any of Examples 26-29 and further specifies that the second conductive line of the second patterned region has a line width roughness and a line edge roughness, and the line width roughness is equal to the line edge roughness multiplied by the square root of 2.

Das Beispiel 31 enthält den Gegenstand eines der Beispiele 26-30 und spezifiziert ferner, dass der zweite mit einem Muster versehene Bereich mit dem ersten mit einem Muster versehenen Bereich koplanar ist.Example 31 contains the subject matter of any of Examples 26-30 and further specifies that the second patterned area is coplanar with the first patterned area.

Das Beispiel 32 enthält den Gegenstand eines der Beispiele 26-31 und spezifiziert ferner, dass sich der zweite mit einem Muster versehene Bereich in derselben Schicht eines Metallisierungsstapels wie der erste mit einem Muster versehene Bereich befindet.Example 32 includes the subject matter of any of Examples 26-31 and further specifies that the second patterned area is in the same layer of a metallization stack as the first patterned area.

Das Beispiel 33 enthält den Gegenstand eines der Beispiele 1-32 und spezifiziert ferner, dass die erste leitfähige Leitung eine Leitungsbreitenrauheit aufweist und die Leitungsbreitenrauheit der ersten leitfähigen Leitung nicht gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit der ersten leitfähigen Leitung ist.Example 33 includes the subject matter of any of Examples 1-32 and further specifies that the first conductive line has a line width roughness and the line width roughness of the first conductive line is not equal to the line edge roughness of the first conductive line multiplied by the square root of 2.

Das Beispiel 34 enthält den Gegenstand eines der Beispiele 1-33 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Leitungsbreitenrauheit aufweist und die Leitungsbreitenrauheit der zweiten leitfähigen Leitung nicht gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit der zweiten leitfähigen Leitung ist.Example 34 includes the subject matter of any of Examples 1-33 and further specifies that the second conductive line has a line width roughness and the line width roughness of the second conductive line is not equal to the line edge roughness of the second conductive line multiplied by the square root of 2.

Das Beispiel 35 enthält den Gegenstand eines der Beispiele 1-34 und spezifiziert ferner, dass der mit einem Muster versehene Bereich eine dritte leitfähige Leitung und eine vierte leitfähige Leitung enthält, sich die dritte leitfähige Leitung zwischen der zweiten leitfähigen Leitung und der vierten leitfähigen Leitung befindet, die dritte leitfähige Leitung eine Leitungskantenrauheit größer als 1,2 Nanometer aufweist und die vierte leitfähige Leitung eine Leitungskantenrauheit kleiner als 1,2 Nanometer aufweist.Example 35 includes the subject matter of any of Examples 1-34 and further specifies that the patterned area includes a third conductive line and a fourth conductive line, the third conductive line being between the second conductive line and the fourth conductive line , the third conductive line has a line edge roughness greater than 1.2 nanometers and the fourth conductive line has a line edge roughness less than 1.2 nanometers.

Das Beispiel 36 enthält den Gegenstand eines der Beispiele 1-35 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Durchkontaktierung in leitfähigen Kontakt mit der ersten leitfähigen Leitung enthält.Example 36 contains the subject matter of any of Examples 1-35 and further specifies that the microelectronic structure further includes a via in conductive contact with the first conductive line.

Das Beispiel 37 enthält den Gegenstand des Beispiels 36 und spezifiziert ferner, dass sich die Durchkontaktierung in einem dielektrischen Material befindet und das dielektrische Material einen Photosäuregenerator enthält.Example 37 includes the subject matter of Example 36 and further specifies that the via is in a dielectric material and the dielectric material includes a photoacid generator.

Das Beispiel 38 enthält den Gegenstand eines der Beispiele 36-37 und spezifiziert ferner, dass das dielektrische Material einen Löscher enthält.Example 38 includes the subject matter of any of Examples 36-37 and further specifies that the dielectric material includes a quencher.

Das Beispiel 39 enthält den Gegenstand eines der Beispiele 36-38 und spezifiziert ferner, dass die Durchkontaktierung Seitenflächen aufweist, die auf die Seitenflächen der ersten leitfähigen Leitung selbstausgerichtet sind.Example 39 includes the subject matter of any of Examples 36-38 and further specifies that the via has side surfaces that are self-aligned with the side surfaces of the first conductive line.

Das Beispiel 40 enthält den Gegenstand eines der Beispiele 36-38 und spezifiziert ferner, dass sich die Durchkontaktierung nicht mit dem der ersten leitfähigen Leitung in dem mit einem Muster versehenen Bereich benachbarten dielektrischen Material in Kontakt befindet.Example 40 includes the subject matter of any of Examples 36-38 and further specifies that the via is not in contact with the dielectric material adjacent to the first conductive line in the patterned area.

Das Beispiel 41 enthält den Gegenstand eines der Beispiele 1-40 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Durchkontaktierung in leitfähigen Kontakt mit der zweiten leitfähigen Leitung enthält.Example 41 contains the subject matter of any of Examples 1-40 and further specifies that the microelectronic structure further includes a via in conductive contact with the second conductive line.

Das Beispiel 42 enthält den Gegenstand des Beispiels 41 und spezifiziert ferner, dass sich die Durchkontaktierung in einem dielektrischen Material befindet und das dielektrische Material einen Photosäuregenerator enthält.Example 42 includes the subject matter of Example 41 and further specifies that the via is in a dielectric material and the dielectric material includes a photoacid generator.

Das Beispiel 43 enthält den Gegenstand eines der Beispiele 41-42 und spezifiziert ferner, dass das dielektrische Material einen Löscher enthält.Example 43 includes the subject matter of any of Examples 41-42 and further specifies that the dielectric material includes an eraser.

Das Beispiel 44 enthält den Gegenstand eines der Beispiele 41-43 und spezifiziert ferner, dass die Durchkontaktierung Seitenflächen aufweist, die auf die Seitenflächen der ersten leitfähigen Leitung selbstausgerichtet sind.Example 44 includes the subject matter of any of Examples 41-43 and further specifies that the via has side surfaces that are self-aligned with the side surfaces of the first conductive line.

Das Beispiel 45 enthält den Gegenstand eines der Beispiele 41-43 und spezifiziert ferner, dass sich die Durchkontaktierung nicht mit dem der zweiten leitfähigen Leitung in dem mit einem Muster versehenen Bereich benachbarten dielektrischen Material in Kontakt befindet.Example 45 includes the subject matter of any of Examples 41-43 and further specifies that the via is not in contact with the dielectric material adjacent to the second conductive line in the patterned area.

Das Beispiel 46 enthält den Gegenstand eines der Beispiele 1-45 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Vorrichtungsschicht enthält und der mit einem Muster versehene Bereich in einer Zusammenschaltungsschicht über oder unter der Vorrichtungsschicht enthalten ist.Example 46 includes the subject matter of any of Examples 1-45 and further specifies that the microelectronic structure further includes a device layer and the patterned area is included in an interconnection layer above or below the device layer.

Das Beispiel 47 enthält den Gegenstand des Beispiels 46 und spezifiziert ferner, dass die mikroelektronische Struktur ferner leitfähige Kontakte enthält und sich der mit einem Muster versehene Bereich zwischen den leitfähigen Kontakten und der Vorrichtungsschicht befindet.Example 47 includes the subject matter of Example 46 and further specifies that the microelectronic structure further includes conductive contacts and the patterned area is between the conductive contacts and the device layer.

Das Beispiel 48 enthält den Gegenstand eines der Beispiele 1-47 und spezifiziert ferner, dass der mit einem Muster versehene Bereich in einer M0-Zusammenschaltungsschicht enthalten ist.Example 48 includes the subject matter of any of Examples 1-47 and further specifies that the patterned area is included in an M0 interconnection layer.

Das Beispiel 49 enthält den Gegenstand eines der Beispiele 1-47 und spezifiziert ferner, dass der mit einem Muster versehene Bereich in einer M1-Zusammenschaltungsschicht enthalten ist.Example 49 contains the subject matter of any of Examples 1-47 and further specifies that the patterned area is included in an M1 interconnection layer.

Das Beispiel 50 enthält den Gegenstand eines der Beispiele 1-47 und spezifiziert ferner, dass der mit einem Muster versehene Bereich in einer M2-Zusammenschaltungsschicht enthalten ist.Example 50 includes the subject matter of any of Examples 1-47 and further specifies that the patterned area is included in an M2 interconnection layer.

Das Beispiel 51 enthält den Gegenstand eines der Beispiele 1-50 und spezifiziert ferner, dass die erste leitfähige Leitung zu der zweiten leitfähigen Leitung parallel ist.Example 51 includes the subject matter of any of Examples 1-50 and further specifies that the first conductive line is parallel to the second conductive line.

Das Beispiel 52 ist eine mikroelektronische Struktur, die Folgendes enthält: einen mit einem Muster versehenen Bereich, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung der ersten leitfähigen Leitung benachbart ist; und einen ungeordneten Bereich mit einem ungeordneten lamellaren Muster, wobei der ungeordnete Bereich mit dem mit einem Muster versehenen Bereich koplanar ist.Example 52 is a microelectronic structure that includes: a patterned area that includes a first conductive line and a second conductive line, the second conductive line being the first conductive Line is adjacent; and a disordered region having a disordered lamellar pattern, the disordered region being coplanar with the patterned region.

Das Beispiel 53 enthält den Gegenstand des Beispiels 52 und spezifiziert ferner, dass die erste leitfähige Leitung ein leitfähiges Material enthält und der ungeordnete Bereich ein Material mit einer gleichen Materialzusammensetzung wie das leitfähige Material enthält.Example 53 includes the subject matter of Example 52 and further specifies that the first conductive line includes a conductive material and the disordered area includes a material having a material composition the same as the conductive material.

Das Beispiel 54 enthält den Gegenstand eines der Beispiele 52-53 und spezifiziert ferner, dass der mit einem Muster versehene Bereich ein dielektrisches Material enthält und der ungeordnete Bereich ein Material mit einer gleichen Materialzusammensetzung wie das dielektrische Material enthält.Example 54 includes the subject matter of any of Examples 52-53 and further specifies that the patterned area includes a dielectric material and the disordered area includes a material having a material composition the same as the dielectric material.

Das Beispiel 55 enthält den Gegenstand eines der Beispiele 52-54 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung kleiner als 15 Nanometer ist.Example 55 includes the subject matter of any of Examples 52-54 and further specifies that a gap between the first conductive line and the second conductive line is less than 15 nanometers.

Das Beispiel 56 enthält den Gegenstand eines der Beispiele 52-55 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung kleiner als 12 Nanometer ist.Example 56 includes the subject matter of any of Examples 52-55 and further specifies that a gap between the first conductive line and the second conductive line is less than 12 nanometers.

Das Beispiel 57 enthält den Gegenstand eines der Beispiele 52-55 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die kleiner als 15 Nanometer ist.Example 57 includes the subject matter of any of Examples 52-55 and further specifies that the first conductive line has a width that is less than 15 nanometers.

Das Beispiel 58 enthält den Gegenstand eines der Beispiele 52-57 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die kleiner als 12 Nanometer ist.Example 58 includes the subject matter of any of Examples 52-57 and further specifies that the first conductive line has a width that is less than 12 nanometers.

Das Beispiel 59 enthält den Gegenstand eines der Beispiele 52-58 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Breite aufweist, die kleiner als 15 Nanometer ist.Example 59 includes the subject matter of any of Examples 52-58 and further specifies that the second conductive line has a width that is less than 15 nanometers.

Das Beispiel 60 enthält den Gegenstand eines der Beispiele 52-59 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Breite aufweist, die kleiner als 12 Nanometer ist.Example 60 includes the subject matter of any of Examples 52-59 and further specifies that the second conductive line has a width that is less than 12 nanometers.

Das Beispiel 61 enthält den Gegenstand eines der Beispiele 52-60 und spezifiziert ferner, dass die erste leitfähige Leitung und die zweite leitfähige Leitung ein Teil eines Satzes von leitfähigen Leitungen sind, der Satz von leitfähigen Leitungen mehr als zwei leitfähige Leitungen enthält und ein Abstand der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung der gleiche wie ein Abstand zwischen benachbarten der leitfähigen Leitungen in dem Satz von leitfähigen Leitungen ist.Example 61 contains the subject matter of any of Examples 52-60 and further specifies that the first conductive line and the second conductive line are part of a set of conductive lines, the set of conductive lines includes more than two conductive lines and a spacing of the the first conductive line and the second conductive line is the same as a distance between adjacent ones of the conductive lines in the set of conductive lines.

Das Beispiel 62 enthält den Gegenstand eines der Beispiele 52-61 und spezifiziert ferner, dass die mikroelektronische Struktur ferner Abstands-Teilungs-Artefakte in der Nähe des mit einem Muster versehenen Bereichs enthält.Example 62 includes the subject matter of any of Examples 52-61 and further specifies that the microelectronic structure further includes space-division artifacts in the vicinity of the patterned area.

Das Beispiel 63 enthält den Gegenstand des Beispiels 62 und spezifiziert ferner, dass die Abstands-Teilungs-Artefakte ein oder mehrere Halbringmuster in einem dielektrischen Material enthalten.Example 63 includes the subject matter of Example 62 and further specifies that the pitch-pitch artifacts include one or more half-ring patterns in a dielectric material.

Das Beispiel 64 enthält den Gegenstand eines der Beispiele 52-63 und spezifiziert ferner, dass die Breiten von wenigstens einigen der leitfähigen Leitungen in dem mit einem Muster versehenen Bereich über die leitfähigen Leitungen periodisch sind.Example 64 includes the subject matter of any of Examples 52-63 and further specifies that the widths of at least some of the conductive lines in the patterned area across the conductive lines are periodic.

Das Beispiel 65 enthält den Gegenstand eines der Beispiele 52-64 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Breite aufweist, die größer als eine Breite der ersten leitfähigen Leitung ist.Example 65 includes the subject matter of any of Examples 52-64 and further specifies that the second conductive line has a width that is greater than a width of the first conductive line.

Das Beispiel 66 enthält den Gegenstand des Beispiels 65 und spezifiziert ferner, dass eine Leitungskantenrauheit der zweiten leitfähigen Leitung größer als eine Leitungskantenrauheit der ersten leitfähigen Leitung ist.Example 66 includes the subject matter of Example 65 and further specifies that a line edge roughness of the second conductive line is greater than a line edge roughness of the first conductive line.

Das Beispiel 67 enthält den Gegenstand des Beispiels 66 und spezifiziert ferner, dass der mit einem Muster versehene Bereich eine andere leitfähige Leitung enthält, eine Breite der anderen leitfähigen Leitung größer als eine Breite der zweiten leitfähigen Leitung ist und eine Leitungskantenrauheit der anderen leitfähigen Leitung größer als die Leitungskantenrauheit der zweiten leitfähigen Leitung ist.Example 67 includes the subject matter of Example 66 and further specifies that the patterned area includes another conductive line, a width of the other conductive line is greater than a width of the second conductive line, and a line edge roughness of the other conductive line is greater than is the line edge roughness of the second conductive line.

Das Beispiel 68 enthält den Gegenstand eines der Beispiele 65-67 und spezifiziert ferner, dass die Breite der zweiten leitfähigen Leitung wenigstens dreimal größer als eine Breite der ersten leitfähigen Leitung ist.Example 68 includes the subject matter of any of Examples 65-67 and further specifies that the width of the second conductive line is at least three times greater than a width of the first conductive line.

Das Beispiel 69 enthält den Gegenstand eines der Beispiele 52-64 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die größer als eine Breite der zweiten leitfähigen Leitung ist.Example 69 includes the subject matter of any of Examples 52-64 and further specifies that the first conductive line has a width that is greater than a width of the second conductive line.

Das Beispiel 70 enthält den Gegenstand des Beispiels 69 und spezifiziert ferner, dass eine Leitungskantenrauheit der ersten leitfähigen Leitung größer als eine Leitungskantenrauheit der zweiten leitfähigen Leitung ist.Example 70 includes the subject matter of Example 69 and further specifies that a line edge roughness of the first conductive line is greater than a line edge roughness of the second conductive line.

Das Beispiel 71 enthält den Gegenstand des Beispiels 70 und spezifiziert ferner, dass der mit einem Muster versehene Bereich eine andere leitfähige Leitung enthält, eine Breite der anderen leitfähigen Leitung größer als eine Breite der ersten leitfähigen Leitung ist und eine Leitungskantenrauheit der anderen leitfähigen Leitung größer als die Leitungskantenrauheit der ersten leitfähigen Leitung ist.Example 71 includes the subject matter of Example 70, and further specifies that the patterned area includes another conductive line, a width of the other conductive line is greater than a width of the first conductive line, and a line edge roughness of the other conductive line is greater than is the line edge roughness of the first conductive line.

Das Beispiel 72 enthält den Gegenstand des Beispiels 71 und spezifiziert ferner, dass die Breite der ersten leitfähigen Leitung wenigstens dreimal größer als eine Breite der zweiten leitfähigen Leitung ist.Example 72 includes the subject matter of Example 71 and further specifies that the width of the first conductive line is at least three times greater than a width of the second conductive line.

Das Beispiel 73 enthält den Gegenstand eines der Beispiele 52-72 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung größer als ein Zwischenraum zwischen der zweiten leitfähigen Leitung und einer der zweiten leitfähigen Leitung benachbarten leitfähigen Leitung ist.Example 73 includes the subject matter of any of Examples 52-72 and further specifies that a gap between the first conductive line and the second conductive line is larger than a gap between the second conductive line and a conductive line adjacent to the second conductive line.

Das Beispiel 74 enthält den Gegenstand eines der Beispiele 52-72 und spezifiziert ferner, dass ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung kleiner als ein Zwischenraum zwischen der zweiten leitfähigen Leitung und einer der zweiten leitfähigen Leitung benachbarten leitfähigen Leitung ist.Example 74 includes the subject matter of any of Examples 52-72 and further specifies that a gap between the first conductive line and the second conductive line is smaller than a gap between the second conductive line and a conductive line adjacent to the second conductive line.

Das Beispiel 75 enthält den Gegenstand eines der Beispiele 52-74 und spezifiziert ferner, dass der mit einem Muster versehene Bereich ein erster mit einem Muster versehener Bereich ist, die mikroelektronische Struktur ferner einen zweiten mit einem Muster versehenen Bereich enthält, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs der ersten leitfähigen Leitung des zweiten mit einem Muster versehenen Bereichs benachbart ist, die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs und die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs einen Abstand aufweisen, der größer als 24 Nanometer ist.Example 75 includes the subject matter of any of Examples 52-74 and further specifies that the patterned area is a first patterned area, the microelectronic structure further includes a second patterned area having a first conductive line and a second conductive line, wherein the second conductive line of the second patterned area is adjacent to the first conductive line of the second patterned area, the first conductive line of the second patterned area and the second conductive line of the second patterned area have a spacing that is greater than 24 nanometers.

Das Beispiel 76 enthält den Gegenstand des Beispiels 75 und spezifiziert ferner, dass die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs und die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs einen Abstand aufweisen, der größer als 30 Nanometer ist.Example 76 includes the subject matter of Example 75 and further specifies that the first conductive line of the second patterned area and the second conductive line of the second patterned area are spaced apart greater than 30 nanometers.

Das Beispiel 77 enthält den Gegenstand eines der Beispiele 75-76 und spezifiziert ferner, dass die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungskantenrauheit aufweist, die größer als 1,2 Nanometer ist, und die zweite leitfähige Leitung eine Leitungskantenrauheit aufweist, die größer als 1,2 Nanometer ist.Example 77 contains the subject matter of any of Examples 75-76 and further specifies that the first conductive line of the second patterned region has a line edge roughness greater than 1.2 nanometers and the second conductive line has a line edge roughness, that is larger than 1.2 nanometers.

Das Beispiel 78 enthält den Gegenstand eines der Beispiele 75-77 und spezifiziert ferner, dass die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungsbreitenrauheit und eine Leitungskantenrauheit aufweist und die Leitungsbreitenrauheit gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit ist.Example 78 includes the subject matter of any of Examples 75-77 and further specifies that the first conductive line of the second patterned region has a line width roughness and a line edge roughness, and the line width roughness is equal to the line edge roughness multiplied by the square root of 2.

Das Beispiel 79 enthält den Gegenstand eines der Beispiele 75-78 und spezifiziert ferner, dass die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungsbreitenrauheit und eine Leitungskantenrauheit aufweist und die Leitungsbreitenrauheit gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit ist.Example 79 includes the subject matter of any of Examples 75-78 and further specifies that the second conductive line of the second patterned region has a line width roughness and a line edge roughness, and the line width roughness is equal to the line edge roughness multiplied by the square root of 2.

Das Beispiel 80 enthält den Gegenstand eines der Beispiele 75-79 und spezifiziert ferner, dass der zweite mit einem Muster versehene Bereich mit dem ersten mit einem Muster versehenen Bereich koplanar ist.Example 80 includes the subject matter of any of Examples 75-79 and further specifies that the second patterned area is coplanar with the first patterned area.

Das Beispiel 81 enthält den Gegenstand eines der Beispiele 75-80 und spezifiziert ferner, dass sich der zweite mit einem Muster versehene Bereich in derselben Schicht eines Metallisierungsstapels wie der erste mit einem Muster versehene Bereich befindet.Example 81 includes the subject matter of any of Examples 75-80 and further specifies that the second patterned area is in the same layer of a metallization stack as the first patterned area.

Das Beispiel 82 enthält den Gegenstand eines der Beispiele 52-81 und spezifiziert ferner, dass die erste leitfähige Leitung eine Leitungsbreitenrauheit aufweist und die Leitungsbreitenrauheit der ersten leitfähigen Leitung nicht gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit der ersten leitfähigen Leitung ist.Example 82 includes the subject matter of any of Examples 52-81 and further specifies that the first conductive line has a line width roughness and the line width roughness of the first conductive line is not equal to the line edge roughness of the first conductive line multiplied by the square root of 2.

Das Beispiel 83 enthält den Gegenstand eines der Beispiele 52-82 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Leitungsbreitenrauheit aufweist und die Leitungsbreitenrauheit der zweiten leitfähigen Leitung nicht gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit der zweiten leitfähigen Leitung ist.Example 83 includes the subject matter of any of Examples 52-82 and further specifies that the second conductive line has a line width roughness and the line width roughness of the second conductive line is not equal to the line edge roughness of the second conductive line multiplied by the square root of 2.

Das Beispiel 84 enthält den Gegenstand eines der Beispiele 52-83 und spezifiziert ferner, dass der mit einem Muster versehene Bereich eine dritte leitfähige Leitung und eine vierte leitfähige Leitung enthält, sich die dritte leitfähige Leitung zwischen der zweiten leitfähigen Leitung und der vierten leitfähigen Leitung befindet, die dritte leitfähige Leitung eine Leitungskantenrauheit größer als 1,2 Nanometer aufweist und die vierte leitfähige Leitung eine Leitungskantenrauheit kleiner als 1,2 Nanometer aufweist.Example 84 includes the subject matter of any of Examples 52-83 and further specifies that the patterned area includes a third conductive line and a fourth conductive line, the third conductive line being between the second conductive line and the fourth conductive line , the third conductive line has a line edge roughness greater than 1.2 nanometers and the fourth conductive line has a line edge roughness less than 1.2 nanometers.

Das Beispiel 85 enthält den Gegenstand eines der Beispiele 52-84 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Durchkontaktierung in leitfähigen Kontakt mit der ersten leitfähigen Leitung enthält.Example 85 includes the subject matter of any of Examples 52-84 and further specifies that the microelectronic structure further includes a via in conductive contact with the first conductive line.

Das Beispiel 86 enthält den Gegenstand des Beispiels 85 und spezifiziert ferner, dass sich die Durchkontaktierung in einem dielektrischen Material befindet und das dielektrische Material einen Photosäuregenerator enthält.Example 86 includes the subject matter of Example 85 and further specifies that the via is in a dielectric material and the dielectric material includes a photoacid generator.

Das Beispiel 87 enthält den Gegenstand eines der Beispiele 85-86 und spezifiziert ferner, dass das dielektrische Material einen Löscher enthält.Example 87 includes the subject matter of any of Examples 85-86 and further specifies that the dielectric material includes an eraser.

Das Beispiel 88 enthält den Gegenstand eines der Beispiele 85-87 und spezifiziert ferner, dass die Durchkontaktierung Seitenflächen aufweist, die auf die Seitenflächen der ersten leitfähigen Leitung selbstausgerichtet sind.Example 88 includes the subject matter of any of Examples 85-87 and further specifies that the via has side surfaces that are self-aligned with the side surfaces of the first conductive line.

Das Beispiel 89 enthält den Gegenstand eines der Beispiele 85-87 und spezifiziert ferner, dass sich die Durchkontaktierung nicht mit dem der ersten leitfähigen Leitung in dem mit einem Muster versehenen Bereich benachbarten dielektrischen Material in Kontakt befindet.Example 89 includes the subject matter of any of Examples 85-87 and further specifies that the via is not in contact with the dielectric material adjacent to the first conductive line in the patterned area.

Das Beispiel 90 enthält den Gegenstand eines der Beispiele 52-89 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Durchkontaktierung in leitfähigen Kontakt mit der zweiten leitfähigen Leitung enthält.Example 90 includes the subject matter of any of Examples 52-89 and further specifies that the microelectronic structure further includes a via in conductive contact with the second conductive line.

Das Beispiel 91 enthält den Gegenstand des Beispiels 90 und spezifiziert ferner, dass sich die Durchkontaktierung in einem dielektrischen Material befindet und das dielektrische Material einen Photosäuregenerator enthält.Example 91 includes the subject matter of Example 90 and further specifies that the via is in a dielectric material and the dielectric material includes a photoacid generator.

Das Beispiel 92 enthält den Gegenstand eines der Beispiele 90-91 und spezifiziert ferner, dass das dielektrische Material einen Löscher enthält.Example 92 includes the subject matter of any of Examples 90-91 and further specifies that the dielectric material includes an eraser.

Das Beispiel 93 enthält den Gegenstand eines der Beispiele 90-92 und spezifiziert ferner, dass die Durchkontaktierung Seitenflächen aufweist, die auf die Seitenflächen der ersten leitfähigen Leitung selbstausgerichtet sind.Example 93 includes the subject matter of any of Examples 90-92 and further specifies that the via has side surfaces that are self-aligned with the side surfaces of the first conductive line.

Das Beispiel 94 enthält den Gegenstand eines der Beispiele 90-92 und spezifiziert ferner, dass sich die Durchkontaktierung nicht mit dem der zweiten leitfähigen Leitung in dem mit einem Muster versehenen Bereich benachbarten dielektrischen Material in Kontakt befindet.Example 94 includes the subject matter of any of Examples 90-92 and further specifies that the via is not in contact with the dielectric material adjacent to the second conductive line in the patterned area.

Das Beispiel 95 enthält den Gegenstand eines der Beispiele 52-94 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Vorrichtungsschicht enthält und der mit einem Muster versehene Bereich in einer Zusammenschaltungsschicht über oder unter der Vorrichtungsschicht enthalten ist.Example 95 includes the subject matter of any of Examples 52-94 and further specifies that the microelectronic structure further includes a device layer and the patterned area is included in an interconnection layer above or below the device layer.

Das Beispiel 96 enthält den Gegenstand des Beispiels 95 und spezifiziert ferner, dass die mikroelektronische Struktur ferner leitfähige Kontakte enthält und sich der mit einem Muster versehene Bereich zwischen den leitfähigen Kontakten und der Vorrichtungsschicht befindet.Example 96 includes the subject matter of Example 95 and further specifies that the microelectronic structure further includes conductive contacts and the patterned area is between the conductive contacts and the device layer.

Das Beispiel 97 enthält den Gegenstand eines der Beispiele 52-96 und spezifiziert ferner, dass der mit einem Muster versehene Bereich in einer M0-Zusammenschaltungsschicht enthalten ist.Example 97 includes the subject matter of any of Examples 52-96 and further specifies that the patterned area is included in an M0 interconnection layer.

Das Beispiel 98 enthält den Gegenstand eines der Beispiele 52-96 und spezifiziert ferner, dass der mit einem Muster versehene Bereich in einer M1-Zusammenschaltungsschicht enthalten ist.Example 98 includes the subject matter of any of Examples 52-96 and further specifies that the patterned area is included in an M1 interconnection layer.

Das Beispiel 99 enthält den Gegenstand eines der Beispiele 52-96 und spezifiziert ferner, dass der mit einem Muster versehene Bereich in einer M2-Zusammenschaltungsschicht enthalten ist.Example 99 contains the subject matter of any of Examples 52-96 and further specifies that the patterned area is included in an M2 interconnection layer.

Das Beispiel 100 enthält den Gegenstand eines der Beispiele 52-99 und spezifiziert ferner, dass die erste leitfähige Leitung zu der zweiten leitfähigen Leitung parallel ist.Example 100 includes the subject matter of any of Examples 52-99 and further specifies that the first conductive line is parallel to the second conductive line.

Das Beispiel 101 ist eine mikroelektronische Struktur, die Folgendes enthält: einen ersten mit einem Muster versehenen Bereich, der eine erste leitfähige Leitung enthält; und einen zweiten mit einem Muster versehenen Bereich, der eine zweite leitfähige Leitung enthält, wobei der zweite mit einem Muster versehene Bereich mit dem ersten mit einem Muster versehenen Bereich koplanar ist, die erste leitfähige Leitung eine erste Leitungsbreitenrauheit und eine erste Leitungskantenrauheit aufweist, die erste Leitungsbreitenrauheit nicht gleich der mit der Quadratwurzel aus 2 multiplizierten ersten Leitungskantenrauheit ist, die zweite leitfähige Leitung eine zweite Leitungsbreitenrauheit und eine zweite Leitungskantenrauheit aufweist und die zweite Leitungsbreitenrauheit gleich der mit der Quadratwurzel aus 2 multiplizierten zweiten Leitungskantenrauheit ist.Example 101 is a microelectronic structure that includes: a first patterned area containing a first conductive line; and a second patterned area including a second conductive line, the second patterned area being coplanar with the first patterned area, the first conductive line having a first line width roughness and a first line edge roughness, the first Line width roughness is not equal to the first line edge roughness multiplied by the square root of 2, the second conductive line has a second line width roughness and a second line edge roughness, and the second line width roughness is equal to the second line edge roughness multiplied by the square root of 2.

Das Beispiel 102 enthält den Gegenstand des Beispiels 101 und spezifiziert ferner, dass die mikroelektronische Struktur ferner einen ungeordneten Bereich mit einem ungeordneten lamellaren Muster enthält und der ungeordnete Bereich mit dem ersten mit einem Muster versehenen Bereich koplanar ist.Example 102 includes the subject matter of Example 101 and further specifies that the microelectronic structure further includes a disordered region having a disordered lamellar pattern, and the disordered region is coplanar with the first patterned region.

Das Beispiel 103 enthält den Gegenstand des Beispiels 102 und spezifiziert ferner, dass die mikroelektronische Struktur ein Teil eines Dies ist und der ungeordnete Bereich ein Teil eines Übergangsbereichs des Dies unter einem Schutzring des Dies oder in einem Rahmen des Dies ist.Example 103 includes the subject matter of Example 102 and further specifies that the microelectronic structure is part of a die and the disordered area is part of a transition area of the die under a guard ring of the die or in a frame of the die.

Das Beispiel 104 enthält den Gegenstand eines der Beispiele 102-103 und spezifiziert ferner, dass die erste leitfähige Leitung ein leitfähiges Material enthält und der ungeordnete Bereich ein Material mit einer gleichen Materialzusammensetzung wie das leitfähige Material enthält.Example 104 contains the subject matter of one of Examples 102-103 and further specifies that the first conductive line contains a conductive material and the disordered region contains a material with the same material composition as the conductive material.

Das Beispiel 105 enthält den Gegenstand eines der Beispiele 102-104 und spezifiziert ferner, dass der erste mit einem Muster versehene Bereich ein dielektrisches Material enthält und der ungeordnete Bereich ein Material mit einer gleichen Materialzusammensetzung wie das dielektrische Material enthält.Example 105 includes the subject matter of any of Examples 102-104 and further specifies that the first patterned region contains a dielectric material and the disordered region contains a material having a material composition identical to that of the dielectric material.

Das Beispiel 106 enthält den Gegenstand eines der Beispiele 101-105 und spezifiziert ferner, dass ein Abstand der leitfähigen Leitungen in dem ersten mit einem Muster versehenen Bereich kleiner als 30 Nanometer ist.Example 106 includes the subject matter of any of Examples 101-105 and further specifies that a pitch of the conductive lines in the first patterned area is less than 30 nanometers.

Das Beispiel 107 enthält den Gegenstand eines der Beispiele 101-106 und spezifiziert ferner, dass ein Abstand der leitfähigen Leitungen in dem ersten mit einem Muster versehenen Bereich kleiner als 24 Nanometer ist.Example 107 contains the subject matter of any of Examples 101-106 and further specifies that a pitch of the conductive lines in the first patterned area is less than 24 nanometers.

Das Beispiel 108 enthält den Gegenstand eines der Beispiele 101-106 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die kleiner als 15 Nanometer ist.Example 108 includes the subject matter of any of Examples 101-106 and further specifies that the first conductive line has a width that is less than 15 nanometers.

Das Beispiel 109 enthält den Gegenstand eines der Beispiele 101-108 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die kleiner als 12 Nanometer ist.Example 109 includes the subject matter of any of Examples 101-108 and further specifies that the first conductive line has a width that is less than 12 nanometers.

Das Beispiel 110 enthält den Gegenstand eines der Beispiele 101-109 und spezifiziert ferner, dass die mikroelektronische Struktur ferner Abstands-Teilungs-Artefakte in der Nähe des ersten mit einem Muster versehenen Bereichs enthält.Example 110 includes the subject matter of any of Examples 101-109 and further specifies that the microelectronic structure further includes space-division artifacts in the vicinity of the first patterned area.

Das Beispiel 111 enthält den Gegenstand des Beispiels 110 und spezifiziert ferner, dass die Abstands-Teilungs-Artefakte ein oder mehrere Halbringmuster in einem dielektrischen Material enthalten.Example 111 includes the subject matter of Example 110 and further specifies that the pitch-pitch artifacts include one or more half-ring patterns in a dielectric material.

Das Beispiel 112 enthält den Gegenstand eines der Beispiele 101-111 und spezifiziert ferner, dass die Breiten von wenigstens einigen leitfähigen Leitungen in dem ersten mit einem Muster versehenen Bereich über die wenigstens einigen leitfähigen Leitungen periodisch sind.Example 112 includes the subject matter of any of Examples 101-111 and further specifies that the widths of at least some conductive lines in the first patterned area are periodic across the at least some conductive lines.

Das Beispiel 113 enthält den Gegenstand eines der Beispiele 101-112 und spezifiziert ferner, dass die zweite leitfähige Leitung eine Breite aufweist, die größer als eine Breite der ersten leitfähigen Leitung ist.Example 113 includes the subject matter of any of Examples 101-112 and further specifies that the second conductive line has a width that is greater than a width of the first conductive line.

Das Beispiel 114 enthält den Gegenstand des Beispiels 113 und spezifiziert ferner, dass die zweite Leitungskantenrauheit größer als die erste Leitungskantenrauheit ist.Example 114 includes the subject matter of Example 113 and further specifies that the second line edge roughness is greater than the first line edge roughness.

Das Beispiel 115 enthält den Gegenstand des Beispiels 114 und spezifiziert ferner, dass die zweite Leitungskantenrauheit größer als 1,2 Nanometer ist.Example 115 includes the subject matter of Example 114 and further specifies that the second line edge roughness is greater than 1.2 nanometers.

Das Beispiel 116 enthält den Gegenstand eines der Beispiele 113-115 und spezifiziert ferner, dass die erste Leitungskantenrauheit kleiner als 1,2 Nanometer ist.Example 116 includes the subject matter of any of Examples 113-115 and further specifies that the first line edge roughness is less than 1.2 nanometers.

Das Beispiel 117 enthält den Gegenstand eines der Beispiele 101-112 und spezifiziert ferner, dass die erste leitfähige Leitung eine Breite aufweist, die größer als eine Breite der zweiten leitfähigen Leitung ist.Example 117 includes the subject matter of any of Examples 101-112 and further specifies that the first conductive line has a width that is greater than a width of the second conductive line.

Das Beispiel 118 enthält den Gegenstand eines der Beispiele 101-117 und spezifiziert ferner, dass ein Abstand der leitfähigen Leitungen in dem zweiten mit einem Muster versehenen Bereich größer als 30 Nanometer ist.Example 118 includes the subject matter of any of Examples 101-117 and further specifies that a pitch of the conductive lines in the second patterned area is greater than 30 nanometers.

Das Beispiel 119 enthält den Gegenstand eines der Beispiele 101-118 und spezifiziert ferner, dass der zweite mit einem Muster versehene Bereich mit dem ersten mit einem Muster versehenen Bereich koplanar ist.Example 119 includes the subject matter of any of Examples 101-118 and further specifies that the second patterned area is coplanar with the first patterned area.

Das Beispiel 120 enthält den Gegenstand eines der Beispiele 101-119 und spezifiziert ferner, dass sich der zweite mit einem Muster versehene Bereich in derselben Schicht eines Metallisierungsstapels wie der erste mit einem Muster versehene Bereich befindet.Example 120 includes the subject matter of any of Examples 101-119 and further specifies that the second patterned area is in the same layer of a metallization stack as the first patterned area.

Das Beispiel 121 enthält den Gegenstand eines der Beispiele 101-120 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Durchkontaktierung in leitfähigen Kontakt mit der ersten leitfähigen Leitung enthält.Example 121 includes the subject matter of any of Examples 101-120 and further specifies that the microelectronic structure further includes a via in conductive contact with the first conductive line.

Das Beispiel 122 enthält den Gegenstand des Beispiels 121 und spezifiziert ferner, dass sich die Durchkontaktierung in einem dielektrischen Material befindet und das dielektrische Material einen Photosäuregenerator enthält.Example 122 includes the subject matter of Example 121 and further specifies that the via is in a dielectric material and the dielectric material includes a photoacid generator.

Das Beispiel 123 enthält den Gegenstand eines der Beispiele 121-122 und spezifiziert ferner, dass das dielektrische Material einen Löscher enthält.Example 123 contains the subject matter of one of Examples 121-122 and further specifies that the dielectric material contains a quencher.

Das Beispiel 124 enthält den Gegenstand eines der Beispiele 121-123 und spezifiziert ferner, dass die Durchkontaktierung Seitenflächen aufweist, die auf die Seitenflächen der ersten leitfähigen Leitung selbstausgerichtet sind.Example 124 includes the subject matter of any of Examples 121-123 and further specifies that the via has side surfaces that are self-aligned with the side surfaces of the first conductive line.

Das Beispiel 125 enthält den Gegenstand eines der Beispiele 121-123 und spezifiziert ferner, dass sich die Durchkontaktierung nicht mit dem der ersten leitfähigen Leitung in dem ersten mit einem Muster versehenen Bereich benachbarten dielektrischen Material in Kontakt befindet.Example 125 includes the subject matter of any of Examples 121-123 and further specifies that the via is not in contact with the dielectric material adjacent to the first conductive line in the first patterned area.

Das Beispiel 126 enthält den Gegenstand eines der Beispiele 101-125 und spezifiziert ferner, dass die mikroelektronische Struktur ferner eine Vorrichtungsschicht enthält und der erste mit einem Muster versehene Bereich in einer Zusammenschaltungsschicht über oder unter der Vorrichtungsschicht enthalten ist.Example 126 includes the subject matter of any of Examples 101-125 and further specifies that the microelectronic structure further includes a device layer and the first patterned area is included in an interconnection layer above or below the device layer.

Das Beispiel 127 enthält den Gegenstand des Beispiels 126 und spezifiziert ferner, dass die mikroelektronische Struktur ferner leitfähige Kontakte enthält und sich der erste mit einem Muster versehene Bereich zwischen den leitfähigen Kontakten und der Vorrichtungsschicht befindet.Example 127 includes the subject matter of Example 126 and further specifies that the microelectronic structure further includes conductive contacts and the first patterned area is between the conductive contacts and the device layer.

Das Beispiel 128 enthält den Gegenstand eines der Beispiele 101-127 und spezifiziert ferner, dass der erste mit einem Muster versehene Bereich in einer M0-Zusammenschaltungsschicht enthalten ist.Example 128 includes the subject matter of any of Examples 101-127 and further specifies that the first patterned area is included in an M0 interconnection layer.

Das Beispiel 129 enthält den Gegenstand eines der Beispiele 101-127 und spezifiziert ferner, dass der erste mit einem Muster versehene Bereich in einer M1-Zusammenschaltungsschicht enthalten ist.Example 129 includes the subject matter of any of Examples 101-127 and further specifies that the first patterned area is included in an M1 interconnection layer.

Das Beispiel 130 enthält den Gegenstand eines der Beispiele 101-127 und spezifiziert ferner, dass der erste mit einem Muster versehene Bereich in einer M2-Zusammenschaltungsschicht enthalten ist.Example 130 includes the subject matter of any of Examples 101-127 and further specifies that the first patterned area is included in an M2 interconnection layer.

Das Beispiel 131 enthält den Gegenstand eines der Beispiele 101-130 und spezifiziert ferner, dass die erste leitfähige Leitung zu der zweiten leitfähigen Leitung parallel ist.Example 131 includes the subject matter of any of Examples 101-130 and further specifies that the first conductive line is parallel to the second conductive line.

Das Beispiel 132 ist eine Rechenvorrichtung, die Folgendes enthält: einen Die, der eine der mikroelektronischen Strukturen nach einem der Ansprüche 1-131 enthält; und eine Leiterplatte, wobei der Die kommunikationstechnisch an die Leiterplatte gekoppelt ist.Example 132 is a computing device that includes: a die that includes any of the microelectronic structures of any one of claims 1-131; and a circuit board, the die being communicatively coupled to the circuit board.

Das Beispiel 133 enthält den Gegenstand des Beispiels 132 und spezifiziert ferner, dass der Die in einer Baugruppe enthalten ist und die Baugruppe kommunikationstechnisch an die Leiterplatte gekoppelt ist.Example 133 contains the subject matter of example 132 and further specifies that the die is contained in an assembly and that the assembly is coupled to the circuit board in terms of communication technology.

Das Beispiel 134 enthält den Gegenstand des Beispiels 133 und spezifiziert ferner, dass das Baugruppe durch ein Lot kommunikationstechnisch an die Leiterplatte gekoppelt ist.Example 134 contains the subject matter of example 133 and further specifies that the assembly is communicatively coupled to the circuit board by a solder.

Das Beispiel 135 enthält den Gegenstand eines der Beispiele 132-134 und spezifiziert ferner, dass die Leiterplatte eine Hauptplatine ist.Example 135 contains the subject matter of any of Examples 132-134 and further specifies that the circuit board is a motherboard.

Das Beispiel 136 enthält den Gegenstand eines der Beispiele 132-135 und spezifiziert ferner, dass der Die ein Teil einer Verarbeitungsvorrichtung oder einer Speichervorrichtung ist.Example 136 includes the subject matter of any of Examples 132-135 and further specifies that the die is part of a processing device or a storage device.

Das Beispiel 137 enthält den Gegenstand eines der Beispiele 132-136 und spezifiziert ferner, dass die Rechenvorrichtung eine mobile Rechenvorrichtung ist.Example 137 includes the subject matter of any of Examples 132-136 and further specifies that the computing device is a mobile computing device.

Das Beispiel 138 enthält den Gegenstand eines der Beispiele 132-136 und spezifiziert ferner, dass die Rechenvorrichtung eine Laptop-Rechenvorrichtung ist.Example 138 includes the subject matter of any of Examples 132-136 and further specifies that the computing device is a laptop computing device.

Das Beispiel 139 enthält den Gegenstand eines der Beispiele 132-136 und spezifiziert ferner, dass die Rechenvorrichtung eine Desktop-Rechenvorrichtung ist.Example 139 includes the subject matter of any of Examples 132-136 and further specifies that the computing device is a desktop computing device.

Das Beispiel 140 enthält den Gegenstand eines der Beispiele 132-136 und spezifiziert ferner, dass die Rechenvorrichtung eine tragbare Rechenvorrichtung ist.Example 140 includes the subject matter of any of Examples 132-136 and further specifies that the computing device is a portable computing device.

Das Beispiel 141 enthält den Gegenstand eines der Beispiele 132-136 und spezifiziert ferner, dass die Rechenvorrichtung eine Server-Rechenvorrichtung ist.Example 141 includes the subject matter of any of Examples 132-136 and further specifies that the computing device is a server computing device.

Das Beispiel 142 enthält den Gegenstand eines der Beispiele 132-136 und spezifiziert ferner, dass die Rechenvorrichtung eine Fahrzeugrechenvorrichtung ist.Example 142 includes the subject matter of any of Examples 132-136 and further specifies that the computing device is a vehicle computing device.

Das Beispiel 143 enthält den Gegenstand eines der Beispiele 132-142 und spezifiziert ferner, dass die Rechenvorrichtung ferner eine Anzeige enthält, die kommunikationstechnisch an die Leiterplatte gekoppelt ist.Example 143 includes the subject matter of any of Examples 132-142 and further specifies that the computing device further include a display contains, which is communicatively coupled to the circuit board.

Das Beispiel 144 enthält den Gegenstand eines der Beispiele 132-143 und spezifiziert ferner, dass die Rechenvorrichtung ferner eine Antenne enthält, die kommunikationstechnisch an die Leiterplatte gekoppelt ist.Example 144 includes the subject matter of any of Examples 132-143 and further specifies that the computing device further includes an antenna that is communicatively coupled to the circuit board.

Das Beispiel 145 enthält den Gegenstand eines der Beispiele 132-144 und spezifiziert ferner, dass die Rechenvorrichtung ferner ein Gehäuse um den Die und die Leiterplatte enthält.Example 145 includes the subject matter of any of Examples 132-144 and further specifies that the computing device further includes a housing around the die and circuit board.

Das Beispiel 146 enthält den Gegenstand des Beispiels 145 und spezifiziert ferner, dass das Gehäuse ein Kunststoffmaterial enthält.Example 146 includes the subject matter of Example 145 and further specifies that the housing includes a plastic material.

Das Beispiel 147 enthält eines der hier offenbarten Herstellungsverfahren.Example 147 includes one of the manufacturing methods disclosed herein.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • US 63/033721 [0001]US 63/033721 [0001]

Claims (20)

Mikroelektronische Struktur, die Folgendes umfasst: einen mit einem Muster versehenen Bereich, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung der ersten leitfähigen Leitung benachbart ist, die erste leitfähige Leitung und die zweite leitfähige Leitung einen Abstand aufweisen, der kleiner als 30 Nanometer ist, die erste leitfähige Leitung eine Leitungskantenrauheit aufweist, die kleiner als 1,2 Nanometer ist, und die zweite leitfähige Leitung eine Leitungskantenrauheit aufweist, die kleiner als 1,2 Nanometer ist.Microelectronic structure, which includes: a patterned area including a first conductive line and a second conductive line, wherein the second conductive line is adjacent to the first conductive line, the first conductive line and the second conductive line are spaced less than 30 nanometers apart , the first conductive line has a line edge roughness that is less than 1.2 nanometers, and the second conductive line has a line edge roughness that is less than 1.2 nanometers. Mikroelektronische Struktur nach Anspruch 1, wobei die mikroelektronische Struktur ferner einen ungeordneten Bereich mit einem ungeordneten lamellaren Muster enthält und der ungeordnete Bereich mit dem mit einem Muster versehenen Bereich koplanar ist.Microelectronic structure according to Claim 1 wherein the microelectronic structure further includes a disordered area having a disordered lamellar pattern, and the disordered area is coplanar with the patterned area. Mikroelektronische Struktur nach Anspruch 1, wobei die mikroelektronische Struktur ferner Abstands-Teilungs-Artefakte in der Nähe des mit einem Muster versehenen Bereichs enthält.Microelectronic structure according to Claim 1 wherein the microelectronic structure further includes space-division artifacts in the vicinity of the patterned area. Mikroelektronische Struktur nach einem der Ansprüche 1-3, wobei der mit einem Muster versehene Bereich ein erster mit einem Muster versehener Bereich ist, die mikroelektronische Struktur ferner einen zweiten mit einem Muster versehenen Bereich enthält, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs der ersten leitfähigen Leitung des zweiten mit einem Muster versehenen Bereichs benachbart ist, die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs und die zweite leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs einen Abstand aufweisen, der größer als 24 Nanometer ist.Microelectronic structure according to one of the Claims 1 - 3 wherein the patterned area is a first patterned area, the microelectronic structure further includes a second patterned area including a first conductive line and a second conductive line, the second conductive line having the second a patterned portion of the first conductive line of the second patterned area is adjacent, the first conductive line of the second patterned area and the second conductive line of the second patterned area are spaced apart greater than 24 nanometers is. Mikroelektronische Struktur nach Anspruch 4, wobei die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungskantenrauheit aufweist, die größer als 1,2 Nanometer ist, und die zweite leitfähige Leitung eine Leitungskantenrauheit aufweist, die größer als 1,2 Nanometer ist.Microelectronic structure according to Claim 4 wherein the first conductive line of the second patterned region has a line edge roughness that is greater than 1.2 nanometers and the second conductive line has a line edge roughness that is greater than 1.2 nanometers. Mikroelektronische Struktur nach Anspruch 4, wobei die erste leitfähige Leitung des zweiten mit einem Muster versehenen Bereichs eine Leitungsbreitenrauheit und eine Leitungskantenrauheit aufweist und die Leitungsbreitenrauheit gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit ist.Microelectronic structure according to Claim 4 wherein the first conductive line of the second patterned region has a line width roughness and a line edge roughness, and the line width roughness is equal to the line edge roughness multiplied by the square root of 2. Mikroelektronische Struktur nach Anspruch 4, wobei der zweite mit einem Muster versehene Bereich mit dem ersten mit einem Muster versehenen Bereich koplanar ist.Microelectronic structure according to Claim 4 wherein the second patterned area is coplanar with the first patterned area. Mikroelektronische Struktur nach Anspruch 4, wobei sich der zweite mit einem Muster versehene Bereich in derselben Schicht eines Metallisierungsstapels wie der erste mit einem Muster versehene Bereich befindet.Microelectronic structure according to Claim 4 wherein the second patterned area is in the same layer of a metallization stack as the first patterned area. Mikroelektronische Struktur nach einem der Ansprüche 1-3, wobei die erste leitfähige Leitung eine Leitungsbreitenrauheit aufweist und die Leitungsbreitenrauheit der ersten leitfähigen Leitung nicht gleich der mit der Quadratwurzel aus 2 multiplizierten Leitungskantenrauheit der ersten leitfähigen Leitung ist.Microelectronic structure according to one of the Claims 1 - 3 wherein the first conductive line has a line width roughness and the line width roughness of the first conductive line is not equal to the line edge roughness of the first conductive line multiplied by the square root of 2. Mikroelektronische Struktur nach einem der Ansprüche 1-3, wobei der mit einem Muster versehene Bereich eine dritte leitfähige Leitung und eine vierte leitfähige Leitung enthält, sich die dritte leitfähige Leitung zwischen der zweiten leitfähigen Leitung und der vierten leitfähigen Leitung befindet, die dritte leitfähige Leitung eine Leitungskantenrauheit größer als 1,2 Nanometer aufweist und die vierte leitfähige Leitung eine Leitungskantenrauheit kleiner als 1,2 Nanometer aufweist.Microelectronic structure according to one of the Claims 1 - 3 wherein the patterned area includes a third conductive line and a fourth conductive line, the third conductive line is between the second conductive line and the fourth conductive line, the third conductive line has a line edge roughness greater than 1.2 nanometers, and the fourth conductive line has a line edge roughness less than 1.2 nanometers. Mikroelektronische Struktur, die Folgendes umfasst: einen mit einem Muster versehenen Bereich, der eine erste leitfähige Leitung und eine zweite leitfähige Leitung enthält, wobei die zweite leitfähige Leitung der ersten leitfähigen Leitung benachbart ist; und einen ungeordneten Bereich mit einem ungeordneten lamellaren Muster, wobei der ungeordnete Bereich mit dem mit einem Muster versehenen Bereich koplanar ist.Microelectronic structure, which includes: a patterned area including a first conductive line and a second conductive line, the second conductive line being adjacent to the first conductive line; and a disordered area having a disordered lamellar pattern, the disordered area being coplanar with the patterned area. Mikroelektronische Struktur nach Anspruch 11, wobei die erste leitfähige Leitung ein leitfähiges Material enthält und der ungeordnete Bereich ein Material mit einer gleichen Materialzusammensetzung wie das leitfähige Material enthält.Microelectronic structure according to Claim 11 wherein the first conductive line contains a conductive material and the disordered area contains a material with the same material composition as the conductive material. Mikroelektronische Struktur nach Anspruch 11, wobei der mit einem Muster versehene Bereich ein dielektrisches Material enthält und der ungeordnete Bereich ein Material mit einer gleichen Materialzusammensetzung wie das dielektrische Material enthält.Microelectronic structure according to Claim 11 wherein the patterned area contains a dielectric material and the disordered area contains a material having the same material composition as the dielectric material. Mikroelektronische Struktur nach einem der Ansprüche 11-13, wobei ein Zwischenraum zwischen der ersten leitfähigen Leitung und der zweiten leitfähigen Leitung kleiner als 15 Nanometer ist.Microelectronic structure according to one of the Claims 11 - 13th , wherein a gap between the first conductive line and the second conductive line is less than 15 nanometers. Mikroelektronische Struktur nach einem der Ansprüche 11-13, wobei die mikroelektronische Struktur ferner eine Vorrichtungsschicht enthält und der mit einem Muster versehene Bereich in einer Zusammenschaltungsschicht über oder unter der Vorrichtungsschicht enthalten ist.Microelectronic structure according to one of the Claims 11 - 13th wherein the microelectronic structure further includes a device layer and the patterned area in a Interconnection layer is included above or below the device layer. Mikroelektronische Struktur, die Folgendes umfasst: einen ersten mit einem Muster versehenen Bereich, der eine erste leitfähige Leitung enthält; und einen zweiten mit einem Muster versehenen Bereich, der eine zweite leitfähige Leitung enthält, wobei der zweite mit einem Muster versehene Bereich mit dem ersten mit einem Muster versehenen Bereich koplanar ist, die erste leitfähige Leitung eine erste Leitungsbreitenrauheit und eine erste Leitungskantenrauheit aufweist, die erste Leitungsbreitenrauheit nicht gleich der mit der Quadratwurzel aus 2 multiplizierten ersten Leitungskantenrauheit ist, die zweite leitfähige Leitung eine zweite Leitungsbreitenrauheit und eine zweite Leitungskantenrauheit aufweist und die zweite Leitungsbreitenrauheit gleich der mit der Quadratwurzel aus 2 multiplizierten zweiten Leitungskantenrauheit ist.Microelectronic structure, which includes: a first patterned area including a first conductive line; and a second patterned area including a second conductive line, the second patterned area being coplanar with the first patterned area, the first conductive line having a first line width roughness and a first line edge roughness, the first line width roughness is not equal to the first line edge roughness multiplied by the square root of 2, the second conductive line has a second line width roughness and a second line edge roughness, and the second line width roughness is equal to the second line edge roughness multiplied by the square root of 2. Mikroelektronische Struktur nach Anspruch 16, wobei die mikroelektronische Struktur ferner eine Durchkontaktierung enthält, die sich mit der ersten leitfähigen Leitung in leitfähigen Kontakt befindet.Microelectronic structure according to Claim 16 wherein the microelectronic structure further includes a via that is in conductive contact with the first conductive line. Mikroelektronische Struktur nach Anspruch 17, wobei sich die Durchkontaktierung in einem dielektrischen Material befindet und das dielektrische Material einen Photosäuregenerator enthält.Microelectronic structure according to Claim 17 wherein the via is in a dielectric material and the dielectric material includes a photoacid generator. Mikroelektronische Struktur nach Anspruch 18, wobei das dielektrische Material einen Löscher enthält.Microelectronic structure according to Claim 18 wherein the dielectric material includes an eraser. Mikroelektronische Struktur nach einem der Ansprüche 17-19, wobei die Durchkontaktierung Seitenflächen aufweist, die auf die Seitenflächen der ersten leitfähigen Leitung selbstausgerichtet sind.Microelectronic structure according to one of the Claims 17 - 19th wherein the via has side surfaces that are self-aligned with the side surfaces of the first conductive line.
DE102020131642.9A 2020-06-02 2020-11-30 STRUCTURES AND TECHNIQUES OF DIRECTED SELF-ORGANIZATION Pending DE102020131642A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063033721P 2020-06-02 2020-06-02
US63/033,721 2020-06-02
US17/032,517 2020-09-25
US17/032,517 US20210375745A1 (en) 2020-06-02 2020-09-25 Directed self-assembly structures and techniques

Publications (1)

Publication Number Publication Date
DE102020131642A1 true DE102020131642A1 (en) 2021-12-02

Family

ID=78509311

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020131642.9A Pending DE102020131642A1 (en) 2020-06-02 2020-11-30 STRUCTURES AND TECHNIQUES OF DIRECTED SELF-ORGANIZATION

Country Status (8)

Country Link
EP (1) EP4158689A1 (en)
JP (1) JP2023529275A (en)
KR (1) KR20230017766A (en)
CN (1) CN115552598A (en)
DE (1) DE102020131642A1 (en)
NL (2) NL2028300B1 (en)
TW (1) TW202212974A (en)
WO (1) WO2021247195A1 (en)

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8999492B2 (en) * 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
JP5254381B2 (en) * 2011-02-23 2013-08-07 株式会社東芝 Pattern formation method
JP5802233B2 (en) * 2013-03-27 2015-10-28 株式会社東芝 Pattern formation method
US9385026B2 (en) * 2014-05-08 2016-07-05 GlobalFoundries, Inc. Sublithographic Kelvin structure patterned with DSA
KR102637883B1 (en) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 A method for pattern formation on a substrate, associated semiconductor devices and uses of the method
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
CN108227412A (en) * 2016-12-15 2018-06-29 Imec 非营利协会 Photolithographic mask layer
US10964648B2 (en) * 2017-04-24 2021-03-30 International Business Machines Corporation Chip security fingerprint
US10276434B1 (en) * 2018-01-02 2019-04-30 International Business Machines Corporation Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
US10515812B1 (en) * 2018-08-13 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of reducing pattern roughness in semiconductor fabrication
US11605623B2 (en) * 2019-06-28 2023-03-14 Intel Corporation Materials and layout design options for DSA on transition regions over active die

Also Published As

Publication number Publication date
TW202212974A (en) 2022-04-01
NL2032235A (en) 2022-09-27
JP2023529275A (en) 2023-07-10
NL2028300B1 (en) 2022-07-15
EP4158689A1 (en) 2023-04-05
NL2032235B1 (en) 2023-05-15
KR20230017766A (en) 2023-02-06
WO2021247195A1 (en) 2021-12-09
CN115552598A (en) 2022-12-30
NL2028300A (en) 2021-12-14

Similar Documents

Publication Publication Date Title
DE102017122830B4 (en) METHOD FOR MANUFACTURING A SEMICONDUCTOR COMPONENT
DE102014110425B4 (en) semiconductor device
DE102019100124A1 (en) Gate arrangements in quantum dot devices
DE102020112887A1 (en) SUBSTRATELESS, DOUBLE-SIDED, EMBEDDED MULTI-DIE CONNECTING BRIDGE
DE112016007567T5 (en) HOUSING SUBSTRATE WITH HIGH-DENSITY INTERMEDIATE LAYER WITH PILLAR AND VIA CONNECTIONS FOR FAN-OUT SCALING
DE112016007542T5 (en) Advanced lithography and self-organizing devices
DE102017117937A1 (en) Split rail structures located in adjacent metal layers
DE102020105121A1 (en) INTEGRATED GATE-ALL-AROUND CIRCUIT STRUCTURES WITH GERMANIUM NANO WIRE CHANNEL STRUCTURES
DE112016007377T5 (en) SELF-ALIGNED CONTACT
DE102019103481B4 (en) Via sizes to reduce the risk of bridging and improve performance
DE102019207381B4 (en) Different bottom and top spacers for one contact
DE102014101058A1 (en) METHOD FOR PRODUCING AN INTEGRATED CIRCUIT AND INTEGRATED CIRCUIT
DE112017001160T5 (en) Electronic components with three-dimensional capacitors in a metallization stack
DE112013007037T5 (en) Non-planar semiconductor device with self-aligned ridge with upper barrier layer
DE102014113907A1 (en) Integrated circuit structure and battery structure
DE102013202739A1 (en) SRAM integrated circuits with buried saddle-shaped FINFET and method of making same
DE112016006659T5 (en) Damascene plug and tongue structure formation using photobuckets for spacer-based back-end-of-line (BEOL) connections
DE112016007483T5 (en) Hardened plug for improved short-circuit margin
DE102020103736A1 (en) NON-PLANAR TRANSISTORS WITH CHANNEL AREAS THAT HAVE VARIATING WIDTHS
DE102019204967A1 (en) Chamfered exchange gate structures
DE102014103428A1 (en) Method for processing a carrier
DE102015116124A1 (en) Lithographic technique for separating an element by shrinking the line end
DE102017120185A1 (en) Method and integrated circuit design with non-linear busbars
DE102020124250B4 (en) Cell structure with intermetallic layers for power supplies
DE112018007152T5 (en) ETCH-STOP-LAYER-BASED METHODS FOR THE PRODUCTION OF CONDUCTIVE THROUGH CONTACTS AND RESULTING STRUCTURES