DE102020112821A1 - DUMMY GATE INTERSECTION PROCESS AND RESULTING GATE STRUCTURES - Google Patents

DUMMY GATE INTERSECTION PROCESS AND RESULTING GATE STRUCTURES Download PDF

Info

Publication number
DE102020112821A1
DE102020112821A1 DE102020112821.5A DE102020112821A DE102020112821A1 DE 102020112821 A1 DE102020112821 A1 DE 102020112821A1 DE 102020112821 A DE102020112821 A DE 102020112821A DE 102020112821 A1 DE102020112821 A1 DE 102020112821A1
Authority
DE
Germany
Prior art keywords
gate
dielectric layer
dielectric
dummy
isolation region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020112821.5A
Other languages
German (de)
Inventor
Shih-Yao Lin
Chih-Han Lin
Shu-Uei JANG
Ya-Yi Tsai
Shu-Yuan Ku
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/867,867 external-priority patent/US11251284B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020112821A1 publication Critical patent/DE102020112821A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate

Abstract

Ein Verfahren umfasst das Bilden eines Dummy-Gatestapels, das Ätzen des Dummy-Gatestapels zur Bildung einer Öffnung, das Abscheiden einer ersten dielektrischen Schicht, die sich in die Öffnung erstreckt, und das Abscheiden einer zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht, wobei sich die zweite dielektrische Schicht in die Öffnung erstreckt. Dann wird ein Planarisierungsprozess durchgeführt, um ein Gateisolationsgebiet zu bilden, das die erste dielektrische Schicht und die zweite dielektrische Schicht aufweist. Der Dummy-Gatestapel wird dann entfernt, um Gräben auf gegenüberliegenden Seiten des Gateisolationsgebietes zu bilden. Das Verfahren umfasst ferner das Durchführen eines ersten Ätzprozesses zur Entfernung von Seitenwandabschnitten der ersten dielektrischen Schicht, das Durchführen eines zweiten Ätzprozesses zur Abdünnung der zweiten dielektrischen Schicht und das Bilden von Ersatzgates in den Gräben.One method includes forming a dummy gate stack, etching the dummy gate stack to form an opening, depositing a first dielectric layer that extends into the opening, and depositing a second dielectric layer on the first dielectric layer, wherein the second dielectric layer extends into the opening. A planarization process is then performed to form a gate isolation region having the first dielectric layer and the second dielectric layer. The dummy gate stack is then removed to form trenches on opposite sides of the gate isolation region. The method further comprises performing a first etching process to remove sidewall sections of the first dielectric layer, performing a second etching process to thin the second dielectric layer, and forming replacement gates in the trenches.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS REFERENCE

Die vorliegende Anmeldung beansprucht die Priorität der am 29. Oktober 2019 eingereichten vorläufigen US-Patentanmeldung mit der Anmeldenummer 62/927,559 mit dem Titel „Metal Gate Fill Process and Resulting Gate Structures“, der hiermit durch Bezugnahme hierin aufgenommen wird.This application claims priority from U.S. provisional patent application filed on October 29, 2019 with application number 62 / 927,559 entitled "Metal Gate Fill Process and Resulting Gate Structures," which is hereby incorporated by reference.

TECHNISCHER HINTERGRUNDTECHNICAL BACKGROUND

Metall-Oxid-Halbleiter-Vorrichtungen (MOS) sind grundlegende Vorrichtungen in integrierten Schaltungen. Eine MOS-Vorrichtung hat typischerweise eine Gateelektrode mit Polysilizium, das mit p-Typ- oder n-Typ-Verunreinigungen mittels Dotierungsvorgänge wie Ionenimplantation oder thermischer Diffusion dotiert ist. Die Austrittsarbeit der Gateelektrode wurde an die Bandkante des Siliziums angepasst. Bei einer N-Metalloxidhalbleiter-Vorrichtung (NMOS-Vorrichtung) kann die Austrittsarbeit nahe an der Bandkante des Siliziums eingestellt werden. Für eine PMOS-Vorrichtung kann die Austrittsarbeit nahe an dem Valenzband des Siliziums eingestellt werden. Die Einstellung der Austrittsarbeit der Polysilizium-Gateelektrode kann durch die Auswahl geeigneter Verunreinigungen erreicht werden.Metal-oxide-semiconductor (MOS) devices are fundamental devices in integrated circuits. A MOS device typically has a gate electrode comprising polysilicon doped with p-type or n-type impurities through doping processes such as ion implantation or thermal diffusion. The work function of the gate electrode was adapted to the band edge of the silicon. In an N-metal oxide semiconductor (NMOS) device, the work function can be set close to the band edge of the silicon. For a PMOS device, the work function can be set close to the valence band of silicon. The adjustment of the work function of the polysilicon gate electrode can be achieved by the selection of suitable impurities.

MOS-Vorrichtungen mit Polysilizium-Gateelektroden zeigen einen Trägerverarmungseffekt, der auch als Polyverarmungseffekt bezeichnet wird. Der Polyverarmungseffekt tritt auf, wenn die angelegten elektrischen Felder Ladungsträger aus Gate-Gebieten nahe an Gatedielektrika verdrängen und Verarmungsschichten bilden. In einer n-dotierten Polysiliziumschicht enthält die Verarmungsschicht ionisierte nichtbewegliche Donorstellen, wobei in einer p-dotierten Polysiliziumschicht die Verarmungsschicht ionisierte nichtbewegliche Akzeptorstellen enthält. Der Verarmungseffekt führt zu einer Zunahme der effektiven Dicke eines Gatedielektrikums, wodurch es schwieriger wird, eine Inversionsschicht an der Oberfläche des Halbleiters zu erzeugen.MOS devices with polysilicon gate electrodes exhibit a carrier depletion effect, which is also referred to as the poly-depletion effect. The poly-depletion effect occurs when the applied electric fields displace charge carriers from gate regions close to gate dielectrics and form depletion layers. In an n-doped polysilicon layer, the depletion layer contains ionized immobile donor sites, and in a p-doped polysilicon layer the depletion layer contains ionized immobile acceptor sites. The depletion effect leads to an increase in the effective thickness of a gate dielectric, which makes it more difficult to produce an inversion layer on the surface of the semiconductor.

Das Polyverarmungsproblem kann durch die Bildung von Metall-Gateelektroden oder Metall-Silizid-Gateelektroden gelöst werden, wobei die in NMOS-Vorrichtungen und PMOS-Vorrichtungen verwendeten metallischen Gates auch Bandkante-Austrittsarbeit aufweisen können. Da die NMOS-Vorrichtungen und PMOS-Vorrichtungen unterschiedliche Anforderungen hinsichtlich der Austrittsarbeiten haben, werden Dual-Gate-CMOS-Vorrichtungen verwendet.The poly-depletion problem can be solved by forming metal gate electrodes or metal-silicide gate electrodes, and the metal gates used in NMOS devices and PMOS devices can also have band edge work functions. Since the NMOS devices and PMOS devices have different work function requirements, dual gate CMOS devices are used.

Bei der Bildung der Metall-Gateelektroden wird zunächst ein langes Dummy-Gate gebildet, das dann geätzt wird, so dass Abschnitte des langen Dummy-Gates voneinander getrennt werden. In die Öffnung, die der geätzte Abschnitt des langen Dummy-Gates hinterlässt, kann dann ein dielektrisches Material gefüllt werden. Das dielektrische Material wird dann poliert, was einen Abschnitt des dielektrischen Materials zwischen den verbleibenden Abschnitten des Dummy-Gates hinterlässt. Die abgetrennten Abschnitte des Dummy-Gates werden dann durch Metallgates ersetzt.When forming the metal gate electrodes, a long dummy gate is first formed, which is then etched so that sections of the long dummy gate are separated from one another. A dielectric material can then be filled into the opening left by the etched section of the long dummy gate. The dielectric material is then polished, leaving a portion of the dielectric material between the remaining portions of the dummy gate. The cut-off sections of the dummy gate are then replaced by metal gates.

FigurenlisteFigure list

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.

  • 1-4, 5A, 5B, 6, 7A, 7B, 7C, 8A, 8B-1, 8B-2, 8C, 9A, 9B, 10, 11A, 11B, 12A, 12B und 12C veranschaulichen Querschnittsansichten, Draufsichten und perspektivische Ansichten von Zwischenstadien bei der Herstellung von Finnen-Feldeffekttransistoren (FinFETs) und Gateisolationsgebieten auf einer Dummy-Finne gemäß einigen Ausführungsformen.
  • 13, 14A, 14B und 15-19 veranschaulichen Querschnittsansichten und perspektivische Ansichten von Zwischenstadien bei der Herstellung von Finnen-Feldeffekttransistoren (FinFETs) und einem Gateisolationsgebiet auf einem Flachgrabenisolationsgebiet gemäß einigen Ausführungsformen.
  • 20 bis 23 veranschaulichen Querschnittsansichten bei der Bildung von Gate-All-Around-Transistoren (GAA-Transistoren) und Gateisolationsgebieten gemäß einigen Ausführungsformen.
  • 24 und 25 veranschaulichen die Bildung von Gateisolationsgebieten mit mehreren Schichten gemäß einigen Ausführungsformen.
  • 26 veranschaulicht einen Prozessablauf zur Herstellung von FinFETs und Gateisolationsgebieten gemäß einigen Ausführungsformen.
Aspects of the present disclosure can be best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with industry practice, various features are not shown to scale. Rather, the dimensions of the various features can be increased or decreased arbitrarily for the sake of clarity of explanation.
  • 1-4 , 5A , 5B , 6th , 7A , 7B , 7C , 8A , 8B-1 , 8B-2 , 8C , 9A , 9B , 10 , 11A , 11B , 12A , 12B and 12C 10 illustrate cross-sectional, top, and perspective views of intermediate stages in the manufacture of fin field effect transistors (FinFETs) and gate isolation regions on a dummy fin in accordance with some embodiments.
  • 13th , 14A , 14B and 15-19 10 illustrate cross-sectional and perspective views of intermediate stages in the manufacture of fin field effect transistors (FinFETs) and a gate isolation region on a shallow trench isolation region in accordance with some embodiments.
  • 20th to 23 10 illustrate cross-sectional views in forming gate all around transistors (GAA transistors) and gate isolation regions in accordance with some embodiments.
  • 24 and 25th illustrate the formation of gate isolation regions with multiple layers in accordance with some embodiments.
  • 26th illustrates a process flow for fabricating FinFETs and gate isolation regions in accordance with some embodiments.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung liefert viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale der Erfindung. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend spezifische Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich nur Beispiele und sollen nicht einschränkend sein. Beispielsweise kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal möglichweise nicht in direktem Kontakt stehen. In der vorliegenden Offenbarung können ferner Bezugszeichen in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen Ausführungsformen und/oder Konfigurationen vor, die hierin diskutiert werden.The following disclosure provides many different embodiments or examples of implementing various features of the invention. To simplify the present disclosure, specific examples of components and arrangements are described below. These are of course only examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features are between the first and second Feature can be formed so that the first and second features may not be in direct contact. In the present disclosure, reference numerals may also be repeated in the various examples. This repetition is for the purpose of simplicity and clarity and does not per se dictate a relationship between the various embodiments and / or configurations discussed herein.

Darüber hinaus können hier der Einfachheit halber räumlich relative Begriffe wie „unterliegend“, „unten“, „unter“, „darüberliegend“, „über“, „oben“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Abbildungen dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Abbildungen dargestellten Ausrichtung verschiedene Ausrichtungen der in Gebrauch oder Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und auch die hier verwendeten räumlich relativen Deskriptoren können entsprechend auszulegen sein.In addition, for the sake of simplicity, spatially relative terms such as “below”, “below”, “below”, “above”, “above”, “above” and the like can be used here to describe the relationship of an element or feature to one or more Describe other elements or features as shown in the illustrations. The spatially relative terms are intended to include various orientations of the device in use or operation in addition to the orientation shown in the figures. The device can be oriented differently (rotated by 90 degrees or in other orientations), and the spatially relative descriptors used here can also be designed accordingly.

Es werden Gateisolationsgebiete, Finnen-Feldeffekttransistoren (FinFETs) und ein Verfahren zu ihrer Herstellung gemäß verschiedenen Ausführungsformen bereitgestellt. Die Zwischenschritte bei der Bildung der Gateisolationsgebiete gemäß einiger Ausführungsformen werden veranschaulicht. Einige Variationen einiger Ausführungsformen werden diskutiert. Die hierin diskutierten Ausführungsformen sollen Beispiele schaffen, um die Herstellung oder Verwendung des Gegenstandes dieser Offenbarung zu ermöglichen, und ein Fachmann auf dem relevanten Gebiet wird leicht erkennen, welche Modifikationen innerhalb des in Betracht gezogenen Umfangs der verschiedenen Ausführungsformen vorgenommen werden können. In den verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden zur Bezeichnung ähnlicher Elemente gleiche (oder ähnliche) Bezugszeichen verwendet. Obwohl hierin Ausführungsformen des Verfahrens in einer bestimmten Reihenfolge diskutiert werden können, können andere Ausführungsformen des Verfahrens in jeder logischen Reihenfolge ausführbar sein.Gate isolation regions, fin field effect transistors (FinFETs) and a method for their production in accordance with various embodiments are provided. The intermediate steps in forming the gate isolation regions in accordance with some embodiments are illustrated. Some variations of some embodiments are discussed. The embodiments discussed herein are intended to provide examples to enable the manufacture or use of the subject matter of this disclosure, and one skilled in the relevant art will readily appreciate what modifications can be made within the contemplated scope of the various embodiments. Like (or similar) reference characters are used to refer to like elements throughout the several views and illustrative embodiments. Although embodiments of the method may be discussed herein in a particular order, other embodiments of the method may be practicable in any logical order.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst die Herstellung von Gateisolationsgebieten das Ätzen eines Dummy-Gates zur Bildung einer Öffnung, das Füllen der Öffnung mit einer ersten dielektrischen Schicht und einer zweiten Schicht sowie die Durchführung eines Planarisierungsprozesses. Das Gate-Dummy wird dann entfernt. Ein erster Ätzprozess wird durchgeführt, um die freiliegenden Seitenwandabschnitte der ersten dielektrischen Schicht zu entfernen. Dann wird ein zweiter Ätzprozess durchgeführt, um die zweite dielektrische Schicht abzudünnen, so dass das resultierende Gateisolationsgebiet eine konkave Form in der Draufsicht aufweist. Anschließend werden Ersatzgates auf gegenüberliegenden Seiten der Gateisolationsgebiete gebildet.According to some embodiments of the present disclosure, the production of gate isolation regions includes etching a dummy gate to form an opening, filling the opening with a first dielectric layer and a second layer, and performing a planarization process. The gate dummy is then removed. A first etch process is performed to remove the exposed sidewall portions of the first dielectric layer. A second etching process is then carried out in order to thin the second dielectric layer so that the resulting gate insulation region has a concave shape in plan view. Replacement gates are then formed on opposite sides of the gate isolation regions.

1-4, 5A, 5B, 6, 7A, 7B, 7C, 8A, 8B-1, 8B-2, 8C, 9A, 9B, 10, 11A, 11B, 12A, 12B und 12C veranschaulichen die Querschnittsansichten der Zwischenstadien bei der Bildung von FinFETs und Gateisolationsgebieten auf einer Dummy-Finne. Die entsprechenden Prozesse sind auch schematisch in dem Prozessablauf in 26 wiedergegeben. 1-4 , 5A , 5B , 6th , 7A , 7B , 7C , 8A , 8B-1 , 8B-2 , 8C , 9A , 9B , 10 , 11A , 11B , 12A , 12B and 12C Figure 10 illustrates the cross-sectional views of the intermediate stages in the formation of FinFETs and gate isolation regions on a dummy fin. The corresponding processes are also shown schematically in the process flow 26th reproduced.

1 veranschaulicht eine perspektivische Ansicht einer ersten Struktur. Die Ausgangsstruktur weist einen Wafer 10 auf, der weiter ein Substrat 20 aufweist. Substrat 20 kann ein Halbleitersubstrat sein, das ein Siliziumsubstrat, ein Silizium-Germanium-Substrat oder ein aus anderen Halbleitermaterialien gebildetes Substrat sein kann. Substrat 20 kann mit einer p- oder einer n-Verunreinigung dotiert sein. Isolationsgebiete 22, wie beispielsweise Flachgrabenisolationsgebiete (STI-Gebiete), werden so gebildet, dass sie sich von einer oberen Oberfläche des Substrats 20 in das Substrat 20 erstrecken. Der zugehörige Prozess wird als Prozess 202 im Prozessablauf 200 in 26 dargestellt. Die Abschnitte des Substrats 20 zwischen benachbarten STI-Gebiete 22 werden als Halbleiterstreifen 24 bezeichnet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 24 Teile des ursprünglichen Substrats 20, und daher ist das Material der Halbleiterstreifen 24 das gleiche wie das von Substrat 20. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 24 Ersatzstreifen, die durch Ätzen der Abschnitte des Substrats 20 zwischen den STI-Gebieten 22 zur Bildung von Vertiefungen und Durchführung eines Epitaxieprozesses zum Nachwachsen eines anderen Halbleitermaterials in den Vertiefungen gebildet werden. Dementsprechend werden die Halbleiterstreifen 24 aus einem Halbleitermaterial gebildet, das von dem Material des Substrats 20 verschieden ist. Gemäß einigen Ausführungsformen werden die Halbleiterstreifen 24 aus Si, SiP, SiC, SiPC, SiGe, SiGeB, Ge oder einem III-V-Verbindungshalbleiter wie InP, GaAs, AlAs, InAs, InAlAs, InGaAs oder dergleichen gebildet. 1 Figure 11 illustrates a perspective view of a first structure. The starting structure has a wafer 10 on who further a substrate 20th having. Substrate 20th may be a semiconductor substrate, which may be a silicon substrate, a silicon-germanium substrate, or a substrate formed from other semiconductor materials. Substrate 20th can be doped with a p- or an n-type impurity. Isolation areas 22nd such as shallow trench isolation (STI) regions are formed so as to extend from a top surface of the substrate 20th into the substrate 20th extend. The associated process is called a process 202 in the process flow 200 in 26th shown. The sections of the substrate 20th between neighboring STI areas 22nd are called semiconductor strips 24 designated. According to some embodiments of the present disclosure, the semiconductor strips are 24 Parts of the original substrate 20th , and therefore the material is the semiconductor strip 24 the same as that of substrate 20th . In accordance with alternative embodiments of the present disclosure, the semiconductor strips are 24 Replacement strips made by etching the sections of the substrate 20th between the STI areas 22nd for forming recesses and performing an epitaxial process for regrowth of another semiconductor material in the recesses. Accordingly, the semiconductor strips become 24 formed from a semiconductor material that is different from the material of the substrate 20th is different. According to some embodiments, the semiconductor strips are 24 formed from Si, SiP, SiC, SiPC, SiGe, SiGeB, Ge or a III-V compound semiconductor such as InP, GaAs, AlAs, InAs, InAlAs, InGaAs or the like.

Die STI-Gebiete 22 können ein Auskleidungsoxid (nicht abgebildet) enthalten, das ein thermisches Oxid sein kann, welches durch die thermische Oxidation einer Oberflächenschicht des Substrats 20 gebildet wird. Das Auskleidungsoxid kann auch eine abgeschiedene Siliziumoxidschicht sein, die beispielsweise durch Atomlagenabscheidung (ALD), chemische Gasphasenabscheidung mit hochdichtem Plasma (HDPCVD), chemische Gasphasenabscheidung (CVD) oder dergleichen gebildet wird. Die STI-Gebiete 22 können auch ein dielektrisches Material über dem Auskleidungsoxid enthalten, wobei das dielektrische Material unter Verwendung von fließfähiger chemischer Gasphasenabscheidung (FCVD), Aufschleuderbeschichtung oder dergleichen gebildet werden kann.The STI areas 22nd may contain a liner oxide (not shown), which may be a thermal oxide produced by the thermal oxidation of a surface layer of the substrate 20th is formed. The lining oxide can also be a deposited silicon oxide layer formed, for example, by atomic layer deposition (ALD), chemical vapor deposition with high density plasma (HDPCVD), chemical vapor deposition (CVD), or the like. The STI areas 22nd may also include a dielectric material over the liner oxide, which dielectric material can be formed using flowable chemical vapor deposition (FCVD), spin coating, or the like.

2 veranschaulicht die Herstellung des dielektrischen Dummy-Streifens 25, der durch Ätzen eines der Halbleiterstreifen 24 zur Bildung einer Vertiefung und durch anschließendes Füllen der Vertiefung mit einem dielektrischen Material gebildet werden kann. Der zugehörige Prozess ist als Prozess 204 in dem Prozessablauf 200 in 26 dargestellt. Das dielektrische Material kann ein High-k-Dielektrikum wie beispielsweise Siliziumnitrid enthalten oder ein solches sein. Auch das Material des dielektrischen Dummy-Streifens 25 wird so ausgewählt, dass es eine hohe Ätzselektivität im Verhältnis zu den Materialien der Metall-Gates (wie Wolfram und Titannitrid) und den Materialien der STI-Gebiete 22 (wie Siliziumoxid) aufweist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst das Material des Dummy-Streifens 25 ein Material auf Siliziumbasis wie SiN, SiON, SiOCN, SiC, SiOC, SiO2 oder dergleichen. Gemäß alternativen Ausführungsformen dieser Offenbarung enthält das Material des Dummy-Streifens 25 ein Material auf Metallbasis (Oxid oder Nitrid) wie TaN, TaO, HfO oder dergleichen. Die untere Oberfläche des dielektrischen Dummy-Streifens 25 kann höher, auf gleicher Höhe oder niedriger als die unteren Oberflächen der STI-Gebiete 22 sein. 2 illustrates the fabrication of the dummy dielectric strip 25th made by etching one of the semiconductor strips 24 to form a recess and then filling the recess with a dielectric material. The associated process is called a process 204 in the process flow 200 in 26th shown. The dielectric material can contain or be a high-k dielectric such as silicon nitride, for example. Also the material of the dielectric dummy strip 25th is selected so that there is a high etch selectivity in relation to the materials of the metal gates (such as tungsten and titanium nitride) and the materials of the STI regions 22nd (such as silicon oxide). According to some embodiments of the present disclosure, the material of the dummy strip comprises 25th a silicon-based material such as SiN, SiON, SiOCN, SiC, SiOC, SiO2, or the like. In accordance with alternative embodiments of this disclosure, the material of the dummy strip includes 25th a metal-based material (oxide or nitride) such as TaN, TaO, HfO, or the like. The bottom surface of the dummy dielectric strip 25th may be higher, level with, or lower than the lower surfaces of the STI areas 22nd be.

Mit Bezug auf 3 werden die STI-Gebiete 22 vertieft. Der zugehörige Prozess wird als Prozess 206 in dem Prozessablauf 200 in 26 dargestellt. Die oberen Abschnitte der Halbleiterstreifen 24 und des dielektrischen Dummy-Streifens 25 stehen höher als die oberen Flächen 22A der übrigen Abschnitte der STI-Gebiete 22 hervor, um hervorstehende Halbleiterfinnen 24' dielektrische Dummy-Finnen 25' zu bilden. Das Ätzen kann mittels eines Trockenätzprozesses durchgeführt werden, wobei HF3 und NH3 als Ätzgase verwendet werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird die Vertiefung der STI-Gebiete 22 mittels eines Nassätzprozesses durchgeführt. Die Ätzchemikalie kann beispielsweise HF-Lösung enthalten. Die Höhe H1 der dielektrischen Dummy-Finne 25' kann gleich, größer oder kleiner als die Höhe H2 der vorstehenden Finnen 24' sein. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung liegt die Höhe H1 der dielektrischen Dummy-Finne 25 in einem Bereich zwischen etwa 50 Å und etwa 1.500 Å. Die Breite W1 der dielektrischen Dummy-Finne 25 kann in einem Bereich zwischen etwa 5 Å und etwa 500 Å liegen.Regarding 3 become the STI areas 22nd deepened. The associated process is called a process 206 in the process flow 200 in 26th shown. The top portions of the semiconductor strips 24 and the dummy dielectric strip 25th stand higher than the upper surfaces 22A the remaining sections of the STI areas 22nd protruding semiconductor fins 24 ' dielectric dummy fins 25 ' to build. The etching can be carried out by means of a dry etching process, with HF 3 and NH 3 being used as etching gases. In accordance with alternative embodiments of the present disclosure, the deepening of the STI areas 22nd carried out by means of a wet etching process. The etching chemical can contain HF solution, for example. The height H1 the dummy dielectric fin 25 ' can be equal to, greater than or less than the height H2 of the foregoing Finns 24 ' be. In accordance with some embodiments of the present disclosure, the altitude is H1 the dummy dielectric fin 25th in a range between about 50 Å and about 1,500 Å. The width W1 the dummy dielectric fin 25th can range between about 5 Å and about 500 Å.

Bei den oben abgebildeten Ausführungsformen können die Finnen mit jedem geeigneten Verfahren strukturiert werden. Beispielsweise können die Finnen durch ein oder mehrere Photolithographieprozesse strukturiert werden, einschließlich Doppel- oder Mehrfachstrukturierungsprozesse. Im Allgemeinen werden bei Doppel- oder Mehrfachstrukturierungsprozessen die Photolithographie und selbstausrichtende Verfahren kombiniert, wodurch Strukturen erzeugt werden können, die beispielsweise kleinere Teilungen aufweisen als solche, die sonst durch einen einzigen, direkten Photolithographieprozess erzielt werden können. In einer Ausführungsform wird beispielsweise eine Opferschicht über einem Substrat gebildet und durch einen Photolithographieprozess strukturiert. Neben der strukturierten Opferschicht werden Abstandhalter durch einen selbstausrichtenden Prozess gebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandhalter oder Dorne können dann zur Strukturierung der Finnen verwendet werden.In the embodiments illustrated above, the fins can be structured using any suitable method. For example, the fins can be patterned by one or more photolithography processes, including double or multiple patterning processes. In general, in double or multiple structuring processes, photolithography and self-aligning methods are combined, whereby structures can be produced which, for example, have smaller pitches than those which can otherwise be achieved by a single, direct photolithography process. In one embodiment, for example, a sacrificial layer is formed over a substrate and patterned by a photolithography process. In addition to the structured sacrificial layer, spacers are formed through a self-aligning process. The sacrificial layer is then removed and the remaining spacers or mandrels can then be used to structure the fins.

Mit Bezug weiter auf 3 werden Dummy-Gatestapel 30 auf den oberen Oberflächen und den Seitenwänden der (hervorstehenden) Finnen 24' und 25' gebildet. Der zugehörige Prozess wird als Prozess 208 in dem Prozessablauf 200 in 26 dargestellt. Die Dummy-Gatestapel 30 können Dummy-Gatedielektrika 32 und Dummy-Gateelektroden 34 über Dummy-Gatedielektrika 32 enthalten. Dummy-Gateelektroden 34 können beispielsweise unter Verwendung von Polysilizium gebildet werden, und es können auch andere Materialien verwendet werden. Jeder der Dummy-Gatestapel 30 kann auch eine (oder mehrere) Hartmaskenschicht 36 über der Dummy-Gateelektrode 34 enthalten. Die Hartmaskenschichten 36 können aus Siliziumnitrid, Siliziumoxid, Siliziumkarbonitrid oder mehreren Schichten hiervon gebildet werden. Die Dummy-Gatestapel 30 können eine oder mehrere hervorstehende Finnen 24' und 25' und STI-Gebiete 22 überqueren. Dummy-Gate-Stacks 30 haben auch Längsrichtungen, die senkrecht zu den Längsrichtungen der hervorstehenden Finnen 24' verlaufen.With further reference to 3 become dummy gate stacks 30th on the top surfaces and side walls of the (protruding) fins 24 ' and 25 ' educated. The associated process is called a process 208 in the process flow 200 in 26th shown. The dummy gate stacks 30th can use dummy gate dielectrics 32 and dummy gate electrodes 34 via dummy gate dielectrics 32 contain. Dummy gate electrodes 34 can be formed using polysilicon, for example, and other materials can also be used. Each of the dummy gate stacks 30th can also have one (or more) hard mask layer 36 over the dummy gate electrode 34 contain. The hard mask layers 36 can be formed from silicon nitride, silicon oxide, silicon carbonitride, or multiple layers thereof. The dummy gate stacks 30th can have one or more protruding fins 24 ' and 25 ' and STI areas 22nd cross. Dummy gate stacks 30th also have longitudinal directions that are perpendicular to the longitudinal directions of the protruding fins 24 ' run away.

Als nächstes werden Gateabstandhalter 38 an den Seitenwänden von Dummy-Gatestapeln 30 gebildet. Der zugehörige Prozess wird auch als Prozess 208 in dem Prozessablauf 200 in 26 dargestellt. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Gateabstandhalter 38 aus einem dielektrischen Material wie Siliziumnitrid, Siliziumoxid, Siliziumkarbonitrid, Siliziumoxynitrid, Siliziumoxycarbonitrid oder dergleichen gebildet und können eine Einzelschichtstruktur oder eine Mehrschichtstruktur mit mehreren dielektrischen Schichten aufweisen.Next will be gate spacers 38 on the side walls of dummy gate stacks 30th educated. The associated process is also called a process 208 in the process flow 200 in 26th shown. According to some embodiments of the present disclosure, the Gate spacers 38 formed from a dielectric material such as silicon nitride, silicon oxide, silicon carbonitride, silicon oxynitride, silicon oxycarbonitride or the like, and may have a single-layer structure or a multilayer structure with a plurality of dielectric layers.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird ein Ätzschritt durchgeführt, um die Abschnitte der hervorstehenden Finnen 24' zu ätzen, die nicht durch den Dummy-Gatestapel 30 und die Gateabstandhalter 38 bedeckt sind, was zu der in 4 gezeigten Struktur führt. Der zugehörige Prozess wird als Prozess 210 in dem Prozessablauf 200 in 26 dargestellt. Die Vertiefung kann anisotrop sein, und die Abschnitte der Finnen 24', die direkt unter den Dummy-Gatestapeln 30 und den Gateabstandhaltern 38 liegen, sind daher geschützt und werden nicht geätzt. Die oberen Oberflächen der vertieften Halbleiterstreifen 24 können gemäß einigen Ausführungsformen niedriger als die oberen Oberflächen 22A der STI-Gebiete 22 sein. Die Zwischenräume, die von den geätzten Abschnitten der vorstehenden Finnen 24' übrig bleiben, werden als Vertiefungen 40 bezeichnet. Beim Ätzprozess wird die dielektrische Blindfinne 25' nicht geätzt. Beispielsweise können hervorstehende Finnen 24' mit SiCONi (NF3 und NH3), Certas (HF und NH3) oder ähnlichem geätzt werden.In accordance with some embodiments of the present disclosure, an etching step is performed to the portions of the protruding fins 24 ' to etch that doesn't go through the dummy gate stack 30th and the gate spacers 38 are covered, leading to the in 4th structure shown. The associated process is called a process 210 in the process flow 200 in 26th shown. The depression can be anisotropic, and so can the sections of the fins 24 ' that are directly below the dummy gate stacks 30th and the gate spacers 38 are therefore protected and are not etched. The top surfaces of the recessed semiconductor strips 24 may be lower than the top surfaces, according to some embodiments 22A of the STI areas 22nd be. The spaces created by the etched portions of the protruding fins 24 ' remain are called indentations 40 designated. During the etching process, the dielectric blind fin becomes 25 ' not etched. For example, protruding fins 24 ' be etched with SiCONi (NF 3 and NH 3 ), Certas (HF and NH 3 ) or similar.

Als nächstes werden Epitaxiegebiete (Source/Drain-Gebiete) 42 durch selektives Aufwachsen eines Halbleitermaterials aus Vertiefungen 40 gebildet, wodurch sich die Struktur in 5A ergibt. Der zugehörige Prozess wird als Prozess 212 in dem Prozessablauf 200 in 26 dargestellt. Gemäß einigen Ausführungsformen umfassen die Epitaxiegebiete 42 Silizium-Germanium, Silizium, Silizium-Kohlenstoff oder dergleichen. Je nachdem, ob der resultierende FinFET ein p-FinFET oder einen n-FinFET handelt, kann eine p-Typ- oder eine n-Typ-Verunreinigung in-situ bei der Durchführung der Epitaxie dotiert werden. Wenn der resultierende FinFET beispielsweise ein p-FinFET ist, kann Silizium-Germanium-Bor (SiGeB), GeB oder dergleichen gezüchtet werden. Ist der resultierende FinFET dagegen ein n-FinFET, kann Siliziumphosphor (SiP), Siliziumkohlenstoffphosphor (SiCP) oder dergleichen gezüchtet werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung werden die Epitaxiegebiete 42 aus einem III-V-Verbindungshalbleiter wie GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, Kombinationen hiervon oder Mehrfachschichten hiervon gebildet. Nachdem die Epitaxiegebiete 42 die Vertiefungen 40 vollständig gefüllt haben, beginnen sich die Epitaxiegebiete 42 horizontal auszudehnen, und es können Facetten gebildet werden.Next, epitaxial areas (source / drain areas) 42 by selective growth of a semiconductor material from depressions 40 formed, resulting in the structure in 5A results. The associated process is called a process 212 in the process flow 200 in 26th shown. According to some embodiments, the include epitaxial regions 42 Silicon-germanium, silicon, silicon-carbon or the like. Depending on whether the resulting FinFET is a p-FinFET or an n-FinFET, a p-type or an n-type impurity can be doped in-situ when the epitaxy is carried out. For example, if the resulting FinFET is a p-FinFET, silicon germanium boron (SiGeB), GeB, or the like can be grown. On the other hand, when the resulting FinFET is an n-type FinFET, silicon phosphorus (SiP), silicon carbon phosphorus (SiCP), or the like can be grown. According to alternative embodiments of the present disclosure, the epitaxial regions 42 formed from a III-V compound semiconductor such as GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, combinations thereof or multiple layers thereof. After the epitaxial areas 42 the depressions 40 have completely filled, the epitaxial areas begin 42 expand horizontally and facets can be formed.

5B veranschaulicht die Bildung von umhüllenden Source/Drain-Gebieten 42 gemäß alternativen Ausführungsformen der vorliegenden Offenbarung. Gemäß diesen Ausführungsformen sind die hervorstehenden Finnen 24', wie in 4 gezeigt, nicht vertieft, und die Epitaxiegebiete 41 sind auf den hervorstehenden Finnen 24' aufgewachsen. Das Material der Epitaxiegebiete 41 kann dem Material des Epitaxie-Halbleitermaterials 42, wie in 5A gezeigt, ähnlich sein, je nachdem, ob der resultierende FinFET ein p- oder ein n-FinFET ist. Dementsprechend umfassen Source/Drains 42 hervorstehende Finnen 24' und das Epitaxie-Gebiet 41. Eine Implantierung kann durchgeführt werden (oder auch nicht), um eine n-Typ-Verunreinigung oder eine p-Typ-Verunreinigung zu implantieren. 5B illustrates the formation of enveloping source / drain regions 42 in accordance with alternative embodiments of the present disclosure. According to these embodiments, the protruding fins are 24 ' , as in 4th shown, not recessed, and the epitaxial areas 41 are on the protruding fins 24 ' grew up. The material of the epitaxial areas 41 can be the material of the epitaxial semiconductor material 42 , as in 5A shown, depending on whether the resulting FinFET is a p- or an n-FinFET. Accordingly, include source / drains 42 protruding fins 24 ' and the epitaxial area 41 . An implant may (or may not) be performed to implant an n-type impurity or a p-type impurity.

6 zeigt eine perspektivische Ansicht der Struktur nach der Herstellung von Kontaktätzstoppschicht (contact etch stop layer, CESL) 46 und Zwischenschicht-Dielektrikum (interlayer dielectric, ILD) 48. Der zugehörige Prozess wird als Prozess 214 in dem Prozessablauf 200 in 26 dargestellt. Die CESL 46 kann aus Siliziumnitrid, Siliziumkarbonitrid oder dergleichen gebildet sein. Die CESL 46 kann durch ein konformes Abscheidungsverfahren wie beispielsweise ALD oder CVD gebildet werden. Das ILD 48 kann ein dielektrisches Material enthalten, das beispielsweise durch FCVD, Aufschleudern, CVD oder ein anderes Abscheidungsverfahren hergestellt wird. Das ILD 48 kann auch ein sauerstoffhaltiges dielektrisches Material sein oder ein solches enthalten, das auf Siliziumoxid basiert, wie beispielsweise Siliziumoxid, Phosphosilikatglas (PSG), Borosilikatglas (BSG), Bor-dotiertes Phosphosilikatglas (BPSG) oder dergleichen. Ein Planarisierungsprozess wie beispielsweise chemisch-mechanisches Polieren (CMP) oder mechanischer Schleifprozess wird durchgeführt, um die oberen Oberflächen des ILD 48, der Dummy-Gatestapel 30 und der Gateabstandhalter 38 miteinander zu ebnen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung endet der Planarisierungsprozess auf der oberen Oberfläche der Hartmaske 36. Gemäß alternativen Ausführungsformen wird die Hartmaske 36 während des Planarisierungsprozesses ebenfalls entfernt, und der Planarisierungsprozess stoppt auf der oberen Oberfläche der Dummy-Gateelektrode 34. Somit wird in einigen der nachfolgenden Zeichnungen die Hartmaske 36 mit einer gestrichelten Linie dargestellt, um anzuzeigen, dass sie existieren kann oder auch nicht. 6th 10 shows a perspective view of the structure after contact etch stop layer (CESL) 46 and interlayer dielectric (ILD) 48 are formed. The associated process is called a process 214 in the process flow 200 in 26th shown. The CESL 46 may be formed from silicon nitride, silicon carbonitride, or the like. The CESL 46 can be formed by a conformal deposition process such as ALD or CVD. The ILD 48 may contain a dielectric material made, for example, by FCVD, spin-on coating, CVD, or some other deposition process. The ILD 48 can also be an oxygen-containing dielectric material or contain one based on silicon oxide, such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG) or the like. A planarization process such as chemical mechanical polishing (CMP) or mechanical grinding process is performed to the top surfaces of the ILD 48 , the dummy gate stack 30th and the gate spacer 38 to level with each other. In accordance with some embodiments of the present disclosure, the planarization process ends on the top surface of the hard mask 36 . According to alternative embodiments, the hard mask 36 is also removed during the planarization process, and the planarization process stops on the top surface of the dummy gate electrode 34 . Thus, in some of the drawings below, the hard mask 36 shown with a dashed line to indicate that it may or may not exist.

Mit Bezug auf 7A wird ein Dummy-Gate-Schneideprozess durch Ätzen von Dummy-Gatestapeln 30 durchgeführt, um Öffnungen 50 zu bilden. Der zugehörige Prozess wird als Prozess 216 in dem Prozessablauf 200 in 26 dargestellt. Die Dummy-Gatestapel 30 werden somit in separate Abschnitte getrennt. Zur Durchführung des Dummy-Gate-Schneideprozesses kann eine Ätzmaske, die ein Photoresist (nicht gezeigt) enthalten kann, gebildet und strukturiert werden. 7B zeigt einen Querschnitt, der aus dem Referenzquerschnitt 7B-7B wie in 7A gezeigt erhalten ist. Beim Dummy-Gate-Schnittprozess werden Dummy-Gatestapel 30 in anisotropen Prozessen geätzt, bis die dielektrische Dummy-Finne 25' freigelegt ist. Als Ergebnis wird ein Abschnitt des Dummy-Gatestapels 30 entfernt. Der lange Dummy-Gatestapel 30 wird somit in zwei separate Abschnitte 30A und 30B geschnitten, die voneinander getrennt sind. Jeder separate Abschnitt des Dummy-Gatestapels 30 kann ein, zwei oder mehr hervorstehende Finnen 24' überqueren, um einen FinFET mit einer oder mehreren Finnen zu bilden. Nach dem Ätzen des Dummy-Gatestapels 30 wird die Ätzmaske beispielsweise in einem Veraschungsprozess entfernt.Regarding 7A becomes a dummy gate cutting process by etching dummy gate stacks 30th performed to openings 50 to build. The associated process is called a process 216 in the process flow 200 in 26th shown. The dummy gate stacks 30th are thus separated into separate sections. To carry out the dummy gate cutting process, an etching mask, which can contain a photoresist (not shown), can be formed and patterned. 7B shows one Cross-section from the reference cross-section 7B-7B as in 7A shown is received. The dummy gate cutting process becomes dummy gate stacks 30th etched in anisotropic processes until the dielectric dummy fin 25 ' is exposed. As a result, a portion of the dummy gate stack becomes 30th away. The long dummy gate stack 30th is thus in two separate sections 30A and 30B cut that are separated from each other. Each separate section of the dummy gate stack 30th can have one, two or more protruding fins 24 ' cross to form a FinFET with one or more fins. After etching the dummy gate stack 30th the etching mask is removed, for example, in an ashing process.

7C zeigt eine Draufsicht eines Abschnitts der in 7A gezeigten Struktur. Jede der Öffnungen 50 wird zwischen den jeweiligen Gateabstandhalterabschnitten 38A und 38B gebildet, die parallel gegenüberliegenden Abschnitte des Gateabstandhalters 38 sind. Die Gateabstandhalterabschnitte 38A und 38B weisen Seitenwände auf, die zu der Öffnung 50 freigelegt sind. Dielektrische Dummy-Finne 25' liegen durch die Öffnungen 50 frei. 7C FIG. 11 shows a top view of a portion of the 7A structure shown. Any of the openings 50 is between the respective gate spacer sections 38A and 38B formed, the parallel opposite portions of the gate spacer 38 are. The gate spacer sections 38A and 38B have side walls that face the opening 50 are exposed. Dielectric dummy fin 25 ' lie through the openings 50 free.

Als nächstes werden die Öffnungen 50 mit Schichten/Gebieten 52-1 und 52-2 gefüllt, die die Gateisolationsgebiete 52 bilden, wie in 8A dargestellt. Der zugehörige Prozess wird als Prozess 218 in dem Prozessablauf 200 in 26 dargestellt. Die Schichten/Gebiete 52-1 und 52-2 können aus dielektrischen Materialien gebildet sein und werden daher im Folgenden als dielektrische Schichten/Gebiete bezeichnet, während sie auch aus nicht-dielektrischen Materialien gebildet sein können. Dielektrische Schichten 52-1 und 52-2 werden aus verschiedenen dielektrischen Materialien oder aus den gleichen Materialien mit unterschiedlichen Eigenschaften, wie beispielsweise unterschiedlichen Dichtewerten, gebildet. Die dielektrischen Schichten 52-1 und 52-2 können aus der gleichen Gruppe von dielektrischen Materialien ausgewählt werden, die dielektrische Materialien auf Oxidbasis, dielektrische Materialien auf Nitridbasis, dielektrische Materialien auf Oxynitridbasis, dielektrische Materialien auf Oxycarbidbasis, dielektrische Materialien auf Carbidbasis, usw. umfassen können, ohne nicht hierauf beschränkt zu sein. Beispielsweise können die dielektrischen Schichten 52-1 und 52-2 aus Materialien gebildet sein, die aus SiN, SiON, SiOCN, SiC, SiOC, SiO2 oder dergleichen ausgewählt sind. Die Schichten 52-1 und 52-2 können auch aus nicht-dielektrischen Materialien wie SiGe gebildet sein. Gemäß einigen Ausführungsformen wird die dielektrische Schicht 52-1 aus einem Oxid wie Siliziumoxid gebildet, und die dielektrische Schicht 52-2 wird aus einem Nitrid wie Siliziumnitrid gebildet. Gemäß alternativen Ausführungsformen werden die dielektrischen Schichten 52-1 und 52-2 aus dem gleichen Material wie Siliziumoxid gebildet, weisen aber unterschiedliche Porositätswerte, und damit unterschiedliche Dichtewerte, auf. Gemäß einigen Ausführungsformen ist die dielektrische Schicht 52-1 dichter (mit einer geringeren Porosität) als die dielektrische Schicht 52-2. Auch die dielektrischen Schichten 52-1 und 52-2 können aus dem gleichen Material, aber unter unterschiedlichen Prozessbedingungen gebildet sein. Beispielsweise können die dielektrische Schicht 52-1 und die dielektrische Schicht 52-2 jeweils unter einer hohen Temperatur und einer niedrigeren Temperatur gebildet sein. Wenn beispielsweise die dielektrische Schicht 52-1 und die dielektrische Schicht 52-2 aus Siliziumoxid gebildet werden, kann die höhere Temperatur in einem Bereich zwischen etwa 400°C und etwa 600°C und die niedrigere Temperatur in einem Bereich zwischen etwa 200°C und etwa 400°C liegen. Außerdem kann die höhere Temperatur um eine Differenz von mehr als etwa 50°C höher als die niedrigere Temperatur sein, und die Differenz kann in einem Bereich zwischen etwa 50°C und etwa 300°C liegen. Wenn andere Materialien als Siliziumoxid verwendet werden, können sich das höhere Temperaturbereich und der niedrigere Temperaturbereich von solchen des Siliziumoxids unterscheiden. Gemäß alternativen Ausführungsformen, wie in 24 gezeigt, kann die Gateisolation 52 mehr als zwei Schichten, wie drei, vier, fünf, usw., bis zu zehn Schichten aufweisen. Unabhängig davon, ob sie aus verschiedenen Materialien oder dem gleichen Material gebildet sind, können dielektrische Schichten 52-1 und 52-2 voneinander unterscheidbar sein, beispielsweise durch Röntgenbeugung, Transmissionselektronenmikroskopie (TEM) oder dergleichen.Next up are the openings 50 with layers / areas 52-1 and 52-2 filled the gate isolation areas 52 form, as in 8A shown. The associated process is called a process 218 in the process flow 200 in 26th shown. The layers / areas 52-1 and 52-2 can be formed from dielectric materials and are therefore referred to below as dielectric layers / regions, while they can also be formed from non-dielectric materials. Dielectric layers 52-1 and 52-2 are formed from different dielectric materials or from the same materials with different properties, such as different density values. The dielectric layers 52-1 and 52-2 may be selected from the same group of dielectric materials, which may include, but are not limited to, oxide-based dielectric materials, nitride-based dielectric materials, oxynitride-based dielectric materials, oxycarbide-based dielectric materials, carbide-based dielectric materials, etc. For example, the dielectric layers 52-1 and 52-2 be formed from materials selected from SiN, SiON, SiOCN, SiC, SiOC, SiO2 or the like. The layers 52-1 and 52-2 can also be formed from non-dielectric materials such as SiGe. According to some embodiments, the dielectric layer is 52-1 formed of an oxide such as silicon oxide, and the dielectric layer 52-2 is formed from a nitride such as silicon nitride. According to alternative embodiments, the dielectric layers 52-1 and 52-2 formed from the same material as silicon oxide, but have different porosity values, and thus different density values. According to some embodiments, the dielectric layer is 52-1 denser (with a lower porosity) than the dielectric layer 52-2 . Also the dielectric layers 52-1 and 52-2 can be formed from the same material but under different process conditions. For example, the dielectric layer 52-1 and the dielectric layer 52-2 be formed under a high temperature and a lower temperature, respectively. For example, if the dielectric layer 52-1 and the dielectric layer 52-2 are formed from silicon oxide, the higher temperature can be in a range between about 400 ° C and about 600 ° C and the lower temperature in a range between about 200 ° C and about 400 ° C. In addition, the higher temperature can be greater than the lower temperature by a difference of more than about 50 ° C, and the difference can be in a range between about 50 ° C and about 300 ° C. When materials other than silicon oxide are used, the higher temperature range and the lower temperature range may be different from those of the silicon oxide. According to alternative embodiments, as in 24 shown, the gate insulation 52 have more than two layers, such as three, four, five, etc., up to ten layers. Regardless of whether they are formed from different materials or the same material, dielectric layers 52-1 and 52-2 be distinguishable from one another, for example by X-ray diffraction, transmission electron microscopy (TEM) or the like.

8B-1 und 8B-2 veranschaulichen die Prozesse zur Bildung des Gateisolationsgebietes 52. Gemäß einigen Ausführungsformen, wie in 8B-1 gezeigt, wird die dielektrische Schicht 52-1 durch ein konformes Abscheideverfahren gebildet, und daher liegt die Dicke T2 (8B-2) ihrer vertikalen Abschnitte nahe an der Dicke T1 ihrer horizontalen Abschnitte (beispielsweise mit einem Dickenunterschied von weniger als etwa 20 Prozent). Gemäß einigen Ausführungsformen wird die dielektrische Schicht 52-1 mittels Atomlagenabscheidung (ALD), plasmaunterstützter Atomlagenabscheidung (PEALD), chemischer Niederdruck-Gasphasenabscheidung (LPCVD), chemischer Gasphasenabscheidung (CVD), plasmaunterstützter chemischer Gasphasenabscheidung (PECVD), physikalischer Gasphasenabscheidung (PVD) oder anderer anwendbarer Abscheidungsverfahren gebildet. Jede der unteren Schichten (wie beispielsweise 52-1 oder 52-2, wenn mehrere Schichten gebildet sind) kann gemäß einigen Ausführungsformen eine Dicke T1/T2 in einem Bereich zwischen etwa 3 Å und etwa 500 A aufweisen. Die dielektrische Schicht/dielektrisches Gebiet 52-2 füllt den verbleibenden Raum der Öffnung 50 (7A), der nicht durch die dielektrische Schicht 52-1 gefüllt ist. Die dielektrischen Schichten 52-1 und 52-2 weisen einige Abschnitte auf, die höher liegen als die oberen Oberflächen der Dummy-Gate-Stacks 30A und 30B. 8B-1 and 8B-2 illustrate the processes for forming the gate isolation region 52 . According to some embodiments, as in 8B-1 shown is the dielectric layer 52-1 formed by a conformal deposition process, and therefore the thickness is T2 ( 8B-2 ) of their vertical sections close to the thickness T1 their horizontal sections (e.g. with a thickness difference of less than about 20 percent). According to some embodiments, the dielectric layer is 52-1 by means of atomic layer deposition (ALD), plasma-assisted atomic layer deposition (PEALD), chemical low-pressure vapor deposition (LPCVD), chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), physical vapor deposition (PVD) or other applicable deposition processes. Each of the lower layers (such as 52-1 or 52-2 when multiple layers are formed) may have a thickness according to some embodiments T1 / T2 in a range between about 3 Å and about 500 Å. The dielectric layer / dielectric area 52-2 fills the remaining space of the opening 50 ( 7A) that is not through the dielectric layer 52-1 is filled. The dielectric layers 52-1 and 52-2 have some portions that are higher than the top surfaces of the dummy gate stacks 30A and 30B .

Mit Bezug auf 8B-2 wird ein Planarisierungsprozess durchgeführt, um überschüssige Abschnitte der dielektrischen Schichten 52-1 und 52-2 zu entfernen, so dass das Gateisolationsgebiet 52 verbleibt. Die Abschnitte der dielektrischen Schichten 52-1 und 52-2, die höher als die oberen Oberflächen der Dummy-Gatestapel 30A und 30B liegen, werden entfernt. Folglich werden die Dummy-Gatestapel 30A und 30B freigelegt, wie in 8C gezeigt. Gleichzeitig kann auch das ILD 48 (8A) gemäß einigen Ausführungsformen freigelegt werden. Die verbleibenden Abschnitte der dielektrischen Schichten 52-1 und 52-2 werden im Folgenden zusammen als Gateisolationsgebiete 52 bezeichnet, zu denen die verbleibenden Abschnitte der dielektrischen Schichten 52-1 und 52-2 gehören.Regarding 8B-2 A planarization process is performed to remove excess portions of the dielectric layers 52-1 and 52-2 remove so that the gate isolation area 52 remains. The sections of the dielectric layers 52-1 and 52-2 that are higher than the top surfaces of the dummy gate stacks 30A and 30B are removed. As a result, the dummy gate stacks become 30A and 30B exposed as in 8C shown. At the same time, the ILD 48 ( 8A) may be exposed in accordance with some embodiments. The remaining portions of the dielectric layers 52-1 and 52-2 are hereinafter collectively referred to as gate isolation regions 52 denotes, to which the remaining portions of the dielectric layers 52-1 and 52-2 belong.

Wie in 8C dargestellt, trennen die Gateisolationsgebiete 52 die jeweiligen Dummy-Gatestapel 30A und 30B voneinander. Die Gateisolationsgebiete 52 und die Dummy-Gatestapel 30A und 30B bilden in Kombination längliche Streifen in einer Draufsicht, und jeder der länglichen Streifen liegt zwischen den gegenüberliegenden Abschnitten 38A und 38B des Gateabstandhalters 38.As in 8C shown, separate the gate isolation regions 52 the respective dummy gate stacks 30A and 30B from each other. The gate isolation areas 52 and the dummy gate stacks 30A and 30B in combination form elongated strips in a plan view, and each of the elongated strips lies between the opposing portions 38A and 38B of the gate spacer 38 .

Die Dummy-Gatestapel 30A und 30B werden dann durch Ätzen entfernt, und die resultierende Struktur ist in 9A und 9B dargestellt. Der zugehörige Prozess wird als Prozess 220 in dem Prozessablauf 200 in 26 dargestellt. Gemäß einigen Ausführungsformen wird das Dummy-Gatedielektrikum 32 entfernt. Gemäß alternativen Ausführungsformen wird das Dummy-Gatedielektrikum 32 während dieses Prozesses nicht entfernt und wird nach dem Entfernen der Dummy-Gateelektroden 34 freigelegt. Dementsprechend ist in 9B und 10 das Dummy-Gatedielektrikum 32 gestrichelt dargestellt, um anzuzeigen, dass es in der jeweiligen Struktur vorhanden sein kann oder auch nicht. In diesen Ausführungsformen kann das Dummy-Gatedielektrikum 32 entfernt werden, wenn die dielektrische Schicht 52-2 in dem Prozess der 11A und 11B geätzt wird, oder es kann nach dem Prozess der 11A und 11B und vor der Bildung von Ersatzgates entfernt werden. Die Öffnungen 54A und 54B werden in dem Raum gebildet, der durch die entfernten Dummy-Gateelektroden 34 (und möglicherweise Dummy-Gatedielektrika 32) verbleibt. Wie in 9A dargestellt, ist jede der Öffnungen 54A und 54B durch das Gateisolationsgebiet 52 und die Gateabstandhalter 38 definiert, und die Öffnungen 54A und 54B sind durch die Gateisolationsgebiet 52 weiter voneinander getrennt. 9B zeigt eine Querschnittsansicht, die aus dem Referenzquerschnitt 9B-9B in 9A erhalten wurde. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung, wie in 9B gezeigt, ist das Gateisolationsgebiet 52 breiter als die darunter liegende dielektrische Dummy-Finne 25'. Gemäß alternativen Ausführungsformen kann das Gateisolationsgebiet 52 die gleiche Breite wie die dielektrische Dummy-Finne 25' aufweisen, oder schmaler als die dielektrische Dummy-Finne 25' sein.The dummy gate stacks 30A and 30B are then removed by etching, and the resulting structure is in 9A and 9B shown. The associated process is called a process 220 in the process flow 200 in 26th shown. In accordance with some embodiments, the dummy gate dielectric becomes 32 away. According to alternative embodiments, the dummy gate dielectric is used 32 not removed during this process and will be used after removing the dummy gate electrodes 34 exposed. Accordingly, in 9B and 10 the dummy gate dielectric 32 shown in dashed lines to indicate that it may or may not be present in the respective structure. In these embodiments, the dummy gate dielectric 32 be removed when the dielectric layer 52-2 in the process of 11A and 11B is etched, or it can be after the process of 11A and 11B and removed before replacement gates are formed. The openings 54A and 54B are formed in the space formed by the removed dummy gate electrodes 34 (and possibly dummy gate dielectrics 32 ) remains. As in 9A shown is each of the openings 54A and 54B through the gate isolation region 52 and the gate spacers 38 defined, and the openings 54A and 54B are through the gate isolation area 52 further separated from each other. 9B Figure 13 shows a cross-sectional view resulting from the reference cross-section 9B-9B in 9A was obtained. According to some embodiments of the present disclosure, as shown in FIG 9B shown is the gate isolation region 52 wider than the underlying dielectric dummy fin 25 ' . According to alternative embodiments, the gate isolation region can 52 the same width as the dummy dielectric fin 25 ' or narrower than the dummy dielectric fin 25 ' be.

Mit Bezug auf 10 wird ein erster Ätzprozess 56 durchgeführt, um die äußeren Seitenwandabschnitte der dielektrischen Schicht 52-1 zu entfernen, so dass die Seitenwände der dielektrischen Schicht 52-2 freigelegt sind. Der zugehörige Prozess wird als Prozess 222 in dem Prozessablauf 200 in 26 dargestellt. Der Ätzprozess ist isotrop und kann mittels Trockenätzen oder Nassätzen durchgeführt werden. Das Ätzmittel wird den Materialien der dielektrischen Schichten 52-1 und 52-2 entsprechend ausgewählt, so dass eine hohe Ätzselektivität ER52-1/ER52-2 vorliegt, die beispielsweise höher als etwa 4 ist, wobei die Ätzselektivität ER52-1/ER52-2 die Ätzrate der dielektrischen Schicht 52-1 zur Ätzrate der dielektrischen Schicht 52-2 ist. Folglich wird die dielektrische Schicht 52-2 in dem ersten Ätzprozess 56 nicht geätzt.Regarding 10 becomes a first etching process 56 performed to the outer sidewall portions of the dielectric layer 52-1 remove, leaving the sidewalls of the dielectric layer 52-2 are exposed. The associated process is called a process 222 in the process flow 200 in 26th shown. The etching process is isotropic and can be carried out using dry etching or wet etching. The etchant becomes the materials of the dielectric layers 52-1 and 52-2 selected accordingly, so that there is a high etching selectivity ER52-1 / ER52-2, which is, for example, higher than approximately 4, the etching selectivity ER52-1 / ER52-2 being the etching rate of the dielectric layer 52-1 the etching rate of the dielectric layer 52-2 is. As a result, the dielectric layer becomes 52-2 in the first etching process 56 not etched.

Mit Bezug auf 11A und 11B wird ein zweiter Ätzprozess 58 an der dünnen dielektrischen Schicht 52-2 durchgeführt, so dass das Profil der dielektrischen Schicht 52-2 modifiziert wird. Der zugehörige Prozess wird als Prozess 224 in dem Prozessablauf 200 in 26 dargestellt. Der Ätzprozess ist isotrop und kann mittels Trockenätzen oder Nassätzen durchgeführt werden. Das Ätzmittel wird den Materialien der dielektrischen Schichten 52-1 und 52-2 entsprechend ausgewählt, so dass eine relativ hohe Ätzselektivität ER52-2/ER52-1 (die Ätzrate der dielektrischen Schicht 52-2 zur Ätzrate der dielektrischen Schicht 52-1) vorliegt. Folglich wird die dielektrische Schicht 52-2 mit einer höheren Rate als im ersten Ätzprozess 56 geätzt. Andererseits kann die Ätzselektivität ER52-2/ER52-1 nicht zu hoch gehalten werden, so dass die Ecken der dielektrischen Schicht 52-1 in dem zweiten Ätzprozess 58 noch abgerundet werden können. Gemäß einigen Ausführungsformen liegt die Ätzselektivität ER52-2/ER52-1 in einem Bereich zwischen etwa 2 und etwa 20. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann das Dummy-Gatedielektrikum 32 (10), falls nicht in dem in 9A und 9B gezeigten Prozess bereits entfernt, in dem zweiten Ätzprozess 58 entfernt werden.Regarding 11A and 11B becomes a second etching process 58 on the thin dielectric layer 52-2 performed so that the profile of the dielectric layer 52-2 is modified. The associated process is called a process 224 in the process flow 200 in 26th shown. The etching process is isotropic and can be carried out using dry etching or wet etching. The etchant becomes the materials of the dielectric layers 52-1 and 52-2 selected accordingly, so that a relatively high etching selectivity ER52-2 / ER52-1 (the etching rate of the dielectric layer 52-2 the etching rate of the dielectric layer 52-1 ) is present. As a result, the dielectric layer becomes 52-2 at a higher rate than the first etching process 56 etched. On the other hand, the etching selectivity ER52-2 / ER52-1 cannot be kept too high, so that the corners of the dielectric layer 52-1 in the second etching process 58 can still be rounded off. According to some embodiments, the etch selectivity ER52-2 / ER52-1 is in a range between about 2 and about 20. According to some embodiments of the present disclosure, the dummy gate dielectric may be 32 ( 10 ), if not in the in 9A and 9B shown process already removed in the second etching process 58 removed.

Gemäß einigen Ausführungsformen kann bei der Durchführung eines der Ätzprozesse 56 und 58 in Abhängigkeit von den Materialien der dielektrischen Schichten 52-1 und 52-2 das Ätzgas aus der Gruppe ausgewählt sein, die C12, HBr, CF4, CHF3, CH2F2, CH3F, C4F6, BCl3, SF6, H2, HF, NH3, NF3 und Kombinationen hiervon umfasst. Außerdem können Gase wie N2, O2, CO2, SO2, CO, SiCl4 oder Kombinationen hiervon hinzugefügt werden, um die Ätzselektivität zu verbessern. Inertgase wie Ar, He, Ne, usw. können als verdünnte Gase (Trägergas) zugegeben werden. Beispielsweise kann in einer Ausführungsform, in der die dielektrische Schicht 52-1 aus SiN und die dielektrische Schicht 52-2 aus SiO2 gebildet sind, ein fluorhaltiges Gas wie eine Mischung von CF4, O2 und N2, eine Mischung von NF3 und O2, SF6 oder eine Mischung von SF6 und O2, oder dergleichen zum Ätzen der dielektrischen Schicht 52-1 verwendet werden, während die Mischung von NF3 und NH3, die Mischung von HF und NH3 oder dergleichen zum Dünnen der dielektrischen Schicht 52-2 verwendet werden kann. Bei dem ersten Ätzprozess 56 und dem zweiten Ätzprozess 58 kann die Leistung der Plasmaquelle in einem Bereich zwischen etwa 10 Watt und etwa 3.000 Watt liegen, die Leistung der Plasmavorspannung kann niedriger als etwa 3.000 Watt sein. Der Druck des Ätzgases kann in einem Bereich zwischen etwa 1 mTorr und etwa 800 mTorr liegen. Die Durchflussrate des Ätzgases kann in einem Bereich zwischen etwa 1 sccm und etwa 5000 sccm liegen.According to some embodiments, when performing one of the etching processes 56 and 58 depending on the materials of the dielectric layers 52-1 and 52-2 the etching gas can be selected from the group consisting of C12, HBr, CF4, CHF3, CH2F2, CH3F, C4F6, BCl3, SF6, H2, HF, NH3, NF3 and combinations thereof. In addition, gases such as N2, O2, CO2, SO2, CO, SiCl4 or combinations thereof can be added to improve the etch selectivity. Inert gases such as Ar, He, Ne, etc. can be added as dilute gases (carrier gas). For example, in one embodiment, the dielectric layer 52-1 made of SiN and the dielectric layer 52-2 formed of SiO2, a fluorine-containing gas such as a mixture of CF 4 , O 2 and N2, a mixture of NF3 and O2, SF6 or a mixture of SF6 and O2, or the like for etching the dielectric layer 52-1 may be used while the mixture of NF3 and NH 3 , the mixture of HF and NH 3 or the like for thinning the dielectric layer 52-2 can be used. During the first etching process 56 and the second etching process 58 the power of the plasma source can be in a range between about 10 watts and about 3,000 watts, the power of the plasma bias voltage can be less than about 3,000 watts. The pressure of the etching gas can be in a range between approximately 1 mTorr and approximately 800 mTorr. The flow rate of the etching gas can be in a range between approximately 1 sccm and approximately 5000 sccm.

Wenn ein Nassätzen in dem ersten Ätzprozess 56 und dem zweiten Ätzprozess 58 durchgeführt wird, kann die jeweilige Ätzlösung zum Ätzen der entsprechenden dielektrischen Schichten 52-1 und 52-2 HF-Lösung (mit darin gelöstem Fluor (F2)), H2SO4, HCl, HBr, NH3 oder dergleichen oder Kombinationen hiervon enthalten, abhängig weiterhin von den Materialien der dielektrischen Schichten 52-1 und 52-2. Das Lösungsmittel kann entionisiertes Wasser, Alkohol, Aceton oder dergleichen enthalten.When a wet etching in the first etching process 56 and the second etching process 58 is carried out, the respective etching solution for etching the corresponding dielectric layers 52-1 and 52-2 HF solution (with fluorine dissolved in it ( F2 )), H2SO4, HCl, HBr, NH3 or the like or combinations thereof, furthermore depending on the materials of the dielectric layers 52-1 and 52-2 . The solvent can include deionized water, alcohol, acetone, or the like.

Gemäß alternativen Ausführungsformen kann anstelle der Durchführung von zwei Ätzprozessen unter Verwendung unterschiedlicher Ätzchemikalien ein und derselbe Ätzprozess durchgeführt werden, um sowohl die dielektrische Schicht 52-1 als auch die dielektrische Schicht 52-2 zu ätzen. Das Ätzmittel wird so gewählt, dass die erste dielektrische Schicht 52-1 eine geringere Ätzrate aufweist als die dielektrische Schicht 52-2. In der Anfangsphase werden die Seitenwandabschnitte der dielektrischen Schicht 52-1 geätzt, während die dielektrische Schicht 52-2 durch die Seitenwandabschnitte der dielektrischen Schicht 52-1 geschützt wird. Nachdem die Seitenwandabschnitte der dielektrischen Schicht 52-1 entfernt wurden, sind die Seitenwände der dielektrischen Schicht 52-2 freigelegt, und die beiden dielektrischen Schichten 52-1 und 52-2 werden geätzt. Da die dielektrische Schicht 52-2 eine höhere Ätzrate als die dielektrische Schicht 52-1 aufweist, wird sie seitlich schneller als die dielektrische Schicht 52-1 vertieft, wodurch das Profil wie in 11B gezeigt gebildet wird. Es ist denkbar, dass gemäß diesen Ausführungsformen die Ätzselektivität ER52-1/ER52-2 (die Ätzrate der dielektrischen Schicht 52-1 zu der Ätzrate der dielektrischen Schicht 52-2) kleiner als 1,0 ist und so gewählt ist, dass sie in einem bestimmten Bereich liegt, der nicht zu hoch und nicht zu niedrig ist. Wenn die Ätzselektivität ER52-1/ER52-2 zu hoch ist, sind die Seitenwände des Gateisolationsgebietes 52 konvex (entgegengesetzt zu dem, was in 11B dargestellt ist) und nicht konkav. Wenn die Ätzselektivität ER52-1/ER52-2 zu niedrig ist, besteht die Gefahr, dass die dielektrische Schicht 52-2 durchgeätzt oder sogar vollständig entfernt wird. Gemäß einigen Ausführungsformen liegt die Ätzselektivität ER52-1/ER52-2 in einem Bereich zwischen etwa 0,05 und etwa 1.According to alternative embodiments, instead of carrying out two etching processes using different etching chemicals, one and the same etching process can be carried out around both the dielectric layer 52-1 as well as the dielectric layer 52-2 to etch. The etchant is chosen so that the first dielectric layer 52-1 has a lower etching rate than the dielectric layer 52-2 . In the initial phase, the sidewall portions of the dielectric layer 52-1 etched while the dielectric layer 52-2 through the sidewall portions of the dielectric layer 52-1 is protected. After the sidewall portions of the dielectric layer 52-1 removed are the sidewalls of the dielectric layer 52-2 exposed, and the two dielectric layers 52-1 and 52-2 are etched. As the dielectric layer 52-2 a higher etching rate than the dielectric layer 52-1 it becomes laterally faster than the dielectric layer 52-1 deepened, creating the profile as in 11B shown is formed. It is conceivable that, according to these embodiments, the etching selectivity ER52-1 / ER52-2 (the etching rate of the dielectric layer 52-1 to the etching rate of the dielectric layer 52-2 ) is less than 1.0 and is chosen so that it lies in a certain range that is neither too high nor too low. If the etch selectivity ER52-1 / ER52-2 is too high, the sidewalls are the gate isolation region 52 convex (opposite to what is in 11B shown) and not concave. If the etching selectivity ER52-1 / ER52-2 is too low, there is a risk that the dielectric layer 52-2 is etched through or even completely removed. According to some embodiments, the etch selectivity ER52-1 / ER52-2 is in a range between about 0.05 and about 1.

Dielektrische Schichten 52-1 und 52-2 können auch aus dem gleichen Material mit unterschiedlichen Eigenschaften gebildet sein. Beispielsweise können die beiden dielektrischen Schichten 52-1 und 52-2 aus Siliziumoxid gebildet sein, wobei die dielektrische Schicht 52-2 poröser als die dielektrische Schicht 52-1 ist. Somit kann anstelle von zwei Ätzprozessen mit unterschiedlichen Ätzchemikalien ein und derselbe Ätzprozess durchgeführt werden, um sowohl die dielektrischen Schicht 52-1 als auch die dielektrischen Schicht 52-2 zu ätzen. Zu Beginn des Ätzvorgangs werden die Seitenwandabschnitte der dielektrischen Schicht 52-1 geätzt, während die dielektrische Schicht 52-2 durch die Seitenwandabschnitte der dielektrischen Schicht 52-1 geschützt wird. Nachdem die Seitenwandabschnitte der dielektrischen Schicht 52-1 entfernt worden sind, liegen die Seitenwände der dielektrischen Schicht 52-2 frei, und die beiden dielektrischen Schichten 52-1 und 52-2 werden geätzt. Da die dielektrische Schicht 52-2 eine geringere Dichte als die dielektrische Schicht 52-1 aufweist, weist die dielektrische Schicht 52-2 eine höhere Ätzrate als die dielektrische Schicht 52-1 auf. Folglich weist das resultierende Gateisolationsgebiet 52 auch das Profil wie in 11A und 11B gezeigt.Dielectric layers 52-1 and 52-2 can also be formed from the same material with different properties. For example, the two dielectric layers 52-1 and 52-2 be formed from silicon oxide, the dielectric layer 52-2 more porous than the dielectric layer 52-1 is. Thus, instead of two etching processes with different etching chemicals, one and the same etching process can be carried out around both the dielectric layer 52-1 as well as the dielectric layer 52-2 to etch. At the beginning of the etching process, the side wall sections of the dielectric layer are made 52-1 etched while the dielectric layer 52-2 through the sidewall portions of the dielectric layer 52-1 is protected. After the sidewall portions of the dielectric layer 52-1 have been removed, the sidewalls of the dielectric layer lie 52-2 free, and the two dielectric layers 52-1 and 52-2 are etched. As the dielectric layer 52-2 a lower density than the dielectric layer 52-1 has, has the dielectric layer 52-2 a higher etching rate than the dielectric layer 52-1 on. Consequently, the resulting gate isolation region 52 also the profile as in 11A and 11B shown.

Durch das Ätzen der dielektrischen Schichten 52-1 und 52-2, wie vorstehend erwähnt, können die Profile gebildet werden, die in 11-A und 11B gezeigt sind. Wie in 11A gezeigt, werden die untere Breite der dielektrischen Schicht 52-2, die untere Breite der dielektrischen Schicht 52-1 und die obere Breite der dielektrischen Dummy-Finne 25' mit LD1, LD2 und LD3 bezeichnet. Gemäß einigen Ausführungsformen ist die untere Breite LD1 kleiner als die untere Breite LD2. Die untere Breite LD2 kann gleich oder kleiner als die obere Breite LD3 sein. Die unteren Abschnitte der Seitenwände des Gateisolationsgebietes 52 können eine konkave Form aufweisen. Ferner sind die unteren Abschnitte der Seitenwände des Gateisolationsgebietes 52 gekrümmt und eben. Dieses ebene und konkave Profil erleichtert die spätere Bildung von Ersatzgates, da kein Hinterschnitt vorhanden ist, der schwer zu befüllen ist. Beispielsweise veranschaulichen gestrichelte Linien 60 den gekrümmten Boden eines Gateisolationsgebietes, der durch ein herkömmliches Verfahren gebildet ist, bei welchem das Gateisolationsgebiet aus einem homogenen Material gebildet wird. Die gestrichelten Linien 60 veranschaulichen, dass direkt unter den Randabschnitten des Gateisolationsgebietes scharfe Hinterschnitte gebildet werden, die nur sehr schwer mit einem Ersatzgate gefüllt werden können.By etching the dielectric layers 52-1 and 52-2 As mentioned above, the profiles shown in 11-A and 11B are shown. As in 11A shown are the bottom width of the dielectric layer 52-2 , the bottom width of the dielectric layer 52-1 and the top width of the dummy dielectric fin 25 ' labeled LD1, LD2 and LD3. According to some embodiments, the lower width LD1 is smaller than the lower width LD2. The lower width LD2 can be equal to or smaller than the upper width LD3. The lower portions of the sidewalls of the gate isolation region 52 can have a concave shape. Furthermore, the lower portions of the side walls are the gate isolation region 52 curved and flat. This flat and concave profile facilitates the later formation of replacement gates, since there is no There is an undercut that is difficult to fill. For example, dashed lines illustrate 60 the curved bottom of a gate isolation region formed by a conventional method in which the gate isolation region is formed from a homogeneous material. The dashed lines 60 illustrate that sharp undercuts are formed directly under the edge sections of the gate insulation area, which can only be filled with a replacement gate with great difficulty.

11B zeigt eine Draufsicht der Struktur aus 11A. Die Gateisolationsgebiete 52 weisen konkave Seitenwände gemäß dem vorstehend dargestellten Ätzvorgang auf. Beispielsweise kann der mittlere Abschnitt der Gateisolationsgebiet 52 am schmalsten sein, während der Randabschnitt der Gateisolationsgebiet 52, der die Gateabstandhalter 38 kontaktiert, am breitesten ist. In 11B ist die Breite (seitliche Abmessung) LD4 größer als die Breite LD5, und die Breite LD5 ist größer als die Breite LD6. Gemäß einigen Ausführungsformen kann die Breitendifferenz (LD4 - LD5) größer als etwa 5 Å sein, und das Verhältnis (LD4 - LD5)/LD4 kann größer als etwa 0,05 sein und in einem Bereich zwischen etwa 0,05 und etwa 1 liegen. Ebenso kann die Breitendifferenz (LD5 - LD6) größer als etwa 5 Å sein, und das Verhältnis (LD5 - LD6)/LD5 kann größer als etwa 0,05 sein und in einem Bereich zwischen etwa 0,05 und etwa 1 liegen. 11B Figure 13 shows a top view of the structure of Figure 1 11A . The gate isolation areas 52 have concave side walls in accordance with the etching process illustrated above. For example, the middle section can be the gate isolation region 52 be the narrowest, while the edge portion of the gate isolation region 52 holding the gate spacers 38 contacted, is broadest. In 11B the width (lateral dimension) LD4 is larger than the width LD5, and the width LD5 is larger than the width LD6. In some embodiments, the width difference (LD4 - LD5) can be greater than about 5 Å and the ratio (LD4 - LD5) / LD4 can be greater than about 0.05 and range between about 0.05 and about 1. Likewise, the width difference (LD5-LD6) can be greater than about 5 Å and the ratio (LD5-LD6) / LD5 can be greater than about 0.05 and range between about 0.05 and about 1.

Ferner ist der Winkel θ, der zwischen den Seitenwänden der Gateisolationsgebiet 52 und den Seitenwänden der entsprechenden Teile der Torabstandhalter 38 gebildet wird, gleich oder größer als 90 Grad und kann in einem Bereich zwischen 90 Grad und etwa 160 Grad liegen. Dieser rechte oder stumpfe Winkel erleichtert auch das Befüllen mit Ersatzgates in den nachfolgenden Prozessen.Furthermore, the angle θ made between the sidewalls is the gate isolation region 52 and the side walls of the corresponding parts of the goal spacers 38 is equal to or greater than 90 degrees and can be in a range between 90 degrees and about 160 degrees. This right or obtuse angle also makes it easier to fill with replacement gates in the subsequent processes.

12A, 12B und 12C zeigen eine perspektivische Ansicht, eine Querschnittsansicht und eine Draufsicht bei der Bildung der Ersatztorstapel 66A und 66B. Der zugehörige Prozess wird als Prozess 226 in dem Prozessablauf 200 in 26 dargestellt. Auf diese Weise werden die FinFETs 68A und 68B gebildet, wobei die Gatestapel 66A und 66B die Ersatzgatestapel der FinFETs 68A und 68B sind. Die Ersatzgates 66A und 66B teilen sich die gemeinsamen Gateabstandhalter 38A und 38B. Außerdem grenzen die beiden Ersatzgates 66A und 66B an das Gateisolationsgebiet 52. 12A , 12B and 12C Figure 12 shows a perspective view, a cross-sectional view, and a top view in forming the replacement gate stacks 66A and 66B . The associated process is called a process 226 in the process flow 200 in 26th shown. This is how the FinFETs 68A and 68B formed with the gate stack 66A and 66B the replacement gate stacks of the FinFETs 68A and 68B are. The replacement gates 66A and 66B share the common gate spacers 38A and 38B . In addition, the two replacement gates border 66A and 66B to the gate isolation region 52 .

Die Ersatzgatestapel 66A und 66B weisen Gatedielektrika 62 und Gateelektroden 64 auf. Gatedielektrika 62 können ein High-k-Dielektrikum wie Hafniumoxid, Zirkoniumoxid, Lanthanoxid oder dergleichen enthalten und können auch eine Siliciumoxidschicht als Grenzflächenschicht zwischen dem High-k-Dielektrikum und hervorstehenden Finnen 24' enthalten. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Gateelektroden 64 aus einem Metall, einer Metalllegierung, einem Metallsilicid, einem Metallnitrid oder dergleichen gebildet und können eine Verbundstruktur mit mehreren Schichten aus TiN, TiAl, Co, Al und/oder dergleichen aufweisen. Die jeweiligen Metalle und die Struktur werden so ausgewählt, dass die resultierenden Ersatzgateelektroden 64 geeignete Austrittsarbeiten aufweisen. Falls der resultierende FinFET ein n-FinFET ist, ist die Austrittsarbeit der Gateelektrode 60 beispielsweise niedriger als 4,5 eV, und falls der resultierende FinFET ein p-FinFET ist, ist die Austrittsarbeit der Gateelektrode 60 beispielsweise höher als 4,5 eV.The replacement gate stacks 66A and 66B exhibit gate dielectrics 62 and gate electrodes 64 on. Gate dielectrics 62 may contain a high-k dielectric such as hafnium oxide, zirconium oxide, lanthanum oxide or the like and may also contain a silicon oxide layer as an interface layer between the high-k dielectric and protruding fins 24 ' contain. According to some embodiments of the present disclosure, the gate electrodes 64 formed from a metal, a metal alloy, a metal silicide, a metal nitride or the like and may have a composite structure with a plurality of layers of TiN, TiAl, Co, Al and / or the like. The particular metals and structure are selected so that the resulting replacement gate electrodes 64 have suitable work functions. If the resulting FinFET is an n-FinFET, the work function is the gate electrode 60 for example, lower than 4.5 eV, and if the resulting FinFET is a p-FinFET, the work function is the gate electrode 60 for example higher than 4.5 eV.

12B zeigt eine Querschnittsansicht, die aus dem Referenzquerschnitt 12B-12B in 12A erhalten ist. Wie in 12B dargestellt, sind die Gatedielektrika 62 in Kontakt mit den beiden dielektrischen Schichten 52-1 und 52-2 des Gateisolationsgebietes 52. 12C zeigt eine Draufsicht der Struktur aus 12A. 12C veranschaulicht den Winkel θ und den zugehörigen komplementären Winkel α. Der Winkel α kann gleich oder größer als 90 Grad sein und kann in einem Bereich zwischen 90 Grad und etwa 160 Grad liegen. Da die Abschnitte der Ersatzgatestapel 66, die mit dem Gateisolationsgebiet 52 in Kontakt kommen, konvexe Formen aufweisen, ist es einfach, die Ersatzgatestapel 66 darin zu füllen, ohne Hohlräume zu hinterlassen. 12B Figure 13 shows a cross-sectional view resulting from the reference cross-section 12B-12B in 12A is preserved. As in 12B shown are the gate dielectrics 62 in contact with the two dielectric layers 52-1 and 52-2 of the gate isolation area 52 . 12C Figure 13 shows a top view of the structure of Figure 1 12A . 12C illustrates the angle θ and the associated complementary angle α. The angle α can be equal to or greater than 90 degrees and can be in a range between 90 degrees and approximately 160 degrees. As the sections of the spare gate stacks 66 that with the gate isolation area 52 come in contact, have convex shapes, it is easy to stack the replacement gate 66 to fill in without leaving voids.

13, 14A, 14B und 15-19 veranschaulichen Querschnittsansichten und perspektivische Ansichten der Zwischenstadien bei der Bildung von FinFETs und eines Gateisolationsgebietes gemäß einigen Ausführungsformen. Diese Ausführungsformen ähneln den vorstehend offengelegten Ausführungsformen, mit der Ausnahme, dass das Gateisolationsgebiet 52 auf dem STI-Gebiet 22 anstatt auf der dielektrischen Dummy-Finne 25' landet. Sofern nicht anders angegeben, sind die Materialien und die Entstehungsprozesse der Komponenten in diesen Ausführungsformen (und den in 20-25 gezeigten Ausführungsformen) im Wesentlichen die gleichen wie die gleichartigen Komponenten, die mit jeweils gleichen Bezugszeichen in den jeweiligen Zeichnungen der vorherstehend erläuterten Ausführungsformen bezeichnet sind. Die Einzelheiten bezüglich des Entstehungsprozesses und der Materialien der in 13, 14A, 14B und 15-19 gezeigten Bestandteile sind daher in der Erläuterung der vorstehenden Ausführungsformen zu finden. 13th , 14A , 14B and 15-19 10 illustrate cross-sectional and perspective views of the intermediate stages in the formation of FinFETs and a gate isolation region in accordance with some embodiments. These embodiments are similar to the embodiments disclosed above, except that the gate isolation region 52 in the STI field 22nd instead of the dummy dielectric fin 25 ' lands. Unless otherwise stated, the materials and the manufacturing processes of the components in these embodiments (and the in 20-25 embodiments shown) are essentially the same as the similar components, which are denoted by the same reference numerals in the respective drawings of the embodiments explained above. The details regarding the creation process and the materials of the in 13th , 14A , 14B and 15-19 Components shown can therefore be found in the explanation of the above embodiments.

13 zeigt einen ersten Halbleiterstreifen 24 und einen zweiten Halbleiterstreifen 24, wobei sich ein durchgehendes STI-Gebiet 22 von dem ersten Halbleiterstreifen 24 bis zu dem zweiten Halbleiterstreifen 24 erstreckt. Als nächstes werden die Prozesse aus 3-6 und 7A durchgeführt. Der Prozess aus 2 wird übersprungen, und es wird somit keine dielektrische Dummy-Finne gebildet. 13th shows a first semiconductor strip 24 and a second semiconductor strip 24 , with a continuous STI area 22nd from the first semiconductor strip 24 up to the second semiconductor strip 24 extends. Next up are the processes 3-6 and 7A carried out. The Process off 2 is skipped and thus a dummy dielectric fin is not formed.

14A zeigt eine Struktur nach der Herstellung einer CESL 46 und eines ILD 48. Außerdem werden Öffnungen 50 gebildet, um Dummy-Gatestapel 30 in kürzere Abschnitte 30A und 30B zu schneiden. 14B zeigt einen Querschnitt, der aus dem Referenzquerschnitt 14B-14B in 14A erhalten ist. Die Öffnung 50 erstreckt sich bis zu dem STI-Gebiet 22, so dass der Dummy-Gatestapel 30A physisch und elektrisch von den Dummy-Gatestapeln 30B getrennt ist. Die Formen der Struktur in der Draufsicht der 14A und 14B sind im Wesentlichen die gleichen wie diejenige in 7C, mit der Ausnahme, dass keine dielektrischen Dummy-Finnen 25' gebildet sind und das STI-Gebiet 22 zu den Öffnungen 50 freigelegt sind. 14A Fig. 10 shows a structure after a CESL is made 46 and an ILD 48 . There will also be openings 50 formed to dummy gate stack 30th into shorter sections 30A and 30B to cut. 14B shows a cross-section that is derived from the reference cross-section 14B-14B in 14A is preserved. The opening 50 extends to the STI area 22nd so that the dummy gate stack 30A physically and electrically from the dummy gate stacks 30B is separated. The shapes of the structure in the top view of the 14A and 14B are essentially the same as the one in 7C , with the exception that no dielectric dummy fins 25 ' are formed and the STI area 22nd to the openings 50 are exposed.

Als nächstes, wie in 15 gezeigt, wird das Gateisolationsgebiet 52 in der Öffnung 50 gebildet. Die Details und Materialien zur Herstellung sind mit Bezug auf die Erläuterung der 8B-1 und 8B-2 zu finden. Als nächstes werden die Dummy-Gatestapel 30A und 30B entfernt, um entweder ein Dummy-Gatedielektrikum 32 oder hervorstehende Finnen 24' freizulegen, je nachdem, ob das Dummy-Gatedielektrikum 32 zu diesem Zeitpunkt entfernt wird oder nicht. Die resultierende Struktur ist in 16 dargestellt.Next, as in 15th shown is the gate isolation region 52 in the opening 50 educated. The details and materials of manufacture are with reference to the explanation of the 8B-1 and 8B-2 to find. Next up are the dummy gate stacks 30A and 30B removed to either a dummy gate dielectric 32 or protruding fins 24 ' to expose, depending on whether the dummy gate dielectric 32 removed or not at that time. The resulting structure is in 16 shown.

17 veranschaulicht den ersten Ätzprozess 56, bei dem die Seitenwandabschnitte der dielektrischen Schicht 52-1 entfernt und die Seitenwände der dielektrischen Schicht 52-2 zu den Öffnungen 54A und 54B freigelegt werden. 18 veranschaulicht den zweiten Ätzprozess 58, so dass das in 18 gezeigte Profil gebildet wird. Die Werte der Breiten LD1, LD2 und LD3 und die Beziehung (wie beispielsweise die (mathematischen) Verhältnisse) zwischen den Breiten LD1, LD2 und LD3 können ähnlich sein wie vorstehend mit Bezug auf 11A erläutert, und werden nicht wiederholt. Die Form des Gateisolationsgebietes 52 in der Draufsicht kann im Wesentlichen die gleiche sein wie in 11B dargestellt. 19 veranschaulicht die Herstellung der Ersatztorstapel 66A und 66B. Auf diese Weise werden die FinFETs 68A und 68B gebildet. 17th illustrates the first etching process 56 , in which the sidewall portions of the dielectric layer 52-1 removed and the sidewalls of the dielectric layer 52-2 to the openings 54A and 54B be exposed. 18th illustrates the second etching process 58 so that in 18th Profile shown is formed. The values of the widths LD1, LD2 and LD3 and the relationship (such as the (mathematical) relationships) between the widths LD1, LD2 and LD3 may be similar to those described above with reference to FIG 11A explained and will not be repeated. The shape of the gate isolation area 52 in plan view may be substantially the same as in FIG 11B shown. 19th illustrates the manufacture of the replacement gate stacks 66A and 66B . This is how the FinFETs 68A and 68B educated.

Die Verfahren zur Herstellung von Gateisolationsgebieten können auch auf die Herstellung anderer Transistortypen als FinFETs angewandt werden. Die Prozesse können beispielsweise beim Schneiden von Dummy-Gates für Planartransistoren, Gate-All-Around-Transistoren (GAA-Transistoren) oder dergleichen angewendet werden. 20 bis 23 veranschaulichen die beispielhaften Ausführungen, in denen Gateisolationsgebiete für GAA-Transistoren gebildet werden.The methods for producing gate isolation regions can also be applied to the production of transistor types other than FinFETs. The processes can be used, for example, when cutting dummy gates for planar transistors, gate-all-around transistors (GAA transistors) or the like. 20th to 23 illustrate the exemplary implementations in which gate isolation regions for GAA transistors are formed.

Mit Bezug auf 20 werden zwei gestapelte Schichten 114 und 114' gebildet. Jede der gestapelten Schichten 114 und 114' weist Kanalschichten 110 und Opferfilme 112 auf. Die Gesamtzahl der Kanalschichten 110 und die Gesamtzahl der Opferfilme 112 können in einem Bereich zwischen 1 und etwa 10 liegen. Das Material der Kanalschichten 110 und der Opferfilme 112 sind verschieden. Gemäß einigen Ausführungsformen enthalten die Kanalschichten 110 Si, SiGe oder dergleichen oder sind aus solchen gebildet. Die Opferschichten 112 können SiGe, SiP, SiOCN, SiC oder dergleichen enthalten oder aus solchen gebildet sein. Die gestapelten Schichten 114 und 114' überlappen die jeweiligen Halbleiterstreifen 24. Die Dummy-Gatestapel 30, die das Dummy-Gatedielektrikum 32, die Dummy-Gateelektroden 34 und die Hartmasken 36 aufweisen, werden auf den gestapelten Schichten 114 und 114' gebildet. Die Öffnung 50 wird durch Ätzen von Dummy-Gatestapel 30 gebildet.Regarding 20th will be two stacked layers 114 and 114 ' educated. Each of the stacked layers 114 and 114 ' has channel layers 110 and victim films 112 on. The total number of channel layers 110 and the total number of victim films 112 can range between 1 and about 10. The material of the channel layers 110 and the victim films 112 are different. According to some embodiments, the channel layers include 110 Si, SiGe or the like or are formed from such. The sacrificial layers 112 may contain or be formed from SiGe, SiP, SiOCN, SiC or the like. The stacked layers 114 and 114 ' overlap the respective semiconductor strips 24 . The dummy gate stacks 30th who have favourited the dummy gate dielectric 32 who have favourited dummy gate electrodes 34 and the hard masks 36 have to be stacked on top of the layers 114 and 114 ' educated. The opening 50 is made by etching dummy gate stacks 30th educated.

Gemäß einigen Ausführungsformen sind die Form der Struktur in der perspektivischen Ansicht und in der Draufsicht wie in 20 dargestellt im Wesentlichen die gleichen wie in 14A und 7C mit der Ausnahme, dass keine dielektrischen Dummy-Finnen 25' gebildet sind und die hervorstehenden Finnen 24' durch gestapelte Schichten 114 und 114' ersetzt sind. Die Herstellungsprozesse können mit Bezug auf die vorhergehenden Ausführungsformen bedacht werden.According to some embodiments, the shape of the structure in perspective view and in plan view are as in FIG 20th shown essentially the same as in 14A and 7C except that no dielectric dummy fins 25 ' are formed and the protruding fins 24 ' through stacked layers 114 and 114 ' are replaced. The manufacturing processes can be considered with reference to the previous embodiments.

Mit Bezug auf 21 wird das Gateisolationsgebiet 52 gebildet. Dann werden die Dummy-Gatestapel 30A und 30B entfernt, wodurch die Gräben 54A und 54B gebildet werden, wie in 22 dargestellt. In nachfolgenden Prozessen werden der erste Ätzprozess 56 (17) und der zweite Ätzprozess 58 (18) durchgeführt, um das Profil des Gateisolationsgebietes 52 zu modifizieren. Die Form der Struktur in der Draufsicht wie in 22 gezeigt ist ähnlich wie in 11B mit der Ausnahme, dass die hervorstehenden Finnen 24' aus 11B durch gestapelte Schichten 114 ersetzt sind.Regarding 21 becomes the gate isolation area 52 educated. Then the dummy gate stacks 30A and 30B removed, making the trenches 54A and 54B be formed as in 22nd shown. Subsequent processes will be the first etching process 56 ( 17th ) and the second etching process 58 ( 18th ) performed to the profile of the gate isolation area 52 to modify. The shape of the structure in plan view as in 22nd shown is similar to in 11B except that the protruding fins 24 ' out 11B through stacked layers 114 are replaced.

In nachfolgenden Prozessen werden Opferfilme 112 entfernt, gefolgt von der Bildung von Ersatzgates 66A und 66B, die Gatedielektrika 62, welche die Kanalschichten 110 umgeben, und Gateelektroden 64 aufweisen, welche die verbleibenden Zwischenräume zwischen den Kanalschichten 110 ausfüllen. Auf diese Weise werden die GAA-Transistoren 68A' und 68B' gebildet.In subsequent processes, victim films become 112 removed, followed by the formation of replacement gates 66A and 66B who have favourited Gate Dielectrics 62 which are the channel layers 110 surrounded, and gate electrodes 64 have, which are the remaining spaces between the channel layers 110 to complete. This is how the GAA transistors become 68A ' and 68B ' educated.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist die Gateisolationsgebiet 52 zwei Schichten auf, wie beispielsweise die Schichten 52-1 und 52-2. Gemäß alternativen Ausführungsformen kann das Gateisolationsgebiet 52 weitere Schichten, beispielsweise drei, vier, fünf und bis zu zehn Schichten, aufweisen. Beispielsweise veranschaulicht 24 eine Draufsicht von Gateisolationsgebieten 52, die Schicht 52-1, Schicht 52-n und die Schichten 52-2 bis 52-(n-1) (nicht gezeigt) aufweisen, wobei n eine ganze Zahl von mindestens 2 und bis zu 10 ist. Der Herstellungsprozess umfasst das Abscheiden der Schichten 52-1 bis 52-(n-1) unter Verwendung konformer Abscheidungsverfahren, wobei die Materialien der Schichten 52-1 bis 52-n voneinander verschieden sind, das Abscheiden der dielektrischen Schicht 52-n und die Durchführung eines Planarisierungsprozesses. 25 zeigt eine Draufsicht der Transistoren 68A und 68B, nachdem die Gateisolationsgebiete 52 gebildet sind. Das Profil ist demjenigen ähnlich, das mit Bezug auf 11B erläutert ist, wobei die äußeren Schichten des Gateisolationsgebietes 52 zunehmend breiter als die jeweiligen inneren Schichten sind.According to some embodiments of the present disclosure, the gate isolation region comprises 52 two layers, such as the layers 52-1 and 52-2 . According to alternative embodiments, the gate isolation region can 52 further layers, for example three, four, five and up to ten layers. For example illustrates 24 a top view of gate isolation regions 52 , the layer 52-1 , Shift 52-n and the layers 52-2 to 52- (n-1) (not shown), where n is an integer of at least 2 and up to 10. The manufacturing process includes the deposition of the layers 52-1 to 52- (n-1) using conformal deposition processes, the materials of the layers 52-1 to 52-n are different from one another, the deposition of the dielectric layer 52-n and performing a planarization process. 25th Figure 3 shows a top view of the transistors 68A and 68B after the gate isolation areas 52 are formed. The profile is similar to the one referring to 11B is explained, wherein the outer layers of the gate isolation region 52 are increasingly wider than the respective inner layers.

Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch die Bildung von mehrschichtigen Gateisolationsgebieten und das Ätzen der mehreren Schichten werden die Profile der Eckgebiete der Gateisolationsgebiete geformt, wobei keine Hinterschnitte und scharfen Ecken gebildet sind. Die Herstellung von Ersatzgates ist daher einfacher, und die Bildung von Hohlräumen ist unwahrscheinlicher.The embodiments of the present disclosure have several advantageous features. The profiles of the corner regions of the gate insulation regions are formed by the formation of multi-layer gate insulation regions and the etching of the several layers, with no undercuts and sharp corners being formed. The manufacture of replacement gates is therefore easier and the formation of voids is less likely.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Bilden eines Dummy-Gatestapels; das Ätzen des Dummy-Gatestapels zur Bildung einer Öffnung; das Abscheiden einer ersten dielektrischen Schicht, die sich in die Öffnung erstreckt; das Abscheiden einer zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht, die sich in die Öffnung erstreckt; das Durchführen eines Planarisierungsprozesses, um ein Gateisolationsgebiet zu bilden, das die erste dielektrische Schicht und die zweite dielektrische Schicht aufweist; das Entfernen von Abschnitten des Dummy-Gatestapels auf gegenüberliegenden Seiten des Gateisolationsgebietes, um Gräben zu bilden; das Durchführen eines ersten Ätzprozesses, um Seitenwandabschnitte der ersten dielektrischen Schicht zu entfernen; das Durchführen eines zweiten Ätzprozesses, um die zweite dielektrische Schicht abzudünnen; und das Bilden von Ersatzgates in den Gräben. In einer Ausführungsform weist die erste dielektrische Schicht bei dem ersten Ätzprozess eine höhere Ätzrate auf als die zweite dielektrische Schicht, und die erste dielektrische Schicht weist eine niedrigere Ätzrate bei dem zweiten Ätzprozess auf als die zweite dielektrische Schicht. In einer Ausführungsform bewirken der erste Ätzprozess und der zweite Ätzprozess, dass das Gateisolationsgebiet konkave Seitenwände aufweist, die den Gräben zugewandt sind. In einer Ausführungsform umfasst das Verfahren ferner das Bilden einer dielektrischen Dummy-Finne, die aus den Isolationsgebieten hervorsteht, welche auf gegenüberliegenden Seiten der dielektrischen Dummy-Finne liegen, und das Gateisolationsgebiet weist eine Bodenfläche auf, die mit der dielektrischen Dummy-Finne in Kontakt steht. In einer Ausführung umfasst das Verfahren ferner das Bilden eines Flachgrabenisolationsgebietes, das sich in ein Halbleitersubstrat erstreckt, wobei das Gateisolationsgebiet eine Bodenfläche aufweist, die das Flachgrabenisolationsgebiet kontaktiert. In einer Ausführungsform erstreckt sich der Dummy-Gatestapel auf zwei benachbarte Halbleiterfinnen. In einer Ausführungsform erstreckt sich der Dummy-Gatestapel auf zwei benachbarten Stapeln gestapelter Schichten, und jeder Stapel der gestapelten Schichten weist abwechselnd Kanalschichten und Opferfilme auf, und das Verfahren umfasst ferner das Entfernen der Opferfilme.According to some embodiments of the present disclosure, a method includes forming a dummy gate stack; etching the dummy gate stack to form an opening; depositing a first dielectric layer extending into the opening; depositing a second dielectric layer on the first dielectric layer extending into the opening; performing a planarization process to form a gate isolation region having the first dielectric layer and the second dielectric layer; removing portions of the dummy gate stack on opposite sides of the gate isolation region to form trenches; performing a first etch process to remove sidewall portions of the first dielectric layer; performing a second etch process to thin the second dielectric layer; and forming replacement gates in the trenches. In one embodiment, the first dielectric layer has a higher etching rate in the first etching process than the second dielectric layer, and the first dielectric layer has a lower etching rate in the second etching process than the second dielectric layer. In one embodiment, the first etching process and the second etching process have the effect that the gate insulation region has concave side walls that face the trenches. In one embodiment, the method further includes forming a dummy dielectric fin protruding from the isolation regions located on opposite sides of the dummy dielectric fin, and the gate isolation region has a bottom surface that is in contact with the dummy dielectric fin . In one embodiment, the method further comprises forming a shallow trench isolation region that extends into a semiconductor substrate, wherein the gate isolation region has a bottom surface that contacts the shallow trench isolation region. In one embodiment, the dummy gate stack extends onto two adjacent semiconductor fins. In one embodiment, the dummy gate stack extends over two adjacent stacks of stacked layers, and each stack of the stacked layers includes alternating channel layers and sacrificial films, and the method further includes removing the sacrificial films.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Struktur ein erstes Halbleitergebiet und ein zweites Halbleitergebiet; einen ersten Gatestapel und einen zweiten Gatestapel auf dem ersten Halbleitergebiet und dem zweiten Halbleitergebiet; ein dielektrisches Gebiet zwischen dem ersten Halbleitergebiet und dem zweiten Halbleitergebiet; und ein Gateisolationsgebiet zwischen dem ersten Gatestapel und dem zweiten Gatestapel, wobei eine untere Oberfläche des Gateisolationsgebietes das dielektrische Gebiet kontaktiert, und wobei das Gateisolationsgebiet in einer Draufsicht konkave Seitenwände in Kontakt mit dem ersten Gatestapel und dem zweiten Gatestapel aufweist. In einer Ausführungsform weist die Struktur ferner einen ersten Gateabstandhalter und einen zweiten Gateabstandhalter auf, die auf gegenüberliegenden Seiten des Gateisolationsgebietes angeordnet sind und diesen kontaktieren. In einer Ausführungsform kontaktieren der erste Gateabstandhalter und der zweite Gateabstandhalter den ersten Gatestapel und den zweiten Gatestapel. In einer Ausführungsform hat das Gateisolationsgebiet einen unteren Abschnitt, der das dielektrische Gebiet kontaktiert, wobei die oberen Abschnitte des unteren Teils schmaler als die jeweiligen unteren Abschnitte des unteren Teils sind. In einer Ausführungsform weist das Gateisolationsgebiet eine erste dielektrische Schicht und eine zweite dielektrische Schicht auf. Die erste dielektrische Schicht weist einen unteren Abschnitt und zwei Seitenwandabschnitte auf, die über dem unteren Abschnitt liegen und mit gegenüberliegenden Enden des unteren Abschnitts verbunden sind. Die zweite dielektrische Schicht liegt zwischen den beiden Seitenwandabschnitten. In einer Ausführungsform sind die erste dielektrische Schicht und die zweite dielektrische Schicht aus verschiedenen Materialien gebildet. In einer Ausführungsform sind die erste dielektrische Schicht und die zweite dielektrische Schicht aus demselben Material gebildet, und die erste dielektrische Schicht und die zweite dielektrische Schicht haben verschiedene Porositätswerte.According to some embodiments of the present disclosure, a structure includes a first semiconductor region and a second semiconductor region; a first gate stack and a second gate stack on the first semiconductor region and the second semiconductor region; a dielectric region between the first semiconductor region and the second semiconductor region; and a gate isolation region between the first gate stack and the second gate stack, wherein a lower surface of the gate isolation region contacts the dielectric region, and wherein the gate isolation region has concave sidewalls in contact with the first gate stack and the second gate stack in a plan view. In one embodiment, the structure furthermore has a first gate spacer and a second gate spacer, which are arranged on opposite sides of the gate isolation region and contact the latter. In one embodiment, the first gate spacer and the second gate spacer contact the first gate stack and the second gate stack. In one embodiment, the gate isolation region has a lower portion that contacts the dielectric region, the upper portions of the lower part being narrower than the respective lower portions of the lower part. In one embodiment, the gate insulation region has a first dielectric layer and a second dielectric layer. The first dielectric layer has a lower portion and two sidewall portions overlying the lower portion and connected to opposite ends of the lower portion. The second dielectric layer lies between the two side wall sections. In one embodiment, the first dielectric layer and the second dielectric layer are formed from different materials. In one embodiment, the first dielectric layer and the second dielectric layer are formed from the same material, and the first dielectric layer and the second dielectric layer have different porosity values.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Struktur einen ersten Gatestapel und einen zweiten Gatestapel auf. Der erste Gatestapel weist ein erstes Gatedielektrikum und eine erste Gateelektrode auf, die einen ersten unteren Abschnitt des ersten Gatedielektrikums überlappt. Der zweite Gatestapel weist ein zweites Gatedielektrikum auf; und eine zweite Gateelektrode, die einen zweiten unteren Abschnitt des zweiten Gatedielektrikums überlappt. Die Struktur weist ferner einen ersten Gateabstandhalter; und ein Gateisolationsgebiet zwischen dem ersten Gatestapel und dem zweiten Gatestapel auf, wobei das Gateisolationsgebiet eine erste dielektrische Schicht, die einen unteren Abschnitt und zwei Seitenwandabschnitte aufweist, die über gegenüberliegenden Enden des unteren Abschnitts und mit diesen verbunden sind, wobei die erste dielektrische Schicht eine erste Grenzfläche mit dem ersten Gatestapel und eine zweite Grenzfläche mit dem ersten Gateabstandhalter bildet und die erste Grenzfläche und die zweite Grenzfläche einen spitzen Winkel bilden; und eine zweite dielektrische Schicht zwischen den beiden Seitenwandabschnitten aufweist. In einer Ausführungsform weist die Struktur ferner einen zweiten Gateabstandhalter auf, wobei sowohl der erste Gateabstandhalter als auch der zweite Gateabstandhalter das Gateisolationsgebiet kontaktieren. In einer Ausführungsform sind die erste dielektrische Schicht und die zweite dielektrische Schicht aus unterschiedlichen Materialien gebildet. In einer Ausführungsform sind die erste dielektrische Schicht und die zweite dielektrische Schicht aus demselben Material gebildet und haben unterschiedliche Dichtewerte. In einer Ausführungsform kontaktieren sowohl die erste dielektrische Schicht als auch die zweite dielektrische Schicht sowohl mit dem ersten Gatestapel als auch mit dem zweiten Gatestapel. In einer Ausführungsform weist die Struktur ferner ein dielektrisches Gebiet auf, das unter dem Gateisolationsgebiet liegt und dieses kontaktiert, wobei das dielektrische Gebiet eine erste Grenzfläche mit dem Gateisolationsgebiet bildet und der untere Abschnitt der ersten dielektrischen Schicht eine zweite Grenzfläche mit der zweiten dielektrischen Schicht bildet und die zweite Grenzfläche kürzer als die erste Grenzfläche ist.According to some embodiments of the present disclosure, a structure includes a first gate stack and a second gate stack. The first gate stack has a first gate dielectric and a first gate electrode that overlaps a first lower portion of the first gate dielectric. The second gate stack has a second gate dielectric; and a second gate electrode overlapping a second lower portion of the second gate dielectric. The structure further includes a first gate spacer; and a gate isolation region between the first gate stack and the second gate stack, the gate isolation region having a first dielectric layer having a lower portion and two sidewall portions over and connected to opposite ends of the lower portion, the first dielectric layer being a first Forms an interface with the first gate stack and a second interface with the first gate spacer, and the first interface and the second interface form an acute angle; and a second dielectric layer between the two sidewall portions. In one embodiment, the structure further comprises a second gate spacer, wherein both the first gate spacer and the second gate spacer contact the gate isolation region. In one embodiment, the first dielectric layer and the second dielectric layer are formed from different materials. In one embodiment, the first dielectric layer and the second dielectric layer are formed from the same material and have different density values. In one embodiment, both the first dielectric layer and the second dielectric layer contact both the first gate stack and the second gate stack. In one embodiment, the structure furthermore has a dielectric region which lies beneath the gate insulation region and makes contact therewith, wherein the dielectric region forms a first interface with the gate insulation region and the lower section of the first dielectric layer forms a second interface with the second dielectric layer and the second interface is shorter than the first interface.

Die vorstehenden Ausführungen umreißen die Merkmale verschiedener Ausführungsformen, so dass der fachkundige Leser die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte erkennen, dass die vorliegende Offenbarung ohne weiteres als Grundlage für die Gestaltung oder Änderung anderer Prozesse und Strukturen verwendet werden kann, um die gleichen Zwecke zu verwirklichen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erreichen. Der Fachmann sollte ferner erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass verschiedene Änderungen, Substitutionen und Modifikationen vorgenommen werden können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.The foregoing outlines the features of various embodiments so that those skilled in the art may better understand aspects of the present disclosure. Those skilled in the art should recognize that the present disclosure can readily be used as a basis for designing or changing other processes and structures in order to achieve the same purposes and / or achieve the same advantages of the embodiments presented here. It should also be recognized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that various changes, substitutions, and modifications can be made without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • US 62/927559 [0001]US 62/927559 [0001]

Claims (20)

Verfahren umfassend: Bilden eines Dummy-Gatestapels; Ätzen des Dummy-Gatestapels, um eine Öffnung zu bilden; Abscheiden einer ersten dielektrischen Schicht, die sich in die Öffnung erstreckt; Abscheiden einer zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht, wobei sich die zweite dielektrische Schicht in die Öffnung erstreckt; Durchführen eines Planarisierungsprozesses, um ein Gateisolationsgebiet mit der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht zu bilden; Entfernen von Abschnitten des Dummy-Gatestapels auf gegenüberliegenden Seiten des Gateisolationsgebietes, um Gräben zu bilden; Durchführen eines ersten Ätzprozesses zur Entfernung von Seitenwandabschnitten der ersten dielektrischen Schicht; Durchführen eines zweiten Ätzprozesses zur Abdünnung der zweiten dielektrischen Schicht; und Bilden von Ersatzgates in den Gräben.Procedure comprising: Forming a dummy gate stack; Etching the dummy gate stack to form an opening; Depositing a first dielectric layer extending into the opening; Depositing a second dielectric layer on the first dielectric layer, the second dielectric layer extending into the opening; Performing a planarization process to form a gate isolation region with the first dielectric layer and the second dielectric layer; Removing portions of the dummy gate stack on opposite sides of the gate isolation region to form trenches; Performing a first etching process to remove sidewall portions of the first dielectric layer; Performing a second etching process for thinning the second dielectric layer; and Forming replacement gates in the trenches. Verfahren nach Anspruch 1, wobei in dem ersten Ätzprozess die erste dielektrische Schicht eine höhere Ätzrate aufweist als die zweite dielektrische Schicht, und in dem zweiten Ätzprozess die erste dielektrische Schicht eine niedrigere Ätzrate aufweist als die zweite dielektrische Schicht.Procedure according to Claim 1 wherein in the first etching process the first dielectric layer has a higher etching rate than the second dielectric layer, and in the second etching process the first dielectric layer has a lower etching rate than the second dielectric layer. Verfahren nach Anspruch 1, wobei der erste Ätzprozess und der zweite Ätzprozess ergeben, dass das Gateisolationsgebiet konkave Seitenwände aufweist, die den Gräben zugewandt sind.Procedure according to Claim 1 wherein the first etching process and the second etching process result in the gate isolation region having concave side walls which face the trenches. Verfahren nach Anspruch 1, ferner umfassend: Bilden einer dielektrischen Dummy-Finne, die aus Isolationsgebieten hervorsteht, die auf gegenüberliegenden Seiten der dielektrischen Dummy-Finne liegen, und das Gateisolationsgebiet eine Bodenfläche aufweist, die die dielektrische Dummy-Finne kontaktiert.Procedure according to Claim 1 Further comprising: forming a dummy dielectric fin protruding from isolation regions located on opposite sides of the dummy dielectric fin, and the gate isolation region having a bottom surface that contacts the dummy dielectric fin. Verfahren nach Anspruch 1, ferner umfassend: Bilden eines Flachgrabenisolationsgebietes, das sich in ein Halbleitersubstrat erstreckt, wobei das Gateisolationsgebiet eine Bodenfläche aufweist, die das Flachgrabenisolationsgebiet kontaktiert.Procedure according to Claim 1 Further comprising: forming a shallow trench isolation region that extends into a semiconductor substrate, wherein the gate isolation region has a bottom surface that contacts the shallow trench isolation region. Verfahren nach Anspruch 1, wobei sich der Dummy-Gatestapel auf zwei benachbarten Halbleiterfinnen erstreckt.Procedure according to Claim 1 , wherein the dummy gate stack extends onto two adjacent semiconductor fins. Verfahren nach Anspruch 1, wobei sich der Dummy-Gatestapel auf zwei benachbarten Stapeln von gestapelten Schichten erstreckt, und jeder Stapel der gestapelten Schichten abwechselnd Kanalschichten und Opferfilme aufweist, und wobei das Verfahren ferner umfasst: Entfernen der Opferfilme.Procedure according to Claim 1 wherein the dummy gate stack extends to two adjacent stacks of stacked layers, and each stack of the stacked layers comprises alternating channel layers and sacrificial films, and the method further comprising: removing the sacrificial films. Struktur aufweisend: ein erstes Halbleitergebiet und ein zweites Halbleitergebiet; einen ersten Gatestapel auf dem ersten Halbleitergebiet und einen zweiten Gatestapel auf dem zweiten Halbleitergebiet; ein dielektrisches Gebiet zwischen dem ersten Halbleitergebiet und dem zweiten Halbleitergebiet; und ein Gateisolationsgebiet zwischen dem ersten Gatestapel und dem zweiten Gatestapel, wobei eine Bodenfläche des Gateisolationsgebietes das dielektrische Gebiet kontaktiert, wobei das Gateisolationsgebiet in einer Draufsicht konkave Seitenwände in Kontakt mit dem ersten Gatestapel und dem zweiten Gatestapel aufweist.Having structure: a first semiconductor region and a second semiconductor region; a first gate stack on the first semiconductor region and a second gate stack on the second semiconductor region; a dielectric region between the first semiconductor region and the second semiconductor region; and a gate insulation region between the first gate stack and the second gate stack, wherein a bottom surface of the gate insulation region contacts the dielectric region, the gate insulation region having concave sidewalls in contact with the first gate stack and the second gate stack in a plan view. Struktur nach Anspruch 8, ferner aufweisend: einen ersten Gateabstandhalter und einen zweiten Gateabstandhalter auf gegenüberliegenden Seiten des Gateisolationsgebietes und in Kontakt mit dem Gateisolationsgebiet.Structure according to Claim 8 , further comprising: a first gate spacer and a second gate spacer on opposite sides of the gate isolation region and in contact with the gate isolation region. Struktur nach Anspruch 9, wobei jeder des ersten Gateabstandhalters und des zweiten Gateabstandhalters ferner den ersten Gatestapel und den zweiten Gatestapel kontaktiert.Structure according to Claim 9 wherein each of the first gate spacer and the second gate spacer further contacts the first gate stack and the second gate stack. Struktur nach Anspruch 8, wobei das Gateisolationsgebiet einen unteren Abschnitt aufweist, der mit dem dielektrischen Gebiet in Kontakt steht, und wobei die oberen Abschnitte des unteren Abschnitts schmaler sind als die jeweiligen unteren Abschnitte des unteren Abschnitts.Structure according to Claim 8 wherein the gate isolation region has a lower portion that is in contact with the dielectric region, and wherein the upper portions of the lower portion are narrower than the respective lower portions of the lower portion. Struktur von Anspruch 11, wobei das Gateisolationsgebiet aufweist: eine erste dielektrische Schicht, die Folgendes aufweist: - einen unteren Teil; und - zwei Seitenwandabschnitte über, und in Verbindung mit, den gegenüberliegenden Enden des unteren Abschnitts; und eine zweite dielektrische Schicht zwischen den beiden Seitenwandabschnitten.Structure of Claim 11 wherein the gate isolation region comprises: a first dielectric layer comprising: a lower portion; and - two side wall sections over, and in connection with, the opposite ends of the lower section; and a second dielectric layer between the two sidewall sections. Struktur nach Anspruch 12, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht aus verschiedenen Materialien gebildet sind.Structure according to Claim 12 wherein the first dielectric layer and the second dielectric layer are formed from different materials. Struktur nach Anspruch 12, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht aus dem gleichen Material gebildet sind und die zweite dielektrische Schicht poröser als die erste dielektrische Schicht ist.Structure according to Claim 12 wherein the first dielectric layer and the second dielectric layer are formed from the same material and the second dielectric layer is more porous than the first dielectric layer. Struktur aufweisend: einen ersten Gatestapel, der Folgendes aufweist: - ein erstes Gatedielektrikum; und - eine erste Gateelektrode, die einen ersten unteren Abschnitt des ersten Gatedielektrikums überlappt; einen zweiten Gatestapel, der Folgendes aufweist: - ein zweites Gatedielektrikum; und - eine zweite Gateelektrode, die einen zweiten unteren Abschnitt des zweiten Gatedielektrikums überlappt; einen ersten Gateabstandhalter; und ein Gateisolationsgebiet zwischen dem ersten Gatestapel und dem zweiten Gatestapel, wobei das Gateisolationsgebiet Folgendes aufweist: - eine erste dielektrische Schicht, die einen unteren Abschnitt und zwei Seitenwandabschnitte über, und in Verbindung mit, gegenüberliegenden Enden des unteren Abschnitts aufweist, wobei die erste dielektrische Schicht eine erste Grenzfläche mit dem ersten Gatestapel und eine zweite Grenzfläche mit dem ersten Gateabstandhalter bildet und die erste Grenzfläche und die zweite Grenzfläche einen spitzen Winkel bilden; und - eine zweite dielektrische Schicht zwischen den beiden Seitenwandabschnitten.Having structure: a first gate stack comprising: a first gate dielectric; and a first gate electrode overlapping a first lower portion of the first gate dielectric; a second gate stack comprising: a second gate dielectric; and a second gate electrode overlapping a second lower portion of the second gate dielectric; a first gate spacer; and a gate isolation region between the first gate stack and the second gate stack, the gate isolation region comprising: a first dielectric layer having a lower portion and two sidewall portions over and in connection with opposite ends of the lower portion, the first dielectric layer forms a first interface with the first gate stack and a second interface with the first gate spacer, and the first interface and the second interface form an acute angle; and a second dielectric layer between the two side wall sections. Struktur nach Anspruch 15, ferner aufweisend: einen zweiten Gateabstandhalter, wobei sowohl der erste Gateabstandhalter als auch der zweite Gateabstandhalter das Gateisolationsgebiet kontaktieren.Structure according to Claim 15 , further comprising: a second gate spacer, wherein both the first gate spacer and the second gate spacer contact the gate isolation region. Struktur nach Anspruch 15, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht aus verschiedenen Materialien gebildet sind.Structure according to Claim 15 wherein the first dielectric layer and the second dielectric layer are formed from different materials. Struktur nach Anspruch 15, wobei die erste dielektrische Schicht und die zweite dielektrische Schicht aus dem gleichen Material gebildet sind und unterschiedliche Dichtewerte aufweisen.Structure according to Claim 15 wherein the first dielectric layer and the second dielectric layer are formed from the same material and have different density values. Struktur nach Anspruch 15, wobei sowohl die erste dielektrische Schicht als auch die zweite dielektrische Schicht sowohl mit dem ersten Gatestapel als auch mit dem zweiten Gatestapel in Kontakt stehen.Structure according to Claim 15 wherein both the first dielectric layer and the second dielectric layer are in contact with both the first gate stack and the second gate stack. Struktur nach Anspruch 15, ferner aufweisend eine dritte dielektrische Schicht zwischen der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht.Structure according to Claim 15 , further comprising a third dielectric layer between the first dielectric layer and the second dielectric layer.
DE102020112821.5A 2019-10-29 2020-05-12 DUMMY GATE INTERSECTION PROCESS AND RESULTING GATE STRUCTURES Pending DE102020112821A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927559P 2019-10-29 2019-10-29
US62/927,559 2019-10-29
US16/867,867 US11251284B2 (en) 2019-10-29 2020-05-06 Dummy gate cutting process and resulting gate structures
US16/867,867 2020-05-06

Publications (1)

Publication Number Publication Date
DE102020112821A1 true DE102020112821A1 (en) 2021-04-29

Family

ID=75378872

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020112821.5A Pending DE102020112821A1 (en) 2019-10-29 2020-05-12 DUMMY GATE INTERSECTION PROCESS AND RESULTING GATE STRUCTURES

Country Status (1)

Country Link
DE (1) DE102020112821A1 (en)

Similar Documents

Publication Publication Date Title
DE102017103419B4 (en) SEMICONDUCTOR DEVICE WITH SEPARATE SOURCE-DRAIN STRUCTURE AND ASSOCIATED MANUFACTURING PROCESS
DE102017114981B4 (en) Method of manufacturing a semiconductor device
DE102018111381A1 (en) Selective NFET / PFET channeling of source / drain regions
DE102019126339B4 (en) RESIDUE REMOVAL IN METAL-GATE CUTTING PROCESS
DE102018124741A1 (en) LINER STRUCTURE IN THE ELECTRICAL INTERMEDIATE SHIELD STRUCTURE FOR SEMICONDUCTOR COMPONENTS
DE102020121265A1 (en) Structure and method of leakage prevention
DE102021100333A1 (en) SEMICONDUCTOR DEVICE STRUCTURE
DE102021116181A1 (en) TRANSISTOR GATE STRUCTURES AND METHODS OF FORMING SAME
DE102019111297B4 (en) Semiconductor device and method
DE102020108047A1 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND METHOD OF FORMING THE SAME
DE102021109770B4 (en) HYBRID SEMICONDUCTOR DEVICE
DE102019126285A1 (en) Control of limit stresses through blocking layers
DE102018122665A1 (en) BASE REMOVAL IN METAL CUTTING PROCEDURES
DE102020120265A1 (en) Forming isolation regions for separating fins and gate stacks
DE102019119716B4 (en) ISOLATION OF SOURCE/DRAIN REGIONS OF TWO MULTI-GATE TRANSISTORS IN CLOSE ARRANGEMENT
DE102020119940A1 (en) MULTIPLE GATE TRANSISTOR STRUCTURE
DE102022132143A1 (en) METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND SEMICONDUCTOR DEVICES
DE102017128047A1 (en) SEMICONDUCTOR DEVICE AND METHOD FOR THE PRODUCTION THEREOF
DE102018124815B4 (en) FIN field effect transistor device and method
DE102020132620A1 (en) Semiconductor device and method
DE102020119452A1 (en) Semiconductor device and method
DE102020112821A1 (en) DUMMY GATE INTERSECTION PROCESS AND RESULTING GATE STRUCTURES
DE102020120848A1 (en) IMPLEMENTATION OF SEVERAL THRESHOLD VOLTAGE THROUGH THE USE OF LANTHAN
DE102020102548A1 (en) SELF-ALIGNING CONTACT ARRANGEMENT
DE102020114991A1 (en) IN-SITU TRAINING OF METALLGATE MODULATORS

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication