DE102019215800A1 - Method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object - Google Patents

Method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object Download PDF

Info

Publication number
DE102019215800A1
DE102019215800A1 DE102019215800.5A DE102019215800A DE102019215800A1 DE 102019215800 A1 DE102019215800 A1 DE 102019215800A1 DE 102019215800 A DE102019215800 A DE 102019215800A DE 102019215800 A1 DE102019215800 A1 DE 102019215800A1
Authority
DE
Germany
Prior art keywords
image
field distribution
phase
phase difference
measuring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102019215800.5A
Other languages
German (de)
Inventor
Markus Koch
Dirk Hellweg
Christoph Husemann
Renzo Capelli
Ralf Gehrke
Grizelda Kersteen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Carl Zeiss SMT GmbH
Original Assignee
Carl Zeiss SMT GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Carl Zeiss SMT GmbH filed Critical Carl Zeiss SMT GmbH
Priority to DE102019215800.5A priority Critical patent/DE102019215800A1/en
Priority to JP2022522856A priority patent/JP2022553197A/en
Priority to PCT/EP2020/078222 priority patent/WO2021073994A1/en
Priority to KR1020227016208A priority patent/KR20220083774A/en
Priority to TW109135563A priority patent/TW202129409A/en
Publication of DE102019215800A1 publication Critical patent/DE102019215800A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)

Abstract

Zur Bestimmung einer optischen Phasendifferenz (φabs, - φML|) von Messlicht (1i, 1j) einer Messlichtwellenlänge über eine Fläche eines strukturierten Objekts (8) wird folgender Maßen vorgegangen: Zunächst wird eine Serie von 2D-Bildern des Objekts (8) jeweils in verschiedenen Fokalebenen gemessen, zur Aufnahme eines 3D-Luftbildes des Objekts (8). Anschließend wird aus dem 3D-Luftbild eine bildseitige Feldverteilung einschließlich Amplitude und Phase eines elektrischen Feldes des 3D-Luftbildes rekonstruiert. Sodann wird die Phasendifferenz (|φabs- φML|) aus der rekonstruierten Feldverteilung mit Hilfe einer Phasenkalibrierung bestimmt. Die Phasendifferenz (|φabs- φML|) ist dabei die Differenz zwischen einer Absorberstrukturphase φabsdes Messlichts 1i, welches von Absorberstrukturen (9) des Objekts (8) reflektiert wird, und einer Reflektorstrukturphase φMLdes Messlichts (1j), welches von Reflektorstrukturen (10) des Objekts (8) reflektiert wird. Die Phasendifferenz (|φabs- φML|) wird dabei als insgesamt über eine zu vermessende Objektstruktur geltende Kenngröße bestimmt. Zur Durchführung dieses Bestimmungsverfahrens wird ein Metrologiesystem mit einem optischen Messsystem herangezogen. Es resultiert ein Phasendifferenz-Bestimmungsverfahren, welches zu gut nutzbaren Werten bei der Optimierung eines Bildkontrastes bei einer Nutzung des strukturierten Objekts als Reflexions-Lithographiemaske führt.To determine an optical phase difference (φabs, - φML |) of measuring light (1i, 1j) of a measuring light wavelength over an area of a structured object (8), the following measures are used: First, a series of 2D images of the object (8) is recorded in measured at different focal planes to take a 3D aerial image of the object (8). Subsequently, an image-side field distribution including amplitude and phase of an electric field of the 3D aerial image is reconstructed from the 3D aerial image. The phase difference (| φabs- φML |) is then determined from the reconstructed field distribution with the aid of a phase calibration. The phase difference (| φabs- φML |) is the difference between an absorber structure phase φabsdes measuring light 1i, which is reflected by absorber structures (9) of the object (8), and a reflector structure phase φML of the measuring light (1j), which is reflected by reflector structures (10) of the Object (8) is reflected. The phase difference (| φabs- φML |) is determined as a parameter that applies overall over an object structure to be measured. A metrology system with an optical measuring system is used to carry out this determination method. The result is a phase difference determination method which leads to useful values when optimizing an image contrast when using the structured object as a reflection lithography mask.

Description

Die Erfindung betrifft ein Verfahren zur Bestimmung einer optischen Phasendifferenz von Messlicht einer Messlichtwellenlänge über eine Fläche eines strukturierten Objektes.The invention relates to a method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object.

Phasen-Messsysteme und Messverfahren, die hiermit durchgeführt werden können, sind bekannt aus den Fachartikeln „Phase-shift/Transmittance measurements in micro pattern using MPM193EX“ von H. Nozawa et al., Photomask and Next-Generation Lithography Mask Technology XVI, proceedings of SPIE Vol. 7379, 737925 und „Phame™: a novel phase metrology tool of Carl Zeiss for in-die phase measurements under scanner relevant optical settings“, von S. Perlitz et al., proceedings of SPIE, March 2007, Art. No. 65184 R .Phase measurement systems and measurement methods that can be carried out with them are known from the specialist articles "Phase-shift / Transmittance measurements in micro pattern using MPM193EX" by H. Nozawa et al., Photomask and Next-Generation Lithography Mask Technology XVI, proceedings of SPIE Vol. 7379, 737925 and "Phame ™: a novel phase metrology tool of Carl Zeiss for in-die phase measurements under scanner relevant optical settings" , by S. Perlitz et al., proceedings of SPIE, March 2007, Art. 65184 R .

Es ist eine Aufgabe der vorliegenden Erfindung, ein Phasendifferenz-Bestimmungsverfahren zu schaffen, welches zu gut nutzbaren Werten bei der Optimierung eines Bildkontrastes bei einer Nutzung des strukturierten Objektes als Reflexions-Lithographiemaske führt.It is an object of the present invention to create a phase difference determination method which leads to useful values when optimizing an image contrast when using the structured object as a reflection lithography mask.

Diese Aufgabe ist erfindungsgemäß gelöst durch ein Bestimmungsverfahren mit den im Anspruch 1 angegebenen Merkmalen.According to the invention, this object is achieved by a determination method having the features specified in claim 1.

Erfindungsgemäß wurde erkannt, dass eine Phasendifferenz-Bestimmung aus einer rekonstruierten Feldverteilung, bei der sowohl Amplitude als auch Phase eines elektrischen Feldes eingehen, im Vergleich zu Phasenbestimmungsverfahren nach dem Stand der Technik, die regelmäßig nur die Phasenwerte, nicht aber zugehörige Amplitudenwerte betrachten, zu aussagekräftigen Ergebnissen führt, da insbesondere Phasenwerte bei kleinen Amplituden geringer gewichtet werden können. Die resultierende Phasendifferenz ist ein Parameter, der insgesamt zur Bildkontrast-Qualifizierung des vermessenen strukturierten Objektes herangezogen werden kann. Damit ist es möglich, ein Design von Objektstrukturen ausgehend von den jeweils gemessenen Phasendifferenzen zu optimieren, so dass ein möglichst starker Bildkontrast resultiert. Das erfindungsgemäße Bestimmungsverfahren ist nicht auf eine Mitteilung eines Phasenwertes über eine gegebenenfalls willkürlich bestimmte Fläche der Objektstruktur angewiesen. Auch dies erhöht die Verlässlichkeit und Reproduzierbarkeit der bestimmten optischen Phasendifferenz.According to the invention, it was recognized that a phase difference determination from a reconstructed field distribution in which both amplitude and phase of an electric field are included, in comparison to phase determination methods according to the prior art, which regularly only consider the phase values but not the associated amplitude values Leads to results, since phase values in particular can be weighted less with small amplitudes. The resulting phase difference is a parameter that can be used overall to qualify the image contrast of the measured structured object. This makes it possible to optimize the design of object structures on the basis of the phase differences measured in each case, so that the highest possible image contrast results. The determination method according to the invention is not dependent on a communication of a phase value over a possibly arbitrarily determined area of the object structure. This also increases the reliability and reproducibility of the determined optical phase difference.

Objektstrukturen, für die die Phasendifferenz bestimmt werden kann, sind Linienstrukturen, Kontaktloch- oder Kontakt-Pin-Strukturen sowie allgemeine sich in zwei Dimensionen erstreckende, insbesondere periodische Strukturformen.Object structures for which the phase difference can be determined are line structures, contact hole or contact pin structures as well as general, in particular periodic, structural shapes extending in two dimensions.

Eine Phasenkalibrierung nach Anspruch 2 lässt sich in einen Rechenalgorithmus umsetzen, so dass die Phasenkalibrierung automatisiert vorgenommen werden kann. Bei dem Anpassungsverfahren kann eine Linearisierung von zunächst nicht linearen Ausgangsfunktionen erfolgen.A phase calibration according to claim 2 can be implemented in a computational algorithm so that the phase calibration can be carried out in an automated manner. In the adaptation method, output functions that are initially non-linear can be linearized.

Eine Modellierung nach Anspruch 3 erlaubt eine Nutzung unterschiedlicher Modellierungsparameter für den Realteil einerseits und den Imaginärteil andererseits der bildseitigen Feldverteilung. Dies kann die Stabilität des Berechnungsverfahrens erhöhen.Modeling according to claim 3 allows different modeling parameters to be used for the real part on the one hand and the imaginary part on the other hand of the image-side field distribution. This can increase the stability of the calculation method.

Mit Hilfe eines iterativen Anpassungsverfahrens nach Anspruch 4 ergibt sich die Möglichkeit, nicht lineare funktionale Abhängigkeiten durch lineare Anpassungen in jedem Iterationsschritt zu verfolgen.With the aid of an iterative adaptation method according to claim 4, it is possible to track non-linear functional dependencies by means of linear adaptations in each iteration step.

Eine unabhängige Anpassung von Real- und Imaginärteil der bildseitigen Feldverteilung nach Anspruch 5 kann eine Genauigkeit des Anpassungsverfahrens erhöhen.An independent adaptation of the real and imaginary part of the image-side field distribution according to claim 5 can increase the accuracy of the adaptation method.

Eine Fouriertransformation nach Anspruch 6 kann das Anpassungsverfahren vereinfachen.A Fourier transform according to claim 6 can simplify the adaptation method.

Eine Messung nach Anspruch 7 erlaubt eine genaue Bestimmung der Phasendifferenz. Auch eine höhere Anzahl als zwei Beugungsordnungen kann von der Projektionsoptik vom Objekt hin zur Bildseite geführt werden, beispielsweise drei, vier oder noch mehr Beugungsordnungen.A measurement according to claim 7 allows the phase difference to be determined precisely. A higher number than two diffraction orders can also be guided by the projection optics from the object to the image side, for example three, four or even more diffraction orders.

Die Vorteile eines Metrologiesystems nach Anspruch 8 oder 9 entsprechen denjenigen, die vorstehend unter Bezugnahme auf das erfindungsgemäße Bestimmungsverfahren bereits erläutert wurden.The advantages of a metrology system according to claim 8 or 9 correspond to those which have already been explained above with reference to the determination method according to the invention.

Ein Metrologiesystem nach Anspruch 10 erlaubt eine Messung mit sehr hoher Auflösung. Eine Messlichtwellenlänge, die von der EUV-Lichtquelle bereitgestellt wird, kann in einem Wellenlängenbereich zwischen 5 nm und 30 nm liegen. Die Messlichtwellenlänge ist somit angepasst an typische Beleuchtungswellenlängen von Projektionsbelichtungsanlagen, bei denen die zu vermessenden, strukturierten Objekte als Lithographiemasken bei der Halbleiter-Chipproduktion zum Einsatz kommen können.A metrology system according to claim 10 allows a measurement with a very high resolution. A measurement light wavelength that is provided by the EUV light source can be in a wavelength range between 5 nm and 30 nm. The measurement light wavelength is thus adapted to typical illumination wavelengths of projection exposure systems in which the structured objects to be measured can be used as lithography masks in semiconductor chip production.

Ein Ausführungsbeispiel der Erfindung wird nachfolgend anhand der Zeichnung näher erläutert. In dieser zeigen:

  • 1 schematisch ein Metrologiesystem zum Ermitteln eines Luftbildes eines zu vermessenden Objektes in Form einer Lithographiemaske, aufweisend ein Beleuchtungssystem, eine abbildende Optik und eine ortsauflösende Detektionseinrichtung, wobei zusätzlich Aufsichten auf das zu vermessende Objekt einerseits und auf ein beispielhaft erzeugtes 2D-Bild des Objekts als Teil-Datensatz eines 3D-Luftbildes dargestellt sind;
  • 2 im Vergleich zur 1 stark vergrößert einen Querschnitt eines Abschnittes des zu vermessenden Objekts einschließlich eines Absorber-Flächenabschnitts und eines Reflektor-Flächenabschnitts des Objekts, wobei beispielhaft zwei Beleuchtungs- beziehungsweise Messlichtstrahlen dargestellt sind, die einerseits von Schichten des Absorber-Flächenabschnitts und andererseits von Schichten des Reflektor-Flächenabschnitts reflektiert werden;
  • 3 in einem Diagramm eine Abhängigkeit einer Phasendifferenz Δφ zwischen einer Absorberstrukturphase des Beleuchtungs- beziehungsweise Messlichts, welches von den Absorber-Flächenabschnitten des Objekts reflektiert wird, und einer Reflektorstrukturphase des Messlichts, welches von den Reflektorflächenabschnitten des Objekts reflektiert wird, von einer Dicke beziehungsweise Höhenerstreckung habs einer Absorberstruktur der Absorber-Flächenabschnitte, wobei diese Abhängigkeit dargestellt ist für zwei verschiedene Absorber-Materialzusammensetzungen AM1 und AM2;
  • 4 ein Ablaufschema zur Bestimmung der optischen Phasendifferenz Δφ und zur Optimierung von Objektstrukturen des zu vermessenden, strukturierten Objektes derart, dass ein Bildkontrast beim Einsatz des strukturierten Objekts in der Projektionslithographie optimiert ist;
  • 5 Phasenwerte der Absorberflächenphase und der Reflektorflächenphase als Ergebnis einer Rekonstruktion einer bildseitigen Feldverteilung im Rahmen des Verfahrens nach 4 am Beispiel eines Objektes nach 1 mit einer Linienstruktur;
  • 6 eine anschauliche Darstellung einer Berechnung einer bildseitigen Feldverteilung mit Hilfe eines Modells, welches von einer bestimmten Objektverteilung ausgeht, die abhängt von einer Objektperiode, von einer kritischen Dimension des Objekts, von einer komplexen Reflektivität der Absorber-Flächenabschnitte und von einer komplexen Reflektivität der Reflektor-Flächenabschnitte.
An exemplary embodiment of the invention is explained in more detail below with reference to the drawing. In this show:
  • 1 schematically a metrology system for determining an aerial image of an object to be measured in the form of a lithography mask, having an illumination system, an imaging optics and a spatially resolving detection device, with additional views of the object to be measured on the one hand and of an exemplary 2D image of the object as part Data set of a 3D aerial image are shown;
  • 2 in comparison to 1 greatly enlarged a cross-section of a section of the object to be measured, including an absorber surface section and a reflector surface section of the object, two illuminating or measuring light beams being shown as an example, which reflect on the one hand from layers of the absorber surface section and on the other hand from layers of the reflector surface section become;
  • 3 In a diagram, a dependence of a phase difference Δφ between an absorber structure phase of the illumination or measurement light, which is reflected by the absorber surface sections of the object, and a reflector structure phase of the measurement light, which is reflected by the reflector surface sections of the object, on a thickness or height extension h abs an absorber structure of the absorber surface sections, this dependency being shown for two different absorber material compositions AM1 and AM2;
  • 4th a flow chart for determining the optical phase difference Δφ and for optimizing object structures of the structured object to be measured in such a way that an image contrast is optimized when the structured object is used in projection lithography;
  • 5 Phase values of the absorber surface phase and the reflector surface phase as a result of a reconstruction of an image-side field distribution within the framework of the method 4th using the example of an object 1 with a line structure;
  • 6th a clear representation of a calculation of a field distribution on the image side with the help of a model which is based on a certain object distribution that depends on an object period, a critical dimension of the object, a complex reflectivity of the absorber surface sections and a complex reflectivity of the reflector surface sections .

1 zeigt in einem einem Meridionalschnitt entsprechenden Schnitt einen Strahlengang von EUV-Beleuchtungslicht beziehungsweise -abbildungslicht 1 in einem Metrologiesystem 2. Das Beleuchtungslicht 1 wird erzeugt von einer EUV-Lichtquelle 3. 1 shows, in a section corresponding to a meridional section, a beam path of EUV illuminating light or imaging light 1 in a metrology system 2 . The illuminating light 1 is generated by an EUV light source 3 .

Zur Erleichterung der Darstellung von Lagebeziehungen wird nachfolgend ein kartesisches xyz-Koordinatensystem verwendet. Die x-Achse verläuft in der 1 senkrecht zur Zeichenebene aus dieser heraus. Die y-Achse verläuft in der 1 nach rechts. Die z-Achse verläuft in der 1 nach oben.A Cartesian xyz coordinate system is used below to facilitate the representation of positional relationships. The x-axis runs in the 1 perpendicular to the plane of the drawing out of this. The y-axis runs in the 1 To the right. The z-axis runs in the 1 up.

Bei der Lichtquelle 3 kann es sich um eine Laser-Plasma-Quelle (LPP; laser produced plasma) oder um eine Entladungsquelle (DPP; discharge produced plasma) handeln. Grundsätzlich kann auch eine Synchrotron-basierende Lichtquelle zum Einsatz kommen, zum Beispiel ein Freie-Elektronen-Laser (FEL). Eine Nutzwellenlänge des Beleuchtungslichts 1 kann im Bereich zwischen 5 nm und 30 nm liegen. Grundsätzlich kann bei einer Variante der Projektionsbelichtungsanlage 2 auch eine Lichtquelle für andere Nutzlichtwellenlänge zum Einsatz kommen, beispielsweise für eine Nutzwellenlänge von 193 nm.At the light source 3 it can be a laser plasma source (LPP; laser produced plasma) or a discharge source (DPP; discharge produced plasma). In principle, a synchrotron-based light source can also be used, for example a free electron laser (FEL). A useful wavelength of the illuminating light 1 can be in the range between 5 nm and 30 nm. In principle, in a variant of the projection exposure system 2 a light source for other useful light wavelengths can also be used, for example for a useful wavelength of 193 nm.

Das Beleuchtungslicht 1 wird in einer Beleuchtungsoptik 4 eines Beleuchtungssystems des Metrologiesystems 2 so konditioniert, dass ein bestimmtes Beleuchtungssetting der Beleuchtung bereitgestellt wird, also eine spezifische Beleuchtungswinkelverteilung. Diesem Beleuchtungssetting entspricht eine bestimmte Intensitätsverteilung des Beleuchtungslichts 1 in einer Beleuchtungspupille der Beleuchtungsoptik 4. Zur Vorgabe des Beleuchtungssettings kann die Beleuchtungsoptik 4 eine in der Zeichnung nicht dargestellte Settingblende aufweisen.The illuminating light 1 is in a lighting optics 4th a lighting system of the metrology system 2 conditioned in such a way that a certain lighting setting of the lighting is provided, i.e. a specific lighting angle distribution. A certain intensity distribution of the illuminating light corresponds to this lighting setting 1 in an illumination pupil of the illumination optics 4th . The lighting optics 4th have a setting diaphragm not shown in the drawing.

Zusammen mit einer Abbildungsoptik beziehungsweise Projektionsoptik 8 stellt die Beleuchtungsoptik 4 ein optisches Messsystem des Metrologiesystems 2 dar.Together with imaging optics or projection optics 8th provides the lighting optics 4th an optical measuring system of the metrology system 2 represent.

Das Beleuchtungslicht 1 beleuchtet mit dem jeweils eingestellten Be-leuchtungssetting ein Objektfeld 6 in einer Objektebene 7 des Metrolo-giesystems 2. In der Objektebene 7 ist als reflektierendes Objekt eine Lithographiemaske 8 angeordnet, die auch als Retikel bezeichnet ist. Die Objektebene 7 verläuft parallel zur x-y-Ebene.The illuminating light 1 illuminates an object field with the lighting setting set in each case 6th in one object level 7th of the metrology system 2. In the object level 7th is a lithography mask as a reflective object 8th arranged, which is also referred to as a reticle. The object level 7th runs parallel to the xy plane.

Das Objekt 8 hat eine Linienstruktur mit linienhaften Absorberstrukturen 9, die parallel zueinander und parallel zur x-Richtung verlaufen. Zwischen jeweils zwei benachbarten Absorberstrukturen 9 liegt eine Reflektorstruktur 10.The object 8th has a line structure with linear absorber structures 9 that run parallel to each other and parallel to the x-direction. Between two neighboring absorber structures 9 lies a reflector structure 10 .

In der 1 oberhalb der Objektebene 7 ist zur Veranschaulichung eine Aufsicht auf ein zu vermessendes Retikel 8 dargestellt, welches im Vergleich zum Retikel 8 in der Messposition um die y-Achse um 90 ° in die dargestellte Aufsicht-Position verkippt ist. Die Absorberstrukturen 9 und die jeweils zwischenliegenden Reflektorstrukturen 10 sind in dieser Aufsicht als parallel zur z-Richtung verlaufende Linienstrukturen erkennbar.In the 1 above the object level 7th is a plan view of a reticle to be measured for illustration purposes 8th shown, which in comparison to the reticle 8th is tilted in the measuring position about the y-axis by 90 ° into the top view position shown. The absorber structures 9 and the respective intermediate reflector structures 10 can be seen in this plan view as line structures running parallel to the z-direction.

Die Absorberstrukturen 9 haben im Vergleich zu den Reflektorstrukturen 10 eine Höhenerstreckung habs in der z-Richtung (vgl. 2). Die Absorberstrukturen 9 können jeweils einen Mehrschichtaufbau mit vergleichsweise wenigen Einzelschichten 91, 92 aufweisen.The absorber structures 9 have compared to the reflector structures 10 a height extension habs in the z-direction (cf. 2 ). The absorber structures 9 can each have a multilayer structure with comparatively few individual layers 9 1 , 9 2 .

Die Reflektorstrukturen 10 sind hochreflektierende Multilayer-Strukturen mit einer Vielzahl von Einzelschichten 10i ausgeführt. Die Absorberstrukturen 9 liegen ihrerseits auf dieser Multilayer-Schichtung.The reflector structures 10 are highly reflective multilayer structures with a large number of individual layers 10i executed. The absorber structures 9 in turn lie on this multilayer layer.

1 zeigt zudem eine formelmäßige Darstellung für das elektrische Feld des Beleuchtungslichts 1 im Objektfeld 6: | E r e t | e i φ r e t ( x , y )

Figure DE102019215800A1_0001
1 also shows a formulaic representation for the electric field of the illuminating light 1 in the object field 6th : | E. r e t | e i φ r e t ( x , y )
Figure DE102019215800A1_0001

Eret bezeichnet hierbei die elektrische Feldstärke des Beleuchtungslichts und φret die Phase des elektrischen Feldes des Beleuchtungslichts 1.E ret denotes the electrical field strength of the illuminating light and φret the phase of the electrical field of the illuminating light 1 .

Für zwei Strahlen 1i 1j des Beleuchtungslichts 1 ist in der 2 die Wirkung des jeweiligen Schichtaufbaus der Absorberstrukturen 9 sowie der Reflektorstrukturen 10 auf einem jeweils reflektierten Anteil des Lichtstrahls 1i, 1j veranschaulicht. Der Lichtstrahl 1i fällt dabei auf die in der 2 dargestellte Absorberstruktur 9 und der Lichtstrahl 1j fällt auf die Reflektorstruktur 10. Formelmäßig sind in der 2 die Reflektivitäten rabs für die Absorberstruktur 9 und rML für die Reflektorstruktur 10 wiedergegeben. Es gilt: r a b s = | r a b s | e i φ a b s

Figure DE102019215800A1_0002
r M L = | r M L | e i φ M L
Figure DE102019215800A1_0003
For two rays 1 i 1 j of illuminating light 1 is in the 2 the effect of the respective layer structure of the absorber structures 9 as well as the reflector structures 10 illustrated on a respectively reflected portion of the light beam 1 i , 1 j. The light beam 1 i falls on the in the 2 shown absorber structure 9 and the light beam 1 j falls on the reflector structure 10 . In terms of formula, the 2 the reflectivities r abs for the absorber structure 9 and r ML for the reflector structure 10 reproduced. The following applies: r a b s = | r a b s | e i φ a b s
Figure DE102019215800A1_0002
r M. L. = | r M. L. | e i φ M. L.
Figure DE102019215800A1_0003

φabs und φML bezeichnen dabei die Phasen der reflektierten Lichtstrahlen 1i, 1j. Eine Phasendifferenz |φabs- φML|wird nachfolgend auch als Δφ bezeichnet.φ abs and φ ML denote the phases of the reflected light rays 1 i , 1 j . A phase difference | φ abs - φ ML | is also referred to below as Δφ.

3 zeigt eine Abhängigkeit der Phasendifferenz Δφ von der Höhe habs der Absorberstruktur 9 am Beispiel zweier Absorbermaterialvarianten, die mit AM1 und AM2 bezeichnet sind. Mit steigender Höhe habs der Absorberstruktur 9 wächst die Phasendifferenz Δφ. Dieses Wachstum ist nicht monoton und ist periodisch. Zudem führen verschiedene Absorbermaterialvarianten zu unterschiedlichen Steigungen dieses Wachstums der Phasendifferenz Δφ abhängig von der Höhe habs der Absorberstruktur 9. 3 shows a dependence of the phase difference Δφ on the height h abs of the absorber structure 9 using the example of two absorber material variants, which are designated with AM1 and AM2. With increasing height h abs of the absorber structure 9 the phase difference Δφ increases. This growth is not monotonous and is periodic. In addition, different absorber material variants lead to different gradients of this growth of the phase difference Δφ depending on the height h abs of the absorber structure 9 .

Damit ein guter Bildkontrast bei der Abbildung der Strukturen des Objekts 8 durch die Projektionsoptik 5 resultiert, sollte die Phasendifferenz Δφ im Bereich von 180° liegen. Absorberstrukturhöhen habs, für die eine solche Phasendifferenz Δφ im Bereich von 180° gegeben ist, sind in der 3 jeweils mit einem Stern gekennzeichnet. Es zeigt sich, dass diese Strukturhöhen habs für die Absorberstruktur 9 stark von der jeweiligen Absorbermaterialvariante abhängt. Aus diesem Grund ist es notwendig, mit dem Metrologiesystem 2 die Phasendifferenz Δφ präzise zu bestimmen, wobei dieser Phasendifferenzwert Δφ unabhängig von einer Strukturausdehnung der Absorberstrukturen 9 in der Objektebene 7 erfolgen soll, also insbesondere unabhängig von einem Pitch, also von einer Periodizität der Absorberstrukturen 9 über das Objektfeld 6. Dieses Bestimmungsverfahren wird nachfolgend noch näher erläutert.This ensures a good image contrast when depicting the structures of the object 8th through the projection optics 5 results, the phase difference Δφ should be in the range of 180 °. Absorber structure heights h abs for which such a phase difference Δφ in the range of 180 ° is given are in the 3 each marked with an asterisk. It turns out that these structure heights h abs for the absorber structure 9 strongly depends on the respective absorber material variant. For this reason it is necessary to use the metrology system 2 precisely determine the phase difference Δφ, this phase difference value Δφ being independent of a structural expansion of the absorber structures 9 in the object level 7th should take place, in particular independently of a pitch, that is to say of a periodicity of the absorber structures 9 over the object field 6th . This determination method is explained in more detail below.

Das Beleuchtungslicht 1 wird von der Lithographiemaske 8, wie schema-tisch in der 1 dargestellt, reflektiert und tritt in eine Eintrittspupille der Abbildungsoptik 5 in einer Eintrittspupillenebene ein. Die genutzte Eintrittspupille der Abbildungsoptik 5 kann kreisförmig oder auch elliptisch berandet sein.The illuminating light 1 is from the lithography mask 8th , as shown in the 1 shown, reflected and enters an entrance pupil of the imaging optics 5 in an entrance pupil plane. The used entrance pupil of the imaging optics 5 can have a circular or elliptical border.

Innerhalb der Abbildungsoptik 5 propagiert das Beleuchtungs- beziehungsweise Abbildungslicht 1 zwischen der Eintrittspupillenebene und einer Austrittspupillenebene. In der Austrittspupillenebene liegt eine kreisförmige Austrittspupille der Abbildungsoptik 5.Inside the imaging optics 5 propagates the illumination or imaging light 1 between the entrance pupil plane and an exit pupil plane. A circular exit pupil of the imaging optics lies in the exit pupil plane 5 .

Die Abbildungsoptik 5 bildet das Objektfeld 6 in ein Bildfeld 11 in einer Bildebene 12 des Metrologiesystems 2 ab. Die Bildebene 12 wird auch als Messebene bezeichnet. Ein Abbildungsmaßstab bei der Abbildung durch die Projektionsoptik 5 ist größer als 500. Je nach Ausführung der Projektionsoptik 5 kann der vergrößernde Abbildungsmaßstab größer sein als 100, kann größer sein als 200, kann größer sein als 250, kann größer sein als 300, kann größer sein als 400 und kann auch deutlich größer sein als 500. Der Abbildungsmaßstab der Projektionsoptik 8 ist regelmäßig kleiner als 2000. The imaging optics 5 forms the object field 6th in an image field 11 in one image plane 12th of the metrology system 2 from. The image plane 12th is also referred to as the measuring plane. An image scale for the image through the projection optics 5 is greater than 500. Depending on the design of the projection optics 5 the magnifying imaging scale can be greater than 100, can be greater than 200, can be greater than 250, can be greater than 300, can be greater than 400 and can also be significantly greater than 500. The imaging scale of the projection optics 8th is regularly less than 2000.

Die Projektionsoptik 5 dient zur Abbildung eines Abschnitts des Objekts 8 in die Bildebene 12.The projection optics 5 is used to map a section of the object 8th into the image plane 12th .

Ähnlich zur Darstellung der Aufsicht des Objekts 8 in der 1 ist dort unterhalb der senkrecht auf der Zeichenebene stehenden Bildebene 12 eine Aufsicht auf ein Luftbild 13 der Abbildung des Objekts 8 dargestellt. Dieses Luftbild 13 ist ein Teil-Datensatz eines gesamten 3D-Luftbildes, dessen Messung nachfolgend noch erläutert wird.Similar to the representation of the top view of the object 8th in the 1 is there below the image plane perpendicular to the plane of the drawing 12th a top view of an aerial photograph 13th the image of the object 8th shown. This aerial view 13th is a partial data set of an entire 3D aerial image, the measurement of which will be explained below.

Das elektrische Feld des Beleuchtungslichts 1 im Bildfeld 11 kann beschrieben werden als: | E c a m | e i φ c a m ( x , y )

Figure DE102019215800A1_0004
The electric field of the illuminating light 1 in the field of view 11 can be described as: | E. c a m | e i φ c a m ( x , y )
Figure DE102019215800A1_0004

Bei der Überführung des elektrischen Feldes des Beleuchtungslichts 1 vom Objektfeld 6 in das Bildfeld 11 wirken auf die Form des elektrischen Feldes Aberrationen sowie ein Defokus der Projektionsoptik 5.When transferring the electric field of the illuminating light 1 from the object field 6th in the image field 11 The shape of the electric field is affected by aberrations and a defocus of the projection optics 5 .

In der Bildebene 12 ist eine ortsauflösende Detektionseinrichtung 14 des Metrologiesystems 2 angeordnet. Hierbei kann es sich um eine CCD-Kamera handeln. Mit der Detektionseinrichtung 14 wird eine Intensität I gemessen, für die gilt: I = ( x , y ) = | E c a m | 2

Figure DE102019215800A1_0005
In the image plane 12th is a spatially resolving detection device 14th of the metrology system 2 arranged. This can be a CCD camera. With the detection device 14th an intensity I is measured for which the following applies: I. = ( x , y ) = | E. c a m | 2
Figure DE102019215800A1_0005

Die Detektionseinrichtung 14 ist in der z-Richtung verlagerbar und ist in der 1 in einer abgesenkten Position entfernt zur Bildebene 12 dargestellt. Im Messbetrieb ist die Detektionseinrichtung 14 in oder nahe der Bildebene 12 angeordnet. Eine Detektionsebene 15 der Detektionseinrichtung 14 kann also mit der Bildebene 12 zusammenfallen oder zu dieser definiert beabstandet sein.The detection device 14th is displaceable in the z-direction and is in the 1 in a lowered position away from the image plane 12th shown. The detection device is in measurement mode 14th in or near the image plane 12th arranged. One level of detection 15th the detection device 14th so can with the image plane 12th coincide or be at a defined distance from this.

Das Metrologiesystem 2 kommt zur Durchführung eines Verfahrens zur Bestimmung der optischen Phasendifferenz Δφ als insgesamt über eine vermessende Objektstruktur geltende Kenngröße zum Einsatz. Mit Hilfe dieser Kenngröße kann dann das Objekt 8 hinsichtlich seiner Kontrasteigenschaften bei einer Abbildung des Objekts 8 durch eine Projektionsbelichtungsanlage qualifiziert werden.The metrology system 2 is used to carry out a method for determining the optical phase difference Δφ as a parameter that applies overall over a measuring object structure. With the help of this parameter, the object 8th with regard to its contrast properties in an image of the object 8th be qualified by a projection exposure system.

Hauptschritte des Bestimmungsverfahrens werden anhand zusätzlich der 4 ff. erläutert.The main steps of the determination process are additionally described using the 4th ff. explained.

In einem Messschritt 16 wird eine Serie von zweidimensionalen Bildern I(x,y) des Objekts 8 jeweils in verschiedenen Fokalebenen zur Aufnahme eines dreidimensionalen Luftbildes des Objekts 8 mit der Projektionsoptik 5 gemessen. Nach jeder 2D-Bildmessung, bei der 2D-Bilditensitätswerte I(x,y) aufgenommen werden, wird die Detektionseinrichtung 14 um ein vorgegebenes Inkrement Δz mit Hilfe einer nicht dargestellten Detektionsverlagerungseinrichtung verlagert. Es werden beispielsweise fünf, sieben, neun, elf oder dreizehn derartige 2D-Bilder I(x,y) zur vollständigen Messung des 3D-Luftbildes bei verschiedenen z-Werten aufgenommen. Bei dieser Messung werden mindestens zwei Beugungsordnungen des vom Objekt 8 gebeugten Beleuchtungslichts beziehungsweise Messlichts 1 durch die Projektionsoptik 5 hin zum Bildfeld 11, also zur Bildseite des Metrologiesystems 2 geführt.In one measuring step 16 becomes a series of two-dimensional images I (x, y) of the object 8th each in different focal planes for taking a three-dimensional aerial image of the object 8th with the projection optics 5 measured. After each 2D image measurement in which 2D image intensity values I (x, y) are recorded, the detection device 14th shifted by a predetermined increment .DELTA.z with the aid of a detection displacement device, not shown. For example, five, seven, nine, eleven or thirteen such 2D images I (x, y) are recorded for the complete measurement of the 3D aerial image at different z values. With this measurement at least two diffraction orders of the object 8th diffracted illuminating light or measuring light 1 through the projection optics 5 towards the image field 11 , i.e. to the image side of the metrology system 2 guided.

In einem nachfolgenden Rekonstruktionsschritt 17 wird eine bildseitige elektrische Feldverteilung frec(x,y) einschließlich einer Amplitude und einer Phase des elektrischen Feldes E des 3D-Luftbildes rekonstruiert.In a subsequent reconstruction step 17th an image-side electric field distribution f rec (x, y) including an amplitude and a phase of the electric field E of the 3D aerial image is reconstructed.

5 zeigt beispielhaft eine Phasenverteilung über das Bildfeld 11 als Ergebnis des Rekonstruktionsschritts 17 für das Objekt 8 mit der Linienstruktur. Die Absorberstrukturen 9 haben eine andere absolute Phase φ im Bereich von 30° als die Reflektorstrukturen 10 mit einer absoluten Phase im Bereich von 210°. 5 shows an example of a phase distribution over the image field 11 as a result of the reconstruction step 17th for the object 8th with the line structure. The absorber structures 9 have a different absolute phase φ in the range of 30 ° than the reflector structures 10 with an absolute phase in the range of 210 °.

Der Rekonstruktionsschritt 17 kann unter Zuhilfenahme eines Verfahrens abgearbeitet werden, das bekannt ist aus der WO 2017/207 297 A1 .The reconstruction step 17th can be processed with the aid of a method that is known from the WO 2017/207 297 A1 .

Nachfolgend erfolgt mit Hilfe eines Phasenkalibrationsschritts 18 die Bestimmung der Phasendifferenz aus der rekonstruierten Feldverteilung frec. Bei der Phasenkalibrierung wird eine bildseitige Feldverteilung fim durch Einführen eines Modells berechnet, welches von einer Objekt-Feldverteilung ausgeht, die abhängt von einer Objektperiode beziehungsweise einem Pitch p des Objekts 8, von einer kritischen Dimension CD des Objekts 8, von einer komplexen Reflektivität rabs der Absorberstrukturen 9 und von einer komplexen Reflektivität rML der Reflektorstrukturen 10. Die bildseitige Feldverteilung fim resultiert durch Faltung der Objekt-Feldverteilung mit einer kohärenten Punktverteilungsfunktion PSF (Point Spread Function) der Projektionsoptik 5. Die kohärente Point-Spread-Function ist die Fouriertransformierte der kohärenten optischen Transferfunktion.This is followed by a phase calibration step 18th the determination of the phase difference from the reconstructed field distribution f rec . During the phase calibration, an image-side field distribution f im is calculated by introducing a model which is based on an object field distribution that depends on an object period or a pitch p of the object 8th , from a critical dimension CD of the object 8th , of a complex reflectivity r abs of the absorber structures 9 and from a complex reflectivity r ML of the reflector structures 10 . The image-side field distribution f im results from the convolution of the object field distribution with a coherent point distribution function PSF (Point Spread Function) of the projection optics 5 . The coherent point spread function is the Fourier transform of the coherent optical transfer function.

Dies ist anschaulich gemacht in der 6. Diese zeigt links die Objekt-Feldverteilung fobj, die abhängt von der Ortskoordinate x, von der Reflektivität rML der Reflektorstrukturen 10, von der Reflektivität rabs der Absorberstrukturen 9, von einem Duty Cycle (Abtastrate) d und von einer Periode (Pitch) p. Für den Duty Cycle d gilt: d = CD/p.This is illustrated in the 6th . On the left, this shows the object field distribution f obj , which depends on the spatial coordinate x, on the reflectivity r ML of the reflector structures 10 , on the reflectivity r abs of the absorber structures 9 , of a duty cycle (sampling rate) d and of a period (pitch) p. The following applies to duty cycle d: d = CD / p.

Ausgehend von dieser Objektstruktur fobj, die in einen Realteil (Index r) und einen Imaginärteil (Index i) aufgeteilt wird, erfolgt nun eine Berücksichtigung des Einflusses der Projektionsoptik 5 bei der Erzeugung der Abbildung der Objekt-Feldverteilung durch Faltung mit der Point Spread-Funktion PSFcoh der Projektionsoptik 5. Dies ist in der 6 Mitte dargestellt, wobei für die verschiedenen Parameter r, d jeweils der Realteil und der Imaginärteil angegeben sind. Als Ergebnis der Faltung der Objekt-Feldverteilung mit der Point Spread-Funktion PSF ergeben sich ein Realteil fim und ein Imaginärteil fim der bildseitigen Feldverteilung fim, die in der 6 schematisch rechts dargestellt ist. Diese bildseitige Feldverteilung fim hängt wiederum ab von der Ortskoordinate x, von den Reflektivitäten rML, rabs der Reflektorstrukturen 10 und der Absorberstrukturen 9, vom Imaginärteil di und vom Realteil dr des Duty Cycle und vom Pitch p.Starting from this object structure f obj , which is divided into a real part (index r) and an imaginary part (index i), the influence of the projection optics is now taken into account 5 when generating the image of the object field distribution by folding with the point spread function PSF coh of the projection optics 5 . This is in the 6th Shown in the middle, the real part and the imaginary part being specified for the various parameters r, d. As a result of the convolution of the object field distribution with the point spread function PSF, a real part f im and an imaginary part f im of the image-side field distribution f im , which are shown in the 6th is shown schematically on the right. This image-side field distribution f im in turn depends on the spatial coordinate x, on the reflectivities r ML , r abs of the reflector structures 10 and the absorber structures 9 , from the imaginary part di and from the real part d r of the duty cycle and from the pitch p.

Im Anschluss wird die so modellhaft berechnete bildseitige Feldverteilung fim mit der rekonstruierten bildseitigen Feldverteilung frec verglichen. Hierzu wird eine Differenz zwischen diesen Feldverteilungen mit Hilfe eines Anpassungsverfahrens beziehungsweise Fitverfahrens durch Variation mindestens eines der Modellparameter Objektperiode (Pitch p), kritische Dimension CD beziehungsweise komplexe Reflektivitäten rML, rabs minimiert. Dies erfolgt mit Hilfe einer Meritfunktion, die über die x-y-Felderstreckung integriert betrachtet und minimiert wird. Diese Meritfunktion M kann wie folgt geschrieben werden: M = | r e c ( x , y ) i m ( x , r M L , r a b s , d r , d i , p ) | 2 d x d y = | r e c r ( x , y ) i m r ( x , r M L r , r a b s r , d r ) | 2 d x d y + | r e c i ( x , y ) i m i ( x , r M L i , r a b s i , d r , p ) | 2 d x d y

Figure DE102019215800A1_0006
The image-side field distribution f im calculated in this way as a model is then compared with the reconstructed image-side field distribution f rec. For this purpose, a difference between these field distributions is minimized with the aid of an adaptation method or fitting method by varying at least one of the model parameters object period (pitch p), critical dimension CD or complex reflectivities r ML , r abs . This is done with the help of a merit function that is viewed and minimized in an integrated manner over the xy field extension. This merit function M can be written as follows: M. = | r e c ( x , y ) - i m ( x , r M. L. , r a b s , d r , d i , p ) | 2 d x d y = | r e c r ( x , y ) - i m r ( x , r M. L. r , r a b s r , d r ) | 2 d x d y + | r e c i ( x , y ) - i m i ( x , r M. L. i , r a b s i , d r , p ) | 2 d x d y
Figure DE102019215800A1_0006

Zur Minimierung dieser Meritfunktion, die entsprechend der obigen Gleichung (6) unabhängig für den Realteil einerseits und den Imaginärteil andererseits erfolgt, werden einerseits die rekonstruierte bildseitige Feldverteilung frec und andererseits die berechnete bildseitige Feldverteilung fim fouriertransformiert. Diese Fouriertransformierten Frec, Fim hängen dann von den Ortsfrequenzen vx, vy ab.To minimize this merit function, which occurs independently for the real part on the one hand and the imaginary part on the other hand, the reconstructed image-side field distribution f rec and the calculated image-side field distribution f im are Fourier transformed. These Fourier transforms F rec , F im then depend on the spatial frequencies v x , v y .

Die Meritfunktion M kann mit Hilfe dieser Fouriertransformierten Frec, Fim, die wiederum aufgespalten werden nach Real- und Imaginärteil, wie folgt geschrieben werden: M = | F r e c r ( v x , v y ) F i m r ( v x , v y , r M L r , r a b s r , d r , p ) | 2 d v x d v y | F r e c i ( v x , v y ) F i m i ( v x , v y , r M L i , r a b s i , d i , p ) | 2 d v x d v y

Figure DE102019215800A1_0007
The merit function M can be written as follows with the help of these Fourier transforms F rec , F im , which in turn are split into real and imaginary parts: M. = | F. r e c r ( v x , v y ) - F. i m r ( v x , v y , r M. L. r , r a b s r , d r , p ) | 2 d v x d v y | F. r e c i ( v x , v y ) - F. i m i ( v x , v y , r M. L. i , r a b s i , d i , p ) | 2 d v x d v y
Figure DE102019215800A1_0007

Die Integration im Frequenzraum kann für periodische Strukturen durch eine Summierung über diejenigen Frequenzen ersetzt werden, in denen die jeweiligen Argumente von 0 abweichen, wobei es sich bei der betrachteten Linienstruktur des Objekts 8 um die Frequenzen vx = j/p für j=-jmax... jmax handelt.The integration in the frequency space can be replaced for periodic structures by a summation over those frequencies in which the respective arguments deviate from 0, whereby it is the observed line structure of the object 8th it is about the frequencies v x = j / p for j = -j max ... j max .

jmax ist dabei die maximal von der Projektionsoptik 5 durchgelassene Beugungsordnung.j max is the maximum of the projection optics 5 transmitted diffraction order.

Damit die Projektionsoptik 5 die Bedingung |jmax| ≥ 2 erfüllt, muss für den Pitch p des Objekts 8 gelten: p ≥ 2λ/NA.So that the projection optics 5 the condition | j max | ≥ 2 fulfilled, must for the pitch p of the object 8th apply: p ≥ 2λ / NA.

λ ist hierbei die Wellenlänge des Beleuchtungslichts 1 und NA ist die objektseitige numerische Apertur der Projektionsoptik 5.λ is the wavelength of the illuminating light 1 and NA is the object-side numerical aperture of the projection optics 5 .

Diese Summation für den Real- und den Imaginärteil der Meritfunktion hängt linear von den Real- und Imaginärteilen der Reflektivitäten rML, rabs ab. M r , i = | F r e c r , l S ^ ( d r , i ) ( r M L r , i r a b s r , i ) | 2

Figure DE102019215800A1_0008
This summation for the real and the imaginary part of the merit function depends linearly on the real and imaginary parts of the reflectivities r ML , r abs . M. r , i = | F. r e c r , l - S. ^ ( d r , i ) ( r M. L. r , i r a b s r , i ) | 2
Figure DE102019215800A1_0008

Die Koeffizienten dieser linearen Schreibweise hängen außerdem nicht-linear von den Real- beziehungsweise Imaginärteilen dr, di des Duty Cycles d ab.The coefficients of this linear notation also depend non-linearly on the real or imaginary parts d r , di of the duty cycle d.

Die Optimierung des Realteiles und des Imaginärteiles dr, di des Duty Cycles und der Reflektivitäten rML und rabs zur Minimierung der Mertifunktion kann dann über ein iteratives Anpassungsverfahren geschehen, wobei zunächst von Startwerten d0 r,i für Real- und Imaginärteil des Duty Cycle d ausgegangen wird und dann entsprechende Startwerte rr,i ML,0 sowie rr,i abs,0 für die Reflektivitäten berechnet werden.The optimization of the real part and the imaginary part d r, d i of the duty cycle and the reflectivities r ML and r abs in order to minimize the merit function can then take place via an iterative adaptation process, with starting values d 0 r, i for the real and imaginary part of the first Duty cycle d is assumed and then corresponding starting values r r, i ML, 0 and r r, i abs , 0 are calculated for the reflectivities.

Hierzu kann die Meritfunktion im Ausgangs-Iterationsschritt geschrieben werden als: M r , i = | F r e c r , l S ^ ( d r , i 0 ) ( r M L ,0 r , i r a b s ,0 r , i ) | 2

Figure DE102019215800A1_0009
For this purpose, the merit function can be written in the output iteration step as: M. r , i = | F. r e c r , l - S. ^ ( d r , i 0 ) ( r M. L. , 0 r , i r a b s , 0 r , i ) | 2
Figure DE102019215800A1_0009

Hierbei bezeichnet S die Koeffizienten für die Reflektivitäten r, die wiederum abhängen vom Real- und Imaginärteil des Duty Cycles d. S ist dabei eine Matrix mit jmax Zeilen und, wegen der Wirkung der Matrix auf die beiden Reflektivitäten rMl, rabs, zwei Spalten. Ausgehend von einem Startwert der Duty Cycles d0 r,i werden die Startwerte der Reflektivitäten rr,i ML,0 sowie rr,i abs,0 durch lineare Optimierung bestimmt.Here, S denotes the coefficients for the reflectivities r, which in turn depend on the real and imaginary part of the duty cycle d. S is a matrix with j max rows and, because of the effect of the matrix on the two reflectivities r Ml , rabs, two columns. Starting from a start value of the duty cycles d 0 r, i , the start values of the reflectivities r r, i ML, 0 and r r, i abs, 0 are determined by linear optimization.

Die einzelnen Matrixelemente von S können nun um den Startwert der Duty Cycles in einer Taylorreihe entwickelt werden. Der zweite Term dieser Taylorreihe ist linear in einem Wert Δd0 r,i, also einem Korrekturwert von Real- und Imaginärteil für den Startwert des Duty Cycles d. Dieser Korrekturwert ist derjenige, um den der Startwert für den nächsten Schritt des Iterationsverfahrens geändert werden muss.The individual matrix elements of S can now be expanded around the starting value of the duty cycles in a Taylor series. The second term of this Taylor series is linear in a value Δd 0 r, i , that is to say a correction value for the real and imaginary parts for the starting value of the duty cycle d. This correction value is the one by which the starting value for the next step of the iteration process must be changed.

Durch Einsetzen der Taylorentwicklung bis zur Ordnung Δd in Gleichung (8) ergibt sich eine lineare Abhängigkeit von den Reflektivitäten und von dem Korrekturwert für die Duty Cycles. M r , i | F r e c r , i S ^ 2 ( r M L ,0 r , i , r a b s ,0 r , i , d r , i 0 ) ( r M L ,1 r , i r a b s ,1 r , i Δ d r , i 0 ) |

Figure DE102019215800A1_0010
Inserting the Taylor expansion up to the order Δd in equation (8) results in a linear dependence on the reflectivities and on the correction value for the duty cycles. M. r , i | F. r e c r , i - S. ^ 2 ( r M. L. , 0 r , i , r a b s , 0 r , i , d r , i 0 ) ( r M. L. ,1 r , i r a b s ,1 r , i Δ d r , i 0 ) |
Figure DE102019215800A1_0010

Die modifizierte Matrix Ŝ2 hängt nur von den bekannten Startwerten für die Duty Cycles und den bereits berechneten Startwerten der Reflektivitäten ab.The modified matrix Ŝ 2 depends only on the known starting values for the duty cycles and the already calculated starting values for the reflectivities.

Δd0 r,i kann nun wieder durch lineare Optimierung bestimmt werden, so dass, ausgehend vom Startwert dann der nächste Wert d1 r,i für die Iteration nach folgender Formel bestimmt werden kann: d r , i 1 = d r , i 0 + Δ d r , i 0

Figure DE102019215800A1_0011
Δd 0 r, i can now be determined again by linear optimization, so that, based on the starting value, the next value d 1 r, i for the iteration can be determined using the following formula: d r , i 1 = d r , i 0 + Δ d r , i 0
Figure DE102019215800A1_0011

Mit den neuen Werten für den Duty cCycle wird nun die Matrix S in der obigen Formel (9) aktualisiert und eine erneute lineare Optimierung der Reflektivitäten durchgeführt.The matrix S in the above formula (9) is now updated with the new values for the duty cycle and a new linear optimization of the reflectivities is carried out.

Dieses Iterationsverfahren wird solange wiederholt, bis bei einem Iterationsschritt m die neuerliche Korrektur Δdm r,i unterhalb einer vorgegebenen Schwelle liegt.This iteration process is repeated until, in an iteration step m , the new correction Δd m r , i is below a predetermined threshold.

Nach erfolgter Konvergenz dieses iterativen Anpassungsverfahrens sind diejenigen Reflektivitätswerte für rML, rabs bekannt, für die die Meritfunktion M minimiert ist.After this iterative adaptation method has converged, those reflectivity values for r ML , r abs for which the merit function M is minimized are known.

Der gewünschte Wert für die Phasendifferenz Δφ ergibt sich dann gemäß folgender Formel aus den gewonnenen Reflektivitätswerten rML, rabs: Δ φ = φ M L φ a b s = arg ( r M L ) arg ( r a b s )

Figure DE102019215800A1_0012
The desired value for the phase difference Δφ is then obtained according to the following formula from the reflectivity values r ML , r abs : Δ φ = φ M. L. - φ a b s = bad ( r M. L. ) - bad ( r a b s )
Figure DE102019215800A1_0012

Für eine bestimmte Maskenstruktur lässt sich somit anhand einer Modellierung der Objektstruktur ein Phasendifferenz-Wert berechnen, so dass überprüft werden kann, ob ein bestimmtes Strukturdesign eine hinsichtlich des Bildkontrastes optimale Phasendifferenz ergibt.A phase difference value can thus be calculated for a specific mask structure on the basis of a modeling of the object structure, so that it can be checked whether a specific structure design results in an optimal phase difference with regard to the image contrast.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • WO 2017/207297 A1 [0046]WO 2017/207297 A1 [0046]

Zitierte Nicht-PatentliteraturNon-patent literature cited

  • H. Nozawa et al., Photomask and Next-Generation Lithography Mask Technology XVI, proceedings of SPIE Vol. 7379, 737925 und „Phame™: a novel phase metrology tool of Carl Zeiss for in-die phase measurements under scanner relevant optical settings“, von S. Perlitz et al., proceedings of SPIE, March 2007, Art. No. 65184 R [0002]H. Nozawa et al., Photomask and Next-Generation Lithography Mask Technology XVI, proceedings of SPIE Vol. 7379, 737925 and "Phame ™: a novel phase metrology tool of Carl Zeiss for in-die phase measurements under scanner relevant optical settings" , by S. Perlitz et al., proceedings of SPIE, March 2007, Art. 65184 R [0002]

Claims (10)

Verfahren zur Bestimmung einer optischen Phasendifferenz (Δφ) von Messlicht (1) einer Messlichtwellenlänge (λ) über eine Fläche eines strukturierten Objekts (8), wobei die Phasendifferenz (Δφ) zwischen - einer Absorberstrukturphase (φabs) des Messlichts (1), welches von Absorberstrukturen (9) des Objekts (8) reflektiert wird, und - einer Reflektorstrukturphase (φML) des Messlichts (1), welches von Reflektorstrukturen (10) des Objekts (8) reflektiert wird, als insgesamt über eine zu vermessende Objektstruktur geltende Kenngröße bestimmt wird, mit folgenden Schritten: - Messen (16) einer Serie von 2D-Bildern des Objekts (8), jeweils in verschiedenen Fokalebenen, zur Aufnahme eines 3D-Luftbildes des Objekts (8) mit einer Projektionsoptik (5), - Rekonstruieren (17) einer bildseitigen Feldverteilung (frec) aus dem 3D-Luftbild einschließlich Amplitude und Phase eines elektrischen Feldes des 3D-Luftbildes, - Bestimmen der Phasendifferenz (Δφ) aus der rekonstruierten Feldverteilung (frec) mit Hilfe einer Phasenkalibrierung (18).Method for determining an optical phase difference (Δφ) of measurement light (1) of a measurement light wavelength (λ) over a surface of a structured object (8), the phase difference (Δφ) between - an absorber structure phase (φ abs ) of the measurement light (1), which is reflected by absorber structures (9) of the object (8), and - a reflector structure phase (φ ML ) of the measuring light (1), which is reflected by reflector structures (10) of the object (8), as an overall parameter applicable over an object structure to be measured is determined, with the following steps: - measuring (16) a series of 2D images of the object (8), each in different focal planes, for recording a 3D aerial image of the object (8) with a projection optics (5), - reconstructing ( 17) an image-side field distribution (f rec ) from the 3D aerial image including amplitude and phase of an electric field of the 3D aerial image, - determination of the phase difference (Δφ) from the reconstructed field distribution (f re c ) with the help of a phase calibration (18). Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass bei der Phasenkalibrierung (18) folgende Schritte durchgeführt werden: - Berechnen einer bildseitigen Feldverteilung (fim) durch Einführen eines Modells, welches von einer Objekt-Feldverteilung (fobj) ausgeht, die abhängt: -- von einer Objektperiode (p) des Objekts (8) und/oder -- von einer kritischen Dimension (CD) des Objekts (8) und/oder -- von einer komplexen Reflektivität (rr,i abs) der Absorberstrukturen (9) und/oder -- von einer komplexen Reflektivität (rr,i ML) der Reflektorstrukturen (10), -- wobei die bildseitige Feldverteilung (fim) durch Faltung der Objekt-Feldverteilung (fobj) mit einer kohärenten Punkteverteilungsfunktion (Point Spread Function, PSF) der Projektionsoptik (5) resultiert, - Vergleichen der berechneten bildseitigen Feldverteilung (fim) mit der rekonstruierten bildseitigen Feldverteilung (frec), - Minimieren der Differenz zwischen der berechneten bildseitigen Feldverteilung (fim) mit der rekonstruierten bildseitigen Feldverteilung (frec) mittels eines Anpassungsverfahrens durch Variation der Modellparameter Objektperiode (p) und/oder kritische Dimension (CD) und/oder komplexe Reflektivitäten (rr,i abs,ML), - Berechnen der Phasendifferenz (Δφ) aus den sich bei der Minimierung ergebenden Modellparametern für die komplexen Reflektivitäten (rr,i abs und rr,i ML).Procedure according to Claim 1 , characterized in that the following steps are carried out during the phase calibration (18): - Calculation of an image-side field distribution (f im ) by introducing a model which is based on an object field distribution (f obj ) which depends: on an object period (p) of the object (8) and / or - from a critical dimension (CD) of the object (8) and / or - from a complex reflectivity (r r, i abs ) of the absorber structures (9) and / or - - of a complex reflectivity (r r, i ML ) of the reflector structures (10), - the image-side field distribution (f im ) by folding the object field distribution (f obj ) with a coherent point spread function (PSF) of the Projection optics (5) result, - comparison of the calculated image-side field distribution (fi m ) with the reconstructed image-side field distribution (f rec ), - minimization of the difference between the calculated image-side field distribution (f im ) with the reconstructed image itigen field distribution (f rec ) using an adaptation method by varying the model parameters object period (p) and / or critical dimension (CD) and / or complex reflectivities (r r, i abs, ML ), - Calculating the phase difference (Δφ) from the the resulting model parameters for the complex reflectivities (r r, i abs and r r, i ML ) during the minimization. Verfahren nach Anspruch 2, dadurch gekennzeichnet, dass bei der Berechnung der bildseitigen Feldverteilung (fim) ein Realteil (fim) und ein Imaginärteil (fim) mit voneinander unabhängigen Parametern modelliert werden.Procedure according to Claim 2 , characterized in that when calculating the field distribution (f im ) on the image side, a real part (f im ) and an imaginary part (fi m ) are modeled with parameters that are independent of one another. Verfahren nach Anspruch 2 oder 3, gekennzeichnet durch ein iteratives Anpassungsverfahren.Procedure according to Claim 2 or 3 , characterized by an iterative adaptation process. Verfahren nach einem der Ansprüche 2 bis 4, dadurch gekennzeichnet, dass ein Realteil (fim) und ein Imaginärteil (fim) der bildseitigen Feldverteilung (fim) unabhängig voneinander angepasst werden.Method according to one of the Claims 2 to 4th , characterized in that a real part (f im ) and an imaginary part (fi m ) of the field distribution (f im ) on the image side are adapted independently of one another. Verfahren nach einem der Ansprüche 2 bis 5, gekennzeichnet durch mindestens eine Fouriertransformation als Bestandteil des Anpassungsverfahrens.Method according to one of the Claims 2 to 5 , characterized by at least one Fourier transform as part of the adaptation process. Verfahren nach einem der Ansprüche 1 bis 6, dadurch gekennzeichnet, dass beim Messen (16) mindestens zwei Beugungsordnungen (j) von vom Objekt (8) gebeugtem Messlicht (1) durch die Projektionsoptik (5) hin zu einer Bildseite geführt werden.Method according to one of the Claims 1 to 6th , characterized in that when measuring (16) at least two diffraction orders (j) of measuring light (1) diffracted by the object (8) are guided through the projection optics (5) to one side of the image. Metrologiesystem (2) mit einem optischen Messsystem zur Durchführung des Verfahrens nach einem der Ansprüche 1 bis 7, - mit einer Beleuchtungsoptik (4) zur Beleuchtung des zu untersuchenden Objekts (8) mit einem vorgegebenen Beleuchtungssetting, - mit einer abbildenden Optik (5) zur Abbildung eines Abschnitts des Objekts (8) in eine Messebene (12), und - mit einer ortsauflösenden Detektionseinrichtung (14), angeordnet in der Messebene (12).Metrology system (2) with an optical measuring system for performing the method according to one of the Claims 1 to 7th - with lighting optics (4) for illuminating the object to be examined (8) with a predetermined lighting setting, - with imaging optics (5) for imaging a section of the object (8) in a measuring plane (12), and - with a spatially resolving detection device (14), arranged in the measuring plane (12). Metrologiesystem nach Anspruch 8, gekennzeichnet durch eine Ausführung der abbildenden Optik (5) derart, dass beim Messen (16) mindestens zwei Beugungsordnungen (j) von vom Objekt (8) gebeugtem Messlicht (1) durch die abbildende Optik (5) hin zu einer Bildseite der abbildenden Optik (5) geführt werden.Metrology system according to Claim 8 , characterized by an embodiment of the imaging optics (5) such that when measuring (16) at least two diffraction orders (j) of measuring light (1) diffracted by the object (8) through the imaging optics (5) towards an image side of the imaging optics (5). Metrologiesystem nach Anspruch 8 oder 9, gekennzeichnet durch eine EUV-Lichtquelle (3).Metrology system according to Claim 8 or 9 , characterized by an EUV light source (3).
DE102019215800.5A 2019-10-15 2019-10-15 Method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object Withdrawn DE102019215800A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
DE102019215800.5A DE102019215800A1 (en) 2019-10-15 2019-10-15 Method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object
JP2022522856A JP2022553197A (en) 2019-10-15 2020-10-08 Method for determining optical retardation of measurement light of measurement light wavelength across surface of structured object
PCT/EP2020/078222 WO2021073994A1 (en) 2019-10-15 2020-10-08 Method for determining an optical phase difference of measurement light of a measurement light wavelength over a surface of a structured object
KR1020227016208A KR20220083774A (en) 2019-10-15 2020-10-08 Method for determining the optical phase difference of the measurement light wavelength with respect to the surface of the structured object
TW109135563A TW202129409A (en) 2019-10-15 2020-10-14 Method for determining an optical phase difference of measurement light of a measurement light wavelength over a surface of a structured object

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102019215800.5A DE102019215800A1 (en) 2019-10-15 2019-10-15 Method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object

Publications (1)

Publication Number Publication Date
DE102019215800A1 true DE102019215800A1 (en) 2021-04-15

Family

ID=72811842

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019215800.5A Withdrawn DE102019215800A1 (en) 2019-10-15 2019-10-15 Method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object

Country Status (5)

Country Link
JP (1) JP2022553197A (en)
KR (1) KR20220083774A (en)
DE (1) DE102019215800A1 (en)
TW (1) TW202129409A (en)
WO (1) WO2021073994A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021205328B3 (en) 2021-05-26 2022-09-29 Carl Zeiss Smt Gmbh Method for determining an imaging quality of an optical system when illuminated with illuminating light within a pupil to be measured and metrology system for this
DE102021213959A1 (en) 2021-12-08 2023-06-15 Carl Zeiss Smt Gmbh EUV light source and analysis and/or production system with such an EUV light source
DE102022200372A1 (en) 2022-01-14 2023-07-20 Carl Zeiss Smt Gmbh Method for simulating lighting and imaging properties of an optical production system when illuminating and imaging an object using an optical measuring system
DE102022209386B3 (en) 2022-09-09 2023-10-26 Carl Zeiss Smt Gmbh Method for qualifying a mask for use in lithography

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170069080A1 (en) * 2015-09-04 2017-03-09 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US20170132782A1 (en) * 2014-07-22 2017-05-11 Carl Zeiss Smt Gmbh Method for three-dimensionally measuring a 3d aerial image of a lithography mask
US20170352144A1 (en) * 2016-06-06 2017-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repairing a mask

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
DE102016209616A1 (en) 2016-06-01 2017-12-07 Carl Zeiss Smt Gmbh A method and apparatus for predicting the imaging result obtained with a mask when performing a lithographic process
CN110297401B (en) * 2019-06-28 2020-05-05 中国科学院上海光学精密机械研究所 Phase type defect detection method for multilayer film of extreme ultraviolet lithography mask

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170132782A1 (en) * 2014-07-22 2017-05-11 Carl Zeiss Smt Gmbh Method for three-dimensionally measuring a 3d aerial image of a lithography mask
US20170069080A1 (en) * 2015-09-04 2017-03-09 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US20170352144A1 (en) * 2016-06-06 2017-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repairing a mask

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
NOZAWA, Hiroto [u.a.]: Phase-shift/transmittance measurements in a micro pattern using MPM193EX. In: Photomask and next-generation lithography mask technology XVI : 8-10 April 2009, Yokohama, Japan. Bellingham, Wash. : SPIE, 2009 (Proceedings of SPIE ; 7379). S. 737925-1 - 737925-10. - ISBN 978-0-8194-7656-2. DOI: 10.1117/12.824318. URL: https://www.spiedigitallibrary.org/proceedings/Download?fullDOI=10.1117%2F12.824318 [abgerufen am 2019-11-22] *
PERLITZ, Sascha ; BUTTGEREIT, Ute ; SCHERÜBL, Thomas: Phame: a novel phase metrology tool of Carl Zeiss for in-die phase measurements under scanner relevant optical settings. In: Metrology, inspection, and process control for microlithography XXI : 26 February - 1 March 2007, San Jose, California, USA. Bellingham, Wash. : SPIE, 2007 (Proceedings of SPIE ; 6518). S. 65184R-1 - 65184R-8. - ISBN 978-0-8194-6637-2. DOI: 10.1117/12.712813. URL: https://www.spiedigitallibrary.org/proceedings/Download?fullDOI=10.1117%2F12.712813 [abgerufen am 2019-11-22] *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021205328B3 (en) 2021-05-26 2022-09-29 Carl Zeiss Smt Gmbh Method for determining an imaging quality of an optical system when illuminated with illuminating light within a pupil to be measured and metrology system for this
EP4095505A1 (en) 2021-05-26 2022-11-30 Carl Zeiss SMT GmbH Method for determining the imaging quality of an optical system upon illumination with illumination light within a pupil to be measured
DE102021213959A1 (en) 2021-12-08 2023-06-15 Carl Zeiss Smt Gmbh EUV light source and analysis and/or production system with such an EUV light source
DE102021213959B4 (en) 2021-12-08 2024-01-25 Carl Zeiss Smt Gmbh EUV light source and analysis and/or production system with such an EUV light source
DE102022200372A1 (en) 2022-01-14 2023-07-20 Carl Zeiss Smt Gmbh Method for simulating lighting and imaging properties of an optical production system when illuminating and imaging an object using an optical measuring system
WO2023135131A1 (en) 2022-01-14 2023-07-20 Carl Zeiss Smt Gmbh Method for reproducing illumination and imaging properties of an optical production system during the illumination and imaging of an object by means of an optical measuring system
DE102022209386B3 (en) 2022-09-09 2023-10-26 Carl Zeiss Smt Gmbh Method for qualifying a mask for use in lithography

Also Published As

Publication number Publication date
JP2022553197A (en) 2022-12-22
TW202129409A (en) 2021-08-01
KR20220083774A (en) 2022-06-20
WO2021073994A1 (en) 2021-04-22

Similar Documents

Publication Publication Date Title
DE102018210315B4 (en) Method for detecting a structure of a lithography mask and device for carrying out the method
DE102019215800A1 (en) Method for determining an optical phase difference of measuring light of a measuring light wavelength over a surface of a structured object
EP3256835B1 (en) Test device and method for testing a mirror
WO2016012426A1 (en) Method for three-dimensionally measuring a 3d aerial image of a lithography mask
DE102019206651B4 (en) Method for three-dimensional determination of an aerial image of a lithography mask
DE102011006468B4 (en) Measurement of an imaging optical system by overlaying patterns
DE102010045135B4 (en) Method for determining a placement error of a structural element on a mask, method for simulating an aerial image from structural specifications of a mask and position measuring device
DE102008048660A1 (en) Method and device for measuring structures on photolithography masks
DE102010047051A1 (en) Method for determining the position of a structure within an image and position measuring device for carrying out the method
DE102011121532A1 (en) Method for characterizing a structure on a mask and device for carrying out the method
WO2019101419A1 (en) Method and device for calibrating a diffractive measuring structure
DE102006057606B4 (en) Method and measuring device for measuring an optically smooth surface
DE102012100311B4 (en) A method and apparatus for calibrating the wavefront error of a computer generated hologram for optical surface inspection
DE102021200109A1 (en) Method for the areal determination of a map of at least one structure parameter of a structured surface of a diffractive optical element
DE102014214257A1 (en) Method for the three-dimensional measurement of a 3D aerial image of a lithographic mask
DE102017216401A1 (en) Computer-generated hologram (CGH), as well as method for its production
DE102018202637B4 (en) Method for determining a focus position of a lithography mask and metrology system for carrying out such a method
DE102018202635B4 (en) Method for determining an imaging error contribution of an imaging optics for the measurement of lithographic masks
DE102019208552A1 (en) Method for determining a production aerial image of an object to be measured
DE102018202639B4 (en) Method for determining a structure-independent contribution of a lithography mask to a fluctuation of the line width
DE102014114864B4 (en) Method and apparatus for determining a lateral offset of a pattern on a substrate relative to a desired position
DE102014217229B4 (en) Method for three-dimensional measurement of a 3D aerial image of a lithography mask and metrology system
DE102015213163A1 (en) Method for preparing a spatially resolved image data set for a subsequent intensity Fourier transformation
DE102021202909A1 (en) Measuring device for interferometric measuring of a surface shape
DE102020209580B3 (en) Method for determining a wavefront generated by means of a diffractive optical element, method for producing a diffractive optical element and measuring device for interferometric shape measurement of a surface of a test object

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R120 Application withdrawn or ip right abandoned