DE102019119044A1 - SEMICONDUCTOR COMPONENT AND METHOD - Google Patents

SEMICONDUCTOR COMPONENT AND METHOD Download PDF

Info

Publication number
DE102019119044A1
DE102019119044A1 DE102019119044.4A DE102019119044A DE102019119044A1 DE 102019119044 A1 DE102019119044 A1 DE 102019119044A1 DE 102019119044 A DE102019119044 A DE 102019119044A DE 102019119044 A1 DE102019119044 A1 DE 102019119044A1
Authority
DE
Germany
Prior art keywords
nanowires
epitaxial
material stack
epitaxial material
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019119044.4A
Other languages
German (de)
Inventor
Yi-Bo Liao
Kai-Chieh Yang
Ching-Wei Tsai
Kuan-Lun Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/504,786 external-priority patent/US11031298B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019119044A1 publication Critical patent/DE102019119044A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Bei einer Ausführungsform weist ein Verfahren die folgenden Schritte auf: Erzeugen einer ersten Aussparung und einer zweiten Aussparung in einem Substrat; Aufwachsen eines ersten epitaxialen Materialstapels in der ersten Aussparung, wobei der erste epitaxiale Materialstapel wechselnde Schichten aus einem ersten Halbleitermaterial und einem zweiten Halbleitermaterial umfasst, wobei die Schichten des ersten epitaxialen Materialstapels undotiert sind; Aufwachsen eines zweiten epitaxialen Materialstapels in der zweiten Aussparung, wobei der zweite epitaxiale Materialstapel wechselnde Schichten aus dem ersten Halbleitermaterial und dem zweiten Halbleitermaterial umfasst, wobei eine erste Teilmenge des zweiten epitaxialen Materialstapels undotiert ist und eine zweite Teilmenge des zweiten epitaxialen Materialstapels dotiert ist; Strukturieren des ersten epitaxialen Materialstapels und des zweiten epitaxialen Materialstapels, um erste Nanodrähte bzw. zweite Nanodrähte herzustellen; und Herstellen einer ersten Gatestruktur um die ersten Nanodrähte und einer zweiten Gatestruktur um die zweiten Nanodrähte.In one embodiment, a method includes the steps of: creating a first recess and a second recess in a substrate; Growing a first epitaxial material stack in the first recess, the first epitaxial material stack comprising alternating layers of a first semiconductor material and a second semiconductor material, the layers of the first epitaxial material stack being undoped; Growing a second epitaxial material stack in the second recess, the second epitaxial material stack comprising alternating layers of the first semiconductor material and the second semiconductor material, a first subset of the second epitaxial material stack being undoped and a second subset of the second epitaxial material stack being doped; Patterning the first epitaxial material stack and the second epitaxial material stack to produce first nanowires and second nanowires, respectively; and fabricating a first gate structure around the first nanowires and a second gate structure around the second nanowires.

Description

Prioritätsanspruch und QuerverweisPriority claim and cross-reference

Die vorliegende Anmeldung beansprucht die Priorität der am 30. November 2018 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/773.346, die durch Bezugnahme aufgenommen ist.This application claims priority from U.S. Provisional Application No. 62 / 773,346, filed November 30, 2018, which is incorporated by reference.

Hintergrundbackground

Halbleiter-Bauelemente kommen in verschiedenen elektronischen Anwendungsgebieten zum Einsatz, wie etwa Personal Computern, Mobiltelefonen, digitalen Kameras und anderen elektronischen Geräten. Halbleiter-Bauelemente werden normalerweise dadurch hergestellt, dass isolierende oder dielektrische Schichten, leitfähige Schichten und Halbleiterschichten nacheinander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten durch Lithografie strukturiert werden, um Schaltkreiskomponenten und -elemente auf dem Substrat herzustellen.Semiconductor devices are used in various electronic applications, such as personal computers, cell phones, digital cameras and other electronic devices. Semiconductor devices are typically manufactured by successively depositing insulating or dielectric layers, conductive layers and semiconductor layers over a semiconductor substrate and structuring the various material layers by lithography to produce circuit components and elements on the substrate.

Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter, indem sie die kleinste Strukturbreite ständig reduziert, sodass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die kleinste Strukturbreite reduziert wird, entstehen jedoch weitere Probleme, die angegangen werden sollten.The semiconductor industry continues to improve the integration density of various electronic components (e.g. transistors, diodes, resistors, capacitors, etc.) by constantly reducing the smallest structure width so that more components can be integrated in a given area. If the smallest structure width is reduced, however, further problems arise which should be addressed.

FigurenlisteFigure list

Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.

  • Die 1 bis 24 sind verschiedene Darstellungen von Zwischenstufen bei der Herstellung von Gate-all-around-Feldeffekttransistoren (GAA-FETs) gemäß einigen Ausführungsformen.
  • Die 25A bis 25C sind verschiedene Darstellungen von Zwischenstufen bei der Herstellung von GAA-FETs gemäß weiteren Ausführungsformen.
Aspects of the present invention can best be understood from the following detailed description when taken in conjunction with the accompanying drawings. It should be noted that, in accordance with normal industry practice, various elements are not drawn to scale. Rather, for the sake of clarity of the discussion, the dimensions of the various elements can be enlarged or reduced as desired.
  • The 1 to 24th 14 are various representations of intermediate stages in the manufacture of gate all around field effect transistors (GAA-FETs) in accordance with some embodiments.
  • The 25A to 25C are different representations of intermediate stages in the manufacture of GAA-FETs according to further embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following description provides many different embodiments or examples for implementing various features of the invention. Specific examples of components and arrangements are described below to simplify the present invention. These are of course only examples and are not intended to be limiting. For example, the manufacture of a first element above or on a second element in the description below may include embodiments in which the first and second elements are made in direct contact, and may also include embodiments in which additional elements are between the first and the second element can be made so that the first and second elements are not in direct contact. In addition, reference numbers and / or letters can be repeated in the various examples in the present invention. This repetition is for simplicity and clarity, and by itself does not dictate a relationship between the various embodiments and / or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.In addition, spatially relative terms, such as "below", "below", "lower (r)" / "lower", "above", "upper" / "upper" and the like, can be used easily Description of the relationship of an element or structure to one or more other elements or structures used in the figures. In addition to the orientation shown in the figures, the spatially relative terms are intended to include other orientations of the device in use or in operation. The device can be oriented differently (rotated 90 degrees or in a different orientation), and the spatially relative descriptors used here can also be interpreted accordingly.

Gemäß einigen Ausführungsformen wird eine Mehrzahl von epitaxialen Materialstapeln in einem Substrat hergestellt. Die epitaxialen Materialstapel werden während des Aufwachsens dotiert und haben unterschiedliche mittlere Dotierungskonzentrationen. Die epitaxialen Materialstapel werden dann zu Nanodrähten für Gate-all-around-Feldeffekttransistoren (GAA-FETs) strukturiert. Die mittlere Dotierungskonzentration der Nanodrähte für jeden GAA-FET bestimmt die Schwellenspannung für den GAA-FET. Somit können Bauelemente mit mehreren Schwellenspannungen auf dem gleichen Substrat hergestellt werden.According to some embodiments, a plurality of epitaxial material stacks are made in a substrate. The epitaxial material stacks are doped during growth and have different mean doping concentrations. The epitaxial material stacks are then structured into nanowires for gate all-around field effect transistors (GAA-FETs). The average doping concentration of the nanowires for each GAA-FET determines the threshold voltage for the GAA-FET. Components with multiple threshold voltages can thus be produced on the same substrate.

Die 1 bis 12 sind Schnittansichten von Zwischenstufen bei der Herstellung von GAA-FETs gemäß einigen Ausführungsformen. GAA-FETs, die unterschiedliche Schwellenspannungen haben, werden in unterschiedlichen Bereichen des gleichen Bauelements hergestellt. Die Schwellenspannung eines FET ist die Gate-Source-Mindestspannung, die zum Herstellen einer Leiterbahn zwischen einem Source- und einem Drain-Anschluss des FET benötigt wird.The 1 to 12 are sectional views of intermediate stages in the manufacture of ATMs FETs according to some embodiments. GAA-FETs that have different threshold voltages are manufactured in different areas of the same device. The threshold voltage of an FET is the minimum gate-source voltage required to establish a conductor path between a source and a drain connection of the FET.

In 1 wird ein Substrat 50 bereitgestellt. Das Substrat 50 kann ein Halbleitersubstrat, wie etwa ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie etwa ein Siliziumwafer, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird auf einem Substrat hergestellt, normalerweise einem Silizium- oder Glassubstrat. Andere Substrate, wie etwa mehrschichtige oder Gradient-Substrate, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Folgendes umfassen: Silizium; Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon.In 1 becomes a substrate 50 provided. The substrate 50 may be a semiconductor substrate, such as a solid semiconductor substrate, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (e.g., with a p- or an n-dopant) or undoped. The substrate 50 can be a wafer, such as a silicon wafer. In general, an SOI substrate comprises a layer of a semiconductor material that is produced on an insulating layer. The insulating layer can be, for example, a buried oxide layer (BOX layer), a silicon oxide layer or the like. The insulating layer is made on a substrate, usually a silicon or glass substrate. Other substrates, such as multilayer or gradient substrates, can also be used. In some embodiments, the semiconductor material of the substrate 50 Include: silicon; Germanium; a compound semiconductor such as silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof.

Das Substrat 50 hat Bereiche 50A, 50B und 50C. Die Bereiche 50A, 50B und 50C können zum Herstellen von n-Bauelementen, wie etwa NMOS-Transistoren, z. B. n-GAA-FETs, oder zum Herstellen von p-Bauelementen, wie etwa PMOS-Transistoren, z. B. p-GAA-FETs, verwendet werden. Die Bereiche 50A, 50B und 50C können physisch voneinander getrennt sein, und zwischen den Bereichen 50A, 50B und 50C kann jede Anzahl von Bauelementstrukturen (z. B. anderen aktiven Bauelementen, dotierten Bereichen, Isolationsstrukturen usw.) angeordnet sein. Wie später näher dargelegt wird, werden epitaxiale Materialstapel in den Bereichen 50A, 50B und 50C hergestellt. Die epitaxialen Materialstapel werden zu GAA-FETs in den Bereichen 50A, 50B und 50C strukturiert. Obwohl nur ein epitaxialer Materialstapel in jedem Bereich dargestellt ist, dürfte wohlverstanden sein, dass die Bereiche 50A, 50B und 50C mehrere epitaxiale Materialstapel aufweisen können.The substrate 50 has areas 50A , 50B and 50C . The areas 50A , 50B and 50C can be used to manufacture n-type devices such as NMOS transistors, e.g. B. n-GAA-FETs, or for the manufacture of p-type devices, such as PMOS transistors, for. B. p-GAA-FETs can be used. The areas 50A , 50B and 50C can be physically separate, and between areas 50A , 50B and 50C can be arranged any number of component structures (z. B. other active components, doped regions, isolation structures, etc.). As will be explained in more detail later, epitaxial material stacks in the areas 50A , 50B and 50C produced. The epitaxial material stacks become GAA-FETs in the areas 50A , 50B and 50C structured. Although only one epitaxial stack of material is shown in each area, it should be understood that the areas 50A , 50B and 50C can have several epitaxial material stacks.

Die GAA-FETs, die in den Bereichen 50A, 508 und 50C hergestellt werden, haben unterschiedliche Schwellenspannungen. Insbesondere werden GAA-FETs, die eine erste Schwellenspannung V1 haben, in dem Bereich 50A hergestellt, GAA-FETs, die eine höhere zweite Schwellenspannung V2 haben, werden in dem Bereich 50B hergestellt, und GAA-FETs, die eine noch höhere dritte Schwellenspannung V3 haben, werden in dem Bereich 50C hergestellt. Außerdem können n-GAA-FETs oder p-GAA-FETs in den Bereichen 50A, 50B und 50C hergestellt werden. Die n-GAA-FETs und die p-GAA-FETs werden unter Verwendung unterschiedlicher Austrittsarbeitsmaterialien in ihren Gate-Elektroden hergestellt. Dadurch können GAA-FETs mit sechs möglichen Schwellenspannungen (z. B. drei für die n-GAA-FETs und drei für die p-GAA-FETs) auf dem Substrat 50 hergestellt werden.The GAA-FETs in the fields 50A , 508 and 50C have different threshold voltages. In particular, GAA-FETs have a first threshold voltage V 1 have in the area 50A manufactured GAA-FETs that have a higher second threshold voltage V 2 have in the area 50B manufactured, and GAA-FETs, which have an even higher third threshold voltage V 3 have in the area 50C produced. You can also use n-GAA FETs or p-GAA FETs in the fields 50A , 50B and 50C getting produced. The n-GAA FETs and the p-GAA-FETs are made using different work materials in their gate electrodes. This allows GAA-FETs with six possible threshold voltages (e.g. three for the n-GAA-FETs and three for the p-GAA-FETs) on the substrate 50 getting produced.

Außerdem können geeignete Wannen (nicht dargestellt) in dem Substrat 50 hergestellt werden. Bei einigen Ausführungsformen werden p-Wannen in Bereichen hergestellt, in denen n-GAA-FETs hergestellt werden (z. B. in NMOS-Bereichen), und n-Wannen werden in Bereichen hergestellt, in denen p-GAA-FETs hergestellt werden (z. B. in PMOS-Bereichen). Zum Herstellen von n-Wannen und p-Wannen können unterschiedliche Implantationsprozesse verwendet werden.In addition, suitable wells (not shown) can be placed in the substrate 50 getting produced. In some embodiments, p-wells are made in areas where n-GAA FETs are made (e.g., NMOS areas) and n-wells are made in areas where p-GAA FETs are made ( e.g. in PMOS areas). Different implantation processes can be used to manufacture n-wells and p-wells.

Bei den Ausführungsformen mit unterschiedlichen Wannentypen können unterschiedliche Implantationsprozesse für die NMOS- und die PMOS-Bereiche unter Verwendung eines Fotoresists oder anderer Masken (nicht dargestellt) realisiert werden. Zum Beispiel kann über dem Substrat 50 ein Fotoresist hergestellt werden, das dann strukturiert wird, um die PMOS-Bereiche des Substrats 50 freizulegen. Das Fotoresist kann durch Aufschleudern hergestellt werden und kann dann mit geeigneten fotolithografischen Verfahren strukturiert werden. Nachdem das Fotoresist strukturiert worden ist, werden n-Dotierungsstoffe in die PMOS-Bereiche implantiert, und das Fotoresist kann als eine Maske fungieren, um weitgehend zu vermeiden, dass n-Dotierungsstoffe in den NMOS-Bereich implantiert werden. Die n-Dotierungsstoffe können Phosphor, Arsen, Antimon oder dergleichen sein, und sie werden in den Bereich mit einer Konzentration von gleich oder kleiner als 1018 cm-3, z. B. etwa 1017 cm-3 bis etwa 1018 cm-3, implantiert. Nach der Implantation kann das Fotoresist zum Beispiel mit einem geeigneten Ablösungsprozess entfernt werden.In the embodiments with different tub types, different implantation processes for the NMOS and PMOS areas can be implemented using a photoresist or other masks (not shown). For example, over the substrate 50 a photoresist can be produced, which is then patterned around the PMOS regions of the substrate 50 to expose. The photoresist can be produced by spin coating and can then be structured using suitable photolithographic processes. After the photoresist has been patterned, n-type dopants are implanted in the PMOS regions and the photoresist can act as a mask to largely avoid n-type dopants being implanted in the NMOS region. The n-type dopants can be phosphorus, arsenic, antimony or the like, and they are in the range with a concentration equal to or less than 10 18 cm -3 , e.g. B. about 10 17 cm -3 to about 10 18 cm -3 , implanted. After the implantation, for example, the photoresist can be removed using a suitable detachment process.

Nach dem Implantieren der PMOS-Bereiche wird ein Fotoresist über dem Substrat 50 hergestellt, das dann strukturiert wird, um die NMOS-Bereiche des Substrats 50 freizulegen. Das Fotoresist kann durch Aufschleudern hergestellt werden und kann mit geeigneten fotolithografischen Verfahren strukturiert werden. Nachdem das Fotoresist strukturiert worden ist, werden p-Dotierungsstoffe in die NMOS-Bereiche implantiert, und das Fotoresist kann als eine Maske fungieren, um weitgehend zu vermeiden, dass p-Dotierungsstoffe in die PMOS-Bereiche implantiert werden. Die p-Dotierungsstoffe können Bor, BF2, Indium oder dergleichen sein, und sie werden in den Bereich mit einer Konzentration von gleich oder kleiner als 1018 cm-3, z. B. etwa 1017 cm-3 bis etwa 1018 cm-3, implantiert. Nach der Implantation kann das Fotoresist zum Beispiel mit einem geeigneten Ablösungsprozess entfernt werden.After the PMOS areas have been implanted, a photoresist is placed over the substrate 50 which is then patterned around the NMOS regions of the substrate 50 to expose. The photoresist can be produced by spin coating and can be structured using suitable photolithographic processes. After the photoresist has been patterned, p-type dopants are implanted in the NMOS regions, and the photoresist can act as a mask to largely prevent p-type dopants from being implanted in the PMOS regions will. The p-type dopants can be boron, BF2, indium or the like, and they are in the range with a concentration equal to or less than 10 18 cm -3 , e.g. B. about 10 17 cm -3 to about 10 18 cm -3 , implanted. After the implantation, for example, the photoresist can be removed using a suitable detachment process.

Nach den Implantationen der NMOS- und PMOS-Bereiche des Substrats 50 kann ein Temperprozess durchgeführt werden, um die p- und/oder die n-Dotierungsstoffe zu aktivieren, die implantiert worden sind. Bei einigen Ausführungsformen wird das Substrat 50 epitaxial aufgewachsen und in situ während des Aufwachsens dotiert, sodass die Implantationen entfallen können, aber In-situ-Dotierung und Implantationsdotierung können auch gemeinsam verwendet werden.After the implantation of the NMOS and PMOS areas of the substrate 50 an annealing process can be performed to activate the p- and / or n-dopants that have been implanted. In some embodiments, the substrate 50 grown epitaxially and doped in situ during growth so that the implantations can be omitted, but in situ doping and implantation doping can also be used together.

In 2 werden Aussparungen 52 in dem Bereich 50A des Substrats 50 erzeugt. Die Aussparungen 52 können mit geeigneten fotolithografischen und Ätzverfahren erzeugt werden. Zum Beispiel kann eine Maskenschicht 54 über dem Substrat 50 hergestellt werden. Die Maskenschicht 54 kann aus einem nicht-metallischen Material, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumcarbonitrid, Siliziumoxidcarbonitrid, Siliziumoxidcarbid oder dergleichen, mit einem Abscheidungsverfahren wie CVD oder dergleichen hergestellt werden. Die Maskenschicht 54 kann auch aus einem metallischen Material, wie etwa Titannidrid, Titan, Tantalnitrid, Tantal oder dergleichen, durch PVD, Hochfrequenz-PVD (RFPVD), Atomlagenabscheidung (ALD) oder dergleichen hergestellt werden. Nach ihrer Herstellung kann die Maskenschicht 54 mit Öffnungen strukturiert werden, die den Aussparungen 52 in dem Bereich 50A entsprechen. Die Strukturierung kann wie folgt realisiert werden: Herstellen eines Fotoresists (nicht dargestellt) über der Maskenschicht 54; Belichten und Entwickeln des Fotoresists, um die Struktur der Aussparungen 52 zu erhalten; und Übertragen der Struktur des Fotoresists auf die Maskenschicht 54. Die strukturierte Maskenschicht 54 kann als eine Ätzmaske zum Ätzen der Aussparungen 52 in dem Bereich 50A des Substrats 50 verwendet werden. Die Ätzung kann mit jedem geeigneten Ätzverfahren erfolgen, wie etwa reaktive Ionenätzung (RIE), Neutralstrahlätzung (NBE) oder dergleichen oder einer Kombination davon. Die Ätzung kann anisotrop sein.In 2nd become recesses 52 in that area 50A of the substrate 50 generated. The cutouts 52 can be produced using suitable photolithographic and etching processes. For example, a mask layer 54 over the substrate 50 getting produced. The mask layer 54 can be made of a non-metallic material such as silicon nitride, silicon oxide, silicon carbonitride, silicon oxide carbonitride, silicon oxide carbide or the like with a deposition method such as CVD or the like. The mask layer 54 can also be made from a metallic material such as titanium nitride, titanium, tantalum nitride, tantalum or the like by PVD, high frequency PVD (RFPVD), atomic layer deposition (ALD) or the like. After its manufacture, the mask layer 54 with openings that structure the recesses 52 in that area 50A correspond. The structuring can be realized as follows: production of a photoresist (not shown) over the mask layer 54 ; Expose and develop the photoresist to the structure of the recesses 52 to obtain; and transferring the structure of the photoresist to the mask layer 54 . The structured mask layer 54 can be used as an etching mask for etching the recesses 52 in that area 50A of the substrate 50 be used. The etching can be done using any suitable etching method, such as reactive ion etching (RIE), neutral beam etching (NBE), or the like, or a combination thereof. The etch can be anisotropic.

In 3 werden epitaxiale Materialstapel 56 in den Aussparungen 52 hergestellt. Die epitaxialen Materialstapel 56 weisen wechselnde erste Halbleiterschichten 58A und zweite Halbleiterschichten 58B auf. Die ersten Halbleiterschichten 58A werden aus einem ersten Halbleitermaterial hergestellt, und die zweiten Halbleiterschichten 58B werden aus einem anderen, zweiten Halbleitermaterial hergestellt. Das erste Halbleitermaterial ist ein Material, das zum Herstellen von Kanalbereichen von p-FETs geeignet ist, wie etwa Siliziumgermanium (SixGe1-x, wobei x 0 bis 1 sein kann). Das zweite Halbleitermaterial ist ein Material, das zum Herstellen von Kanalbereichen von n-FETs geeignet ist, wie etwa Silizium. Die epitaxialen Materialstapel 56 können eine Mehrzahl von Schichten aufweisen. Bei Ausführungsformen, bei denen GAA-FETs mit sechs möglichen Schwellenspannungen auf dem Substrat 50 hergestellt werden, können insgesamt acht Schichten (z. B. vier aus jedem Halbleitermaterial) hergestellt werden.In 3rd become epitaxial material stacks 56 in the recesses 52 produced. The epitaxial stacks of materials 56 have changing first semiconductor layers 58A and second semiconductor layers 58B on. The first semiconductor layers 58A are made of a first semiconductor material, and the second semiconductor layers 58B are made from a different, second semiconductor material. The first semiconductor material is a material that is suitable for producing channel regions of p-FETs, such as silicon germanium (Si x Ge 1-x , where x can be 0 to 1). The second semiconductor material is a material that is suitable for producing channel regions of n-FETs, such as silicon. The epitaxial stacks of materials 56 can have a plurality of layers. In embodiments where GAA-FETs have six possible threshold voltages on the substrate 50 A total of eight layers (e.g. four of each semiconductor material) can be produced.

Die epitaxialen Materialstapel 56 werden strukturiert, um Kanalbereiche der GAA-FETs in dem Bereich 50A herzustellen. Insbesondere werden die epitaxialen Materialstapel 56 strukturiert, um horizontale Nanodrähte herzustellen, wobei die Kanalbereiche der resultierenden GAA-FETs mehrere horizontale Nanodrähte aufweisen. Die GAA-FETs, die aus den epitaxialen Materialstapeln 56 hergestellt werden (z. B. in dem Bereich 50A des Substrats 50), haben eine erste Schwellenspannung V1 . Die erste Schwellenspannung V1 ist niedrig. Bei einigen Ausführungsformen beträgt die erste Schwellenspannung V1 etwa -0,13 V bis etwa -0,07 V für p-Bauelemente und etwa 0,13 V bis etwa 0,07 V für n-Bauelemente.The epitaxial stacks of materials 56 are structured to channel areas of the GAA-FETs in the area 50A to manufacture. In particular, the epitaxial material stacks 56 patterned to produce horizontal nanowires, the channel regions of the resulting GAA-FETs having multiple horizontal nanowires. The GAA-FETs that come from the epitaxial material stacks 56 be produced (e.g. in the area 50A of the substrate 50 ) have a first threshold voltage V 1 . The first threshold voltage V 1 is low. In some embodiments, the first threshold voltage is V 1 about -0.13 V to about -0.07 V for p-type devices and about 0.13 V to about 0.07 V for n-type devices.

Die epitaxialen Materialstapel 56 können mit einem ersten epitaxialen Aufwachsprozess 60 hergestellt werden, der in einer Aufwachskammer durchgeführt werden kann. Während des ersten epitaxialen Aufwachsprozesses 60 wird eine erste Gruppe von Vorläufern zum selektiven Aufwachsen der ersten Halbleiterschichten 58A in den Aussparungen 52 zyklisch in die Aufwachskammer eingeleitet, und dann wird eine zweite Gruppe von Vorläufern zum selektiven Aufwachsen der zweiten Halbleiterschichten 58B in den Aussparungen 52 eingeleitet. Die erste Gruppe von Vorläufern umfasst Vorläufer für das erste Halbleitermaterial (z. B. Siliziumgermanium), und die zweite Gruppe von Vorläufern umfasst Vorläufer für das zweite Halbleitermaterial (z. B. Silizium). Die epitaxialen Materialstapel 56 werden nicht dotiert. Daher umfassen die Vorläufer für den ersten epitaxialen Aufwachsprozess 60 keine Vorläufer für Dotierungsstoffe. Bei einigen Ausführungsformen umfasst die erste Gruppe von Vorläufern einen Siliziumvorläufer (z. B. Silan) und einen Germaniumvorläufer (z. B. Monogerman), und die zweite Gruppe von Vorläufern umfasst den Siliziumvorläufer, aber nicht den Germaniumvorläufer. Der erste epitaxiale Aufwachsprozess 60 kann somit Folgendes umfassen: kontinuierliches Einleiten des Siliziumvorläufers in die Aufwachskammer; und anschließend zyklisches (1) Einleiten des Germaniumvorläufers in die Aufwachskammer, wenn eine erste Halbleiterschicht 58A aufgewachsen wird, und (2) Nicht-Einleiten des Germaniumvorläufers in die Aufwachskammer, wenn eine zweite Halbleiterschicht 58B aufgewachsen wird. Die zyklische Behandlung kann so lange wiederholt werden, bis eine gewünschte Anzahl von Schichten hergestellt ist.The epitaxial stacks of materials 56 can with a first epitaxial growth process 60 be produced, which can be carried out in a growth chamber. During the first epitaxial growth process 60 becomes a first group of precursors for selectively growing the first semiconductor layers 58A in the recesses 52 cyclically introduced into the growth chamber, and then a second group of precursors for selectively growing the second semiconductor layers 58B in the recesses 52 initiated. The first group of precursors includes precursors for the first semiconductor material (e.g. silicon germanium), and the second group of precursors includes precursors for the second semiconductor material (e.g. silicon). The epitaxial stacks of materials 56 are not endowed. Therefore, include the precursors to the first epitaxial recovery process 60 no precursors for dopants. In some embodiments, the first group of precursors includes a silicon precursor (e.g., silane) and a germanium precursor (e.g., Monogerman), and the second group of precursors includes the silicon precursor but not the germanium precursor. The first epitaxial growth process 60 may thus include: continuously introducing the silicon precursor into the growth chamber; and then cyclically (1) introducing the germanium precursor into the growth chamber when a first semiconductor layer 58A and (2) non-introducing the germanium precursor into the growth chamber when a second semiconductor layer 58B is grown up. The cyclical Treatment can be repeated until a desired number of layers have been produced.

In 4 wird ein Planarisierungsprozess durchgeführt, um die Oberseite des Substrats 50 mit Oberseiten der epitaxialen Materialstapel 56 auf gleiche Höhe zu bringen. Durch den Planarisierungsprozess werden außerdem die Maskenschicht 54 und Teile der epitaxialen Materialstapel 56 entfernt, die sich außerhalb der Aussparungen 52 befinden. Der Planarisierungsprozess kann eine chemisch-mechanische Polierung (CMP), ein Rückätzprozess, eine Kombination davon oder dergleichen sein. Nach dem Planarisierungsprozess sind die Oberseiten des Substrats 50 und der epitaxialen Materialstapel 56 auf gleicher Höhe.In 4th a planarization process is performed around the top of the substrate 50 with tops of the epitaxial material stacks 56 bring it to the same level. The planarization process also makes the mask layer 54 and parts of the epitaxial material stack 56 removed that is outside of the recesses 52 are located. The planarization process can be a chemical mechanical polishing (CMP), an etch back process, a combination thereof, or the like. After the planarization process are the tops of the substrate 50 and the epitaxial stack of materials 56 at the same height.

In 5 werden Aussparungen 62 in dem Bereich 50B des Substrats 50 erzeugt. Die Aussparungen 62 können mit einem ähnlichen Verfahren wie dem Verfahren zum Erzeugen der Aussparungen 52, z. B. unter Verwendung einer strukturierten Maskenschicht 54 als eine Ätzmaske während eines geeigneten Ätzprozesses, erzeugt werden. Alternativ können die Aussparungen 62 mit einem anderen Verfahren erzeugt werden.In 5 become recesses 62 in that area 50B of the substrate 50 generated. The cutouts 62 can be done with a similar procedure as the procedure for creating the recesses 52 , e.g. B. using a structured mask layer 54 as an etching mask during a suitable etching process. Alternatively, the cutouts 62 be generated with another method.

In den 6 und 7 werden epitaxiale Materialstapel 66 in den Aussparungen 62 hergestellt. Die epitaxialen Materialstapel 66 weisen wechselnde Halbleiterschichten auf. Eine erste Teilmenge 66A der Schichten umfasst undotierte Halbleiterschichten. Eine zweite Teilmenge 66B der Schichten umfasst dotierte Halbleiterschichten. Die epitaxialen Materialstapel 66 haben die gleiche Anzahl von Schichten wie die epitaxialen Materialstapel 56.In the 6 and 7 become epitaxial material stacks 66 in the recesses 62 produced. The epitaxial stacks of materials 66 have changing semiconductor layers. A first subset 66A of the layers comprises undoped semiconductor layers. A second subset 66B of the layers comprises doped semiconductor layers. The epitaxial stacks of materials 66 have the same number of layers as the epitaxial material stacks 56 .

Die epitaxialen Materialstapel 66 werden ebenfalls zu Kanalbereichen von GAA-FETs in dem Bereich 50B strukturiert. Die GAA-FETs, die aus den epitaxialen Materialstapeln 66 (z. B. in dem Bereich 50B des Substrats 50) hergestellt werden, haben eine zweite Schwellenspannung V2. Die Schwellenspannung eines GAA-FET wird von der Konzentration von Dotierungsstoffen in dem Kanalbereich des GAA-FET beeinflusst, wobei eine höhere Konzentration von Dotierungsstoffen zu einer höheren Schwellenspannung führt. Die Konzentration von Dotierungsstoffen in einem Kanalbereich eines FET bezieht sich auf eine mittlere Dotierungskonzentration für die Nanodrähte, die den Kanalbereich des FET bilden. Da die epitaxialen Materialstapel 66 dotierte Schichten aufweisen, ist die zweite Schwellenspannung V2 niedriger als die erste Schwellenspannung V1 . Bei einigen Ausführungsformen beträgt die zweite Schwellenspannung V2 etwa -0,23 V bis etwa -0,17 V für p-Bauelemente, und sie beträgt etwa 0,23 V bis etwa 0,17 V für n-Bauelemente.The epitaxial stacks of materials 66 also become channel areas of GAA-FETs in the area 50B structured. The GAA-FETs that come from the epitaxial material stacks 66 (e.g. in the area 50B of the substrate 50 ) have a second threshold voltage V2 . The threshold voltage of a GAA-FET is influenced by the concentration of dopants in the channel region of the GAA-FET, a higher concentration of dopants leading to a higher threshold voltage. The concentration of dopants in a channel region of an FET relates to an average doping concentration for the nanowires that form the channel region of the FET. Because the epitaxial material stack 66 have doped layers, is the second threshold voltage V2 lower than the first threshold voltage V 1 . In some embodiments, the second threshold voltage is V2 about -0.23 V to about -0.17 V for p-type devices, and is about 0.23 V to about 0.17 V for n-type devices.

Die erste Teilmenge 66A der Schichten (siehe 6) umfasst wechselnde erste Halbleiterschichten 58A und zweite Halbleiterschichten 58B. Die erste Teilmenge 66A der Schichten wird durch Durchführen mehrerer Zyklen des ersten epitaxialen Aufwachsprozesses 60 hergestellt. Bei Ausführungsformen, bei denen GAA-FETs mit sechs möglichen Schwellenspannungen gewünscht werden, umfasst die erste Teilmenge 66A der epitaxialen Materialstapel 66 die Hälfte aller Schichten der Stapel.The first subset 66A of the layers (see 6 ) includes changing first semiconductor layers 58A and second semiconductor layers 58B . The first subset 66A of the layers is accomplished by performing several cycles of the first epitaxial growth process 60 produced. In embodiments where GAA FETs with six possible threshold voltages are desired, the first subset comprises 66A the epitaxial stack of materials 66 half of all layers of the stack.

Die zweite Teilmenge 66B der Schichten (siehe 7) umfasst wechselnde erste Halbleiterschichten 70A und zweite Halbleiterschichten 70B. Die ersten Halbleiterschichten 70A werden aus den gleichen Halbleiter-Grundmaterialien wie die ersten Halbleiterschichten 58A (z. B. Siliziumgermanium) hergestellt und werden zusätzlich mit Elementen der Gruppe V (z. B. Phosphor, Arsen usw.) dotiert. Die zweiten Halbleiterschichten 70B werden aus den gleichen Halbleiter-Grundmaterialien wie die zweiten Halbleiterschichten 58B (z. B. Silizium) hergestellt und werden zusätzlich mit Elementen der Gruppe III (z. B. Bor) dotiert. Die zweite Teilmenge 66B der Schichten kann mit einem zweiten epitaxialen Aufwachsprozess 72 hergestellt werden, der in der gleichen Aufwachskammer wie der erste epitaxiale Aufwachsprozess 60 durchgeführt werden kann. Während des zweiten epitaxialen Aufwachsprozesses 72 werden die gleichen Gruppen von Vorläufern wie bei dem ersten epitaxialen Aufwachsprozess 60 zyklisch in die Aufwachskammer eingeleitet, und zusätzlich werden geeignete Dotierungsstoff-Vorläufer eingeleitet. Während des zweiten epitaxialen Aufwachsprozesses 72 kann die erste Gruppe von Vorläufern außerdem zum Beispiel einen Vorläufer für den Dotierungsstoff der Gruppe V umfassen, und die zweite Gruppe von Vorläufern kann außerdem einen Vorläufer für den Dotierungsstoff der Gruppe III umfassen. Der zweite epitaxiale Aufwachsprozess 72 kann somit Folgendes umfassen: kontinuierliches Einleiten des Siliziumvorläufers in die Aufwachskammer; und anschließend zyklisches (1) Einleiten des Germanium- und des Gruppe-V-Vorläufers in die Aufwachskammer, wenn die ersten Halbleiterschichten 70A aufgewachsen werden, und (2) Nicht-Einleiten des Germaniumvorläufers und Einleiten des Gruppe-III-Vorläufers in die Aufwachskammer, wenn die zweiten Halbleiterschichten 70B aufgewachsen werden. Die zyklische Behandlung kann so lange wiederholt werden, bis eine gewünschte Anzahl von Schichten hergestellt ist. Wenn man das vorstehende Beispiel aufgreift, so kann die zweite Teilmenge 66B der epitaxialen Materialstapel 66 die Hälfte aller Schichten des Stapels umfassen.The second subset 66B of the layers (see 7 ) includes changing first semiconductor layers 70A and second semiconductor layers 70B . The first semiconductor layers 70A are made from the same basic semiconductor materials as the first semiconductor layers 58A (e.g. silicon germanium) and are additionally doped with Group V elements (e.g. phosphorus, arsenic, etc.). The second semiconductor layers 70B are made from the same semiconductor base materials as the second semiconductor layers 58B (e.g. silicon) and are additionally doped with elements of group III (e.g. boron). The second subset 66B the layers can be treated with a second epitaxial growth process 72 be produced in the same growth chamber as the first epitaxial growth process 60 can be carried out. During the second epitaxial growth process 72 become the same groups of precursors as in the first epitaxial recovery process 60 cyclically introduced into the growth chamber, and suitable dopant precursors are additionally introduced. During the second epitaxial growth process 72 For example, the first group of precursors may also include, for example, a precursor to the Group V dopant, and the second group of precursors may also include a precursor to the Group III dopant. The second epitaxial growth process 72 may thus include: continuously introducing the silicon precursor into the growth chamber; and then cyclically (1) introducing the germanium and group V precursors into the growth chamber when the first semiconductor layers 70A and (2) not introducing the germanium precursor and introducing the group III precursor into the growth chamber when the second semiconductor layers 70B grow up. The cyclic treatment can be repeated until a desired number of layers have been produced. If one takes up the example above, the second subset can 66B the epitaxial stack of materials 66 comprise half of all layers of the stack.

Die ersten Halbleiterschichten 70A und die zweiten Halbleiterschichten 70B können auf jede Dotierungskonzentration dotiert werden. Wie vorstehend dargelegt worden ist, erhöhen höhere Dotierungskonzentrationen die Schwellenspannungen der resultierenden GAA-FETs in dem Bereich 50B. Die ersten Halbleiterschichten 70A und die zweiten Halbleiterschichten 70B können auf die gleiche Konzentration oder auf unterschiedliche Konzentrationen dotiert werden. Bei einigen Ausführungsformen werden die ersten Halbleiterschichten 70A mit Arsen auf eine Konzentration von etwa 1017 cm-3 bis etwa 1019 cm-3 (z. B. etwa 1019 cm-3) dotiert, und die zweiten Halbleiterschichten 70B werden mit Bor ebenfalls auf eine Konzentration von etwa 1017 cm-3 bis etwa 1019 cm-3 (z. B. etwa 1019 cm-3) dotiert.The first semiconductor layers 70A and the second semiconductor layers 70B can be doped to any doping concentration. As stated above, higher ones increase Doping concentrations the threshold voltages of the resulting GAA-FETs in the range 50B . The first semiconductor layers 70A and the second semiconductor layers 70B can be doped to the same concentration or to different concentrations. In some embodiments, the first semiconductor layers 70A doped with arsenic to a concentration of about 10 17 cm -3 to about 10 19 cm -3 (e.g. about 10 19 cm -3 ), and the second semiconductor layers 70B are also doped with boron to a concentration of about 10 17 cm -3 to about 10 19 cm -3 (e.g. about 10 19 cm -3 ).

In 8 wird ein Planarisierungsprozess durchgeführt, um die Oberseite des Substrats 50 mit Oberseiten der epitaxialen Materialstapel 66 auf gleiche Höhe zu bringen. Durch den Planarisierungsprozess werden auch die Maskenschicht 64 und Teile der epitaxialen Materialstapel 66 entfernt, die sich außerhalb der Aussparungen 62 befinden. Der Planarisierungsprozess kann eine chemisch-mechanische Polierung (CMP), ein Rückätzprozess, eine Kombination davon oder dergleichen sein. Nach dem Planarisierungsprozess sind die Oberseiten des Substrats 50 und der epitaxialen Materialstapel 56 und 66 auf gleicher Höhe.In 8th a planarization process is performed around the top of the substrate 50 with tops of the epitaxial material stacks 66 bring it to the same level. Through the planarization process also the mask layer 64 and parts of the epitaxial material stack 66 removed that is outside of the recesses 62 are located. The planarization process can be a chemical mechanical polishing (CMP), an etch back process, a combination thereof, or the like. After the planarization process are the tops of the substrate 50 and the epitaxial stack of materials 56 and 66 at the same height.

In 9 werden Aussparungen 74 in dem Bereich 50C des Substrats 50 erzeugt. Die Aussparungen 74 können mit einem ähnlichen Verfahren wie dem Verfahren zum Erzeugen der Aussparungen 52, z. B. unter Verwendung einer strukturierten Maskenschicht 76 als eine Ätzmaske während eines geeigneten Ätzprozesses, erzeugt werden. Alternativ können die Aussparungen 74 mit einem anderen Verfahren erzeugt werden.In 9 become recesses 74 in that area 50C of the substrate 50 generated. The cutouts 74 can be done with a similar procedure as the procedure for creating the recesses 52 , e.g. B. using a structured mask layer 76 as an etching mask during a suitable etching process. Alternatively, the cutouts 74 be generated with another method.

In den 10 und 11 werden epitaxiale Materialstapel 78 in den Aussparungen 74 hergestellt. Die epitaxialen Materialstapel 78 weisen wechselnde Halbleiterschichten auf. Eine erste Teilmenge 78A der Schichten umfasst undotierte Halbleiterschichten. Eine zweite Teilmenge 78B der Schichten umfasst dotierte Halbleiterschichten. Die epitaxialen Materialstapel 78 haben die gleiche Anzahl von Schichten wie die epitaxialen Materialstapel 56 und 66.In the 10th and 11 become epitaxial material stacks 78 in the recesses 74 produced. The epitaxial stacks of materials 78 have changing semiconductor layers. A first subset 78A of the layers comprises undoped semiconductor layers. A second subset 78B of the layers comprises doped semiconductor layers. The epitaxial stacks of materials 78 have the same number of layers as the epitaxial material stacks 56 and 66 .

Die epitaxialen Materialstapel 78 werden ebenfalls zu Kanalbereichen von GAA-FETs in dem Bereich 50C strukturiert. Die GAA-FETs, die aus den epitaxialen Materialstapeln 78 (z. B. in dem Bereich 50C des Substrats 50) hergestellt werden, haben eine dritte Schwellenspannung V3 . Wie vorstehend dargelegt worden ist, wird die Schwellenspannung eines GAA-FET von der Konzentration von Dotierungsstoffen in dem Kanalbereich des GAA-FET beeinflusst, und die Konzentration von Dotierungsstoffen in einem Kanalbereich bezieht sich auf eine mittlere Dotierungskonzentration für die Nanodrähte, die den Kanalbereich bilden. Bei den dargestellten Ausführungsformen haben ähnliche Schichten der epitaxialen Materialstapel 66 und 78 die gleiche Dotierungskonzentration, und die Anzahl von dotierten Halbleiterschichten in den epitaxialen Materialstapeln 78 ist höher als die Anzahl von dotierten Halbleiterschichten in den epitaxialen Materialstapeln 66. Bei anderen Ausführungsformen (nicht dargestellt) haben die Schichten der epitaxialen Materialstapel 66 und 78 unterschiedliche Dotierungskonzentrationen, und die Anzahl von dotierten Halbleiterschichten in den epitaxialen Materialstapeln 78 ist gleich der Anzahl von dotierten Halbleiterschichten in den epitaxialen Materialstapeln 66. Die mittlere Dotierungskonzentration in den epitaxialen Materialstapeln 78 ist somit höher als in den epitaxialen Materialstapeln 66. Da die epitaxialen Materialstapel 78 mehr dotierte Schichten als die epitaxialen Materialstapel 66 aufweisen, ist die dritte Schwellenspannung V3 höher als die zweite Schwellenspannung V2 und die erste Schwellenspannung V1 . Bei einigen Ausführungsformen beträgt die dritte Schwellenspannung V3 etwa -0,33 V bis etwa -0,27 V für p-Bauelemente, und sie beträgt etwa 0,33 V bis etwa 0,27 V für n-Bauelemente.The epitaxial stacks of materials 78 also become channel areas of GAA-FETs in the area 50C structured. The GAA-FETs that come from the epitaxial material stacks 78 (e.g. in the area 50C of the substrate 50 ) have a third threshold voltage V 3 . As stated above, the threshold voltage of a GAA-FET is affected by the concentration of dopants in the channel region of the GAA-FET, and the concentration of dopants in a channel region relates to an average doping concentration for the nanowires that form the channel region. In the illustrated embodiments, similar layers have the epitaxial material stacks 66 and 78 the same doping concentration, and the number of doped semiconductor layers in the epitaxial material stacks 78 is higher than the number of doped semiconductor layers in the epitaxial material stacks 66 . In other embodiments (not shown), the layers have the epitaxial material stacks 66 and 78 different doping concentrations, and the number of doped semiconductor layers in the epitaxial material stacks 78 is equal to the number of doped semiconductor layers in the epitaxial material stacks 66 . The mean doping concentration in the epitaxial material stacks 78 is therefore higher than in the epitaxial material stacks 66 . Because the epitaxial material stack 78 more doped layers than the epitaxial material stacks 66 is the third threshold voltage V 3 higher than the second threshold voltage V2 and the first threshold voltage V 1 . In some embodiments, the third threshold voltage is V 3 about -0.33 V to about -0.27 V for p-type devices, and is about 0.33 V to about 0.27 V for n-type devices.

Die erste Teilmenge 78A der Schichten (siehe 10) umfasst wechselnde erste Halbleiterschichten 58A und zweite Halbleiterschichten 58B. Die erste Teilmenge 78A der Schichten wird durch Durchführen mehrerer Zyklen des ersten epitaxialen Aufwachsprozesses 60 hergestellt. Bei Ausführungsformen, bei denen GAA-FETs mit sechs möglichen Schwellenspannungen gewünscht werden, umfasst die erste Teilmenge 78A der epitaxialen Materialstapel 78 ein Viertel aller Schichten der Stapel.The first subset 78A of the layers (see 10th ) includes changing first semiconductor layers 58A and second semiconductor layers 58B . The first subset 78A of the layers is accomplished by performing several cycles of the first epitaxial growth process 60 produced. In embodiments where GAA FETs with six possible threshold voltages are desired, the first subset comprises 78A the epitaxial stack of materials 78 a quarter of all layers of the stack.

Die zweite Teilmenge 78B der Schichten (siehe 11) umfasst wechselnde erste Halbleiterschichten 70A und zweite Halbleiterschichten 70B. Die zweite Teilmenge 78B der Schichten kann durch Durchführen mehrerer Zyklen des zweiten epitaxialen Aufwachsprozesses 72 hergestellt werden. Bei Ausführungsformen, bei denen GAA-FETs mit sechs möglichen Schwellenspannungen gewünscht werden, umfasst die zweite Teilmenge 78B der epitaxialen Materialstapel 78 drei Viertel aller Schichten der Stapel.The second subset 78B of the layers (see 11 ) includes changing first semiconductor layers 70A and second semiconductor layers 70B . The second subset 78B of the layers can be accomplished by performing multiple cycles of the second epitaxial growth process 72 getting produced. In embodiments where GAA FETs with six possible threshold voltages are desired, the second subset comprises 78B the epitaxial stack of materials 78 three quarters of all layers of the stack.

In 12 wird ein Planarisierungsprozess durchgeführt, um die Oberseite des Substrats 50 mit Oberseiten der epitaxialen Materialstapel 78 auf gleiche Höhe zu bringen. Durch den Planarisierungsprozess werden außerdem die Maskenschicht 76 und Teile der epitaxialen Materialstapel 78 entfernt, die sich außerhalb der Aussparungen 74 befinden. Der Planarisierungsprozess kann eine chemisch-mechanische Polierung (CMP), ein Rückätzprozess, eine Kombination davon oder dergleichen sein. Nach dem Planarisierungsprozess sind die Oberseiten des Substrats 50 und der epitaxialen Materialstapel 56, 66 und 78 auf gleicher Höhe.In 12 a planarization process is performed around the top of the substrate 50 with tops of the epitaxial material stacks 78 bring it to the same level. The planarization process also makes the mask layer 76 and parts of the epitaxial material stack 78 removed that is outside of the recesses 74 are located. The planarization process can be a chemical mechanical polishing (CMP), an etch back process, a combination thereof, or the like. After the planarization process, the tops of the Substrate 50 and the epitaxial stack of materials 56 , 66 and 78 at the same height.

Die 13 bis 20 und 22 sind perspektivische Darstellungen von weiteren Zwischenstufen bei der Herstellung von GAA-FETs gemäß einigen Ausführungsformen. Die 21A und 21B sind Schnittansichten, die entlang einem Referenzquerschnitt A/B - A/B von 22 gezeigt sind. Es ist nur einer der Bereiche 50A/50B/50C des Substrats 50 dargestellt. Es dürfte wohlverstanden sein, dass eine ähnliche Bearbeitung in allen Bereichen 50A/50B/50C des Substrats 50 durchgeführt werden kann. Und obwohl nur eine Gatestruktur und nur ein Paar Source-/Drain-Bereiche dargestellt sind, dürfte wohlverstanden sein, dass mehrere Gatestrukturen und mehrere Source-/Drain-Bereiche hergestellt werden können.The 13 to 20th and 22 14 are perspective views of further intermediate stages in the manufacture of GAA FETs in accordance with some embodiments. The 21A and 21B are sectional views taken along a reference cross section A / B - A / B of 22 are shown. It is just one of the areas 50A / 50B / 50C of the substrate 50 shown. It should be understood that a similar editing in all areas 50A / 50B / 50C of the substrate 50 can be carried out. And although only one gate structure and only one pair of source / drain regions are shown, it should be understood that multiple gate structures and multiple source / drain regions can be fabricated.

In 13 werden Finnen 90 und GAA-Strukturen 92 in dem Substrat 50 hergestellt. Die Finnen 90 sind Halbleiterschichten, und die GAA-Strukturen 92 sind auf den Finnen 90 angeordnet. Bei einigen Ausführungsformen können die Finnen 90 und die GAA-Strukturen 92 jeweils durch Ätzen von Gräben in dem Substrat 50 und den epitaxialen Materialstapeln 56, 66 und 78 hergestellt werden.In 13 become Finns 90 and ATM structures 92 in the substrate 50 produced. Finns 90 are semiconductor layers, and the GAA structures 92 are on the fins 90 arranged. In some embodiments, the fins 90 and the ATM structures 92 each by etching trenches in the substrate 50 and the epitaxial material stacks 56 , 66 and 78 getting produced.

Die GAA-Strukturen 92 können mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Strukturen mit einem oder mehreren lithografischen Prozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die ansonsten mit einem einzelnen direkten fotolithografischen Prozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform über einem Substrat eine Opferschicht hergestellt, die dann mit einem fotolithografischen Prozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter können dann zum Strukturieren der GAA-Strukturen 92 verwendet werden.The ATM structures 92 can be structured using any suitable method. For example, the structures can be structured using one or more lithographic processes, such as double structuring or multiple structuring processes. In general, double structuring or multiple structuring processes combine photolithographic and self-aligned processes that can be used to produce structures that have, for example, grid spacings that are smaller than those that can otherwise be achieved with a single direct photolithographic process. For example, in one embodiment, a sacrificial layer is made over a substrate, which is then patterned using a photolithographic process. Spacers are produced along the structured sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers can then be used to structure the ATM structures 92 be used.

Bei einigen Ausführungsformen werden die verbliebenen Abstandshalter zum Strukturieren einer Maske 94 verwendet, die dann zum Strukturieren der GAA-Strukturen 92 und der Finnen 90 verwendet wird. Die Maske 94 kann eine einschichtige Maske oder eine mehrschichtige Maske sein, wie etwa eine mehrschichtige Maske, die eine erste Maskenschicht 94A und eine zweite Maskenschicht 94B aufweist. Die erste Maskenschicht 94A und die zweite Maskenschicht 94B können jeweils aus einem dielektrischen Material, wie etwa Siliziumoxid, Siliziumnitrid, einer Kombination davon oder dergleichen, hergestellt werden und können mit geeigneten Verfahren abgeschieden oder thermisch aufgewachsen werden. Die erste Maskenschicht 94A und die zweite Maskenschicht 94B weisen unterschiedliche Materialien auf, die eine hohe Ätzselektivität haben. Zum Beispiel kann die erste Maskenschicht 94A Siliziumoxid aufweisen, und die zweite Maskenschicht 94B kann Siliziumnitrid aufweisen. Die Maske 94 kann mit einem geeigneten Ätzprozess strukturiert werden. Die Maske 94 kann dann als eine Ätzmaske zum Ätzen des Substrats 50 und der epitaxialen Materialstapel 56, 66 und 78 verwendet werden. Die Ätzung kann mit jedem geeigneten Ätzverfahren, wie etwa durch reaktive Ionenätzung (RIE), Neutralstrahlätzung (NBE) oder dergleichen oder einer Kombination davon, erfolgen. Die Ätzung kann anisotrop sein.In some embodiments, the remaining spacers are used to pattern a mask 94 then used to structure the ATM structures 92 and the Finns 90 is used. The mask 94 can be a single-layer mask or a multi-layer mask, such as a multi-layer mask that includes a first mask layer 94A and a second mask layer 94B having. The first mask layer 94A and the second mask layer 94B can each be made from a dielectric material such as silicon oxide, silicon nitride, a combination thereof, or the like, and can be deposited or thermally grown using suitable methods. The first mask layer 94A and the second mask layer 94B have different materials that have a high etching selectivity. For example, the first mask layer 94A Have silicon oxide, and the second mask layer 94B can have silicon nitride. The mask 94 can be structured using a suitable etching process. The mask 94 can then be used as an etching mask to etch the substrate 50 and the epitaxial stack of materials 56 , 66 and 78 be used. The etching can be done by any suitable etching method such as reactive ion etching (RIE), neutral beam etching (NBE) or the like or a combination thereof. The etch can be anisotropic.

In 14 werden STI-Bereiche 96 (STI: flache Grabenisolation) über dem Substrat 50 und zwischen benachbarten Finnen 90 hergestellt. Als ein Beispiel zum Herstellen der STI-Bereiche 96 kann ein Isoliermaterial über dem Substrat 50 abgeschieden werden. Das Isoliermaterial kann ein Oxid, wie etwa Siliziumoxid, ein Nitrid oder dergleichen oder eine Kombination davon sein und kann durch eine chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD), eine fließfähige CVD (FCVD) (z. B. eine Materialabscheidung auf CVD-Basis in einem Remote-Plasma-System und Nachhärten, um das Material in ein anderes Material, wie etwa ein Oxid, umzuwandeln) oder dergleichen oder eine Kombination davon abgeschieden werden. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren hergestellt werden. Bei der dargestellten Ausführungsform ist das Isoliermaterial Siliziumoxid, das mit einem FCVD-Prozess abgeschieden wird. Nach dem Abscheiden des Isoliermaterials kann ein Temperprozess durchgeführt werden. Bei einer Ausführungsform wird das Isoliermaterial so abgeschieden, dass überschüssiges Isoliermaterial die Finnen 90 und die GAA-Strukturen 92 bedeckt. Bei einigen Ausführungsformen wird zunächst ein Belag 96A entlang Oberflächen des Substrats 50 und der Finnen 90 hergestellt, und über dem Belag 96A wird ein Füllmaterial 96B abgeschieden, wie etwa das, das vorstehend erörtert worden ist. Bei einigen Ausführungsformen wird der Belag 96A weggelassen. Dann wird ein Entfernungsprozess für das Isoliermaterial verwendet, um überschüssiges Isoliermaterial über den Finnen 90 und den GAA-Strukturen 92 zu entfernen. Bei einigen Ausführungsformen kann ein Planarisierungsprozess, wie etwa eine CMP, ein Rückätzprozess, eine Kombination davon oder dergleichen, verwendet werden. Durch den Planarisierungsprozess werden die GAA-Strukturen 92 freigelegt, sodass Oberseiten der GAA-Strukturen 92 und des Isoliermaterials nach der Beendigung des Planarisierungsprozesses auf gleicher Höhe sind. Dann wird das Isoliermaterial ausgespart, um die STI-Bereiche 96 herzustellen. Das Isoliermaterial wird so ausgespart, dass die GAA-Strukturen 92 zwischen benachbarten STI-Bereichen 96 herausragen. Außerdem können die Oberseiten der STI-Bereiche 96 eine ebene Oberfläche wie dargestellt, eine konvexe Oberfläche, eine konkave Oberfläche (wie etwa Dishing) oder eine Kombination davon haben. Die Oberseiten der STI-Bereiche 96 können durch eine geeignete Ätzung eben, konvex und/oder konkav hergestellt werden. Die STI-Bereiche 96 können mit einem geeigneten Ätzprozess, wie etwa einem, der für das Isoliermaterial selektiv ist (z. B. das Isoliermaterial mit einer höheren Geschwindigkeit als das Material der Finnen 90 und der GAA-Strukturen 92 ätzt), ausgespart werden. Es kann zum Beispiel eine chemische Oxidentfernung mit einem geeigneten Ätzprozess z. B. unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF-Säure) verwendet werden.In 14 become STI areas 96 (STI: shallow trench isolation) over the substrate 50 and between neighboring Finns 90 produced. As an example of making the STI areas 96 can be an insulating material over the substrate 50 be deposited. The insulating material may be an oxide, such as silicon oxide, a nitride, or the like, or a combination thereof, and may be flowable CVD (FCVD) (e.g., material deposition) through chemical vapor deposition (HDP-CVD) CVD base in a remote plasma system and post-curing to convert the material to another material (such as an oxide) or the like, or a combination thereof. Other insulating materials made by a suitable method can also be used. In the illustrated embodiment, the insulating material is silicon oxide, which is deposited using an FCVD process. After the insulation material has been deposited, an annealing process can be carried out. In one embodiment, the insulation material is deposited so that excess insulation material fins 90 and the ATM structures 92 covered. In some embodiments, a topping is first used 96A along surfaces of the substrate 50 and the Finns 90 manufactured, and over the topping 96A becomes a filler 96B deposited, such as that discussed above. In some embodiments, the topping 96A omitted. Then a removal process for the insulation material is used to remove excess insulation material over the fins 90 and the ATM structures 92 to remove. In some embodiments, a planarization process, such as a CMP, an etch back process, a combination thereof, or the like, may be used. Through the planarization process, the ATM structures 92 exposed, leaving tops of the ATM structures 92 and the insulating material after the The planarization process has ended at the same level. Then the insulation material is cut out around the STI areas 96 to manufacture. The insulating material is cut out so that the ATM structures 92 between neighboring STI areas 96 stick out. You can also use the top of the STI areas 96 have a flat surface as shown, a convex surface, a concave surface (such as dishing), or a combination thereof. The tops of the STI areas 96 can be made flat, convex and / or concave by suitable etching. The STI areas 96 can be done using a suitable etching process, such as one that is selective for the insulating material (e.g., the insulating material at a higher speed than the material of the fins 90 and the ATM structures 92 etched). For example, chemical oxide removal using a suitable etching process e.g. B. using dilute hydrofluoric acid (dHF acid).

In 15 werden Dummy-Dielektrika 100 auf den GAA-Strukturen 92 hergestellt, und auf den Dummy-Dielektrika 100 werden Dummy-Gates 102 hergestellt. Als ein Beispiel zum Herstellen der Dummy-Dielektrika 100 und der Dummy-Gates 102 kann eine Dummy-Dielektrikumschicht auf den GAA-Strukturen 92 und den STI-Bereichen 96 hergestellt werden. Die Dummy-Dielektrikumschicht kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination davon oder dergleichen sein und kann mit geeigneten Verfahren abgeschieden oder thermisch aufgewachsen werden. Dann kann eine Dummy-Gateschicht über der Dummy-Dielektrikumschicht hergestellt werden. Die Dummy-Gateschicht kann über der Dummy-Dielektrikumschicht abgeschieden werden und dann zum Beispiel mit einer CMP planarisiert werden. Die Dummy-Gateschicht kann ein leitfähiges Material sein, das aus der Gruppe amorphes Silizium, polykristallines Silizium (Polysilizium), polykristallines Siliziumgermanium (Poly-SiGe), Metallnitride, Metallsilizide, Metalloxide und Metalle gewählt werden kann. Die Dummy-Gateschicht kann durch physikalische Aufdampfung (PVD), CVD, Sputterdeposition oder mit anderen Verfahren abgeschieden werden, die auf dem Fachgebiet zum Abscheiden von leitfähigen Materialien bekannt sind und verwendet werden. Die Dummy-Gateschicht kann auch aus anderen Materialien hergestellt werden, die eine hohe Ätzselektivität durch die Ätzung von Isolationsbereichen haben. Dann werden Masken 104 über der Dummy-Gateschicht hergestellt. Die Masken 104 können aus Siliziumnitrid, Siliziumoxidnitrid, Kombinationen davon oder dergleichen hergestellt werden und können mit geeigneten fotolithografischen und Ätzverfahren strukturiert werden. Die Struktur der Masken 104 kann dann mit einem geeigneten Ätzverfahren auf die Dummy-Gateschicht übertragen werden, um die Dummy-Gates 102 herzustellen, und sie kann dann mit einem geeigneten Ätzverfahren auf die Dummy-Dielektrikumschicht übertragen werden, um die Dummy-Dielektrika 100 herzustellen. Die Dummy-Gates 102 bedecken jeweilige Kanalbereiche der GAA-Strukturen 92. Die Struktur der Masken 104 kann zum physischen Trennen jedes der Dummy-Gates 102 von benachbarten Dummy-Gates verwendet werden. Die Dummy-Gates 102 können außerdem eine Längsrichtung haben, die im Wesentlichen senkrecht zu der Längsrichtung der Finnen 90 ist.In 15 become dummy dielectrics 100 on the ATM structures 92 manufactured, and on the dummy dielectrics 100 become dummy gates 102 produced. As an example of manufacturing the dummy dielectrics 100 and the dummy gates 102 can have a dummy dielectric layer on the GAA structures 92 and the STI areas 96 getting produced. The dummy dielectric layer can be, for example, silicon oxide, silicon nitride, a combination thereof or the like and can be deposited or thermally grown using suitable methods. A dummy gate layer can then be formed over the dummy dielectric layer. The dummy gate layer can be deposited over the dummy dielectric layer and then planarized, for example with a CMP. The dummy gate layer can be a conductive material that can be selected from the group of amorphous silicon, polycrystalline silicon (polysilicon), polycrystalline silicon germanium (poly-SiGe), metal nitrides, metal silicides, metal oxides and metals. The dummy gate layer can be deposited by physical vapor deposition (PVD), CVD, sputter deposition, or by other methods known and used in the conductive material deposition art. The dummy gate layer can also be produced from other materials which have a high etching selectivity due to the etching of insulation regions. Then masks 104 made over the dummy gate layer. The masks 104 can be made from silicon nitride, silicon oxide nitride, combinations thereof, or the like, and can be patterned using suitable photolithographic and etching methods. The structure of the masks 104 can then be transferred to the dummy gate layer using a suitable etching process to form the dummy gates 102 and it can then be transferred to the dummy dielectric layer using a suitable etching process to remove the dummy dielectrics 100 to manufacture. The dummy gates 102 cover respective channel areas of the ATM structures 92 . The structure of the masks 104 can be used to physically separate each of the dummy gates 102 used by neighboring dummy gates. The dummy gates 102 can also have a longitudinal direction that is substantially perpendicular to the longitudinal direction of the fins 90 is.

In 18 wird eine Gate-Abstandshalterschicht 106 durch konformes Abscheiden eines Isoliermaterials über den GAA-Strukturen 92, den STI-Bereichen 96 und den Dummy-Gates 102 hergestellt. Das Isoliermaterial kann Siliziumnitrid, Siliziumcarbonitrid, eine Kombination davon oder dergleichen sein. Bei einigen Ausführungsformen kann die Gate-Abstandshalterschicht 106 mehrere Teilschichten umfassen. Zum Beispiel kann eine erste Teilschicht (die gelegentlich als eine Gate-Dichtungsabstandshalterschicht bezeichnet wird) durch eine thermische Oxidation oder eine Abscheidung hergestellt werden, und eine zweite Teilschicht (die gelegentlich als eine Haupt-Gate-Abstandshalterschicht bezeichnet wird) kann konform auf der ersten Teilschicht abgeschieden werden.In 18th becomes a gate spacer layer 106 by conformally depositing an insulating material over the ATM structures 92 , the STI areas 96 and the dummy gates 102 produced. The insulating material may be silicon nitride, silicon carbonitride, a combination thereof, or the like. In some embodiments, the gate spacer layer 106 comprise several sub-layers. For example, a first sub-layer (sometimes referred to as a gate seal spacer layer) can be formed by thermal oxidation or deposition, and a second sub-layer (sometimes referred to as a main gate spacer layer) can conform to the first sub-layer be deposited.

Nach der Herstellung der Gate-Abstandshalterschicht 106 können Implantationen für leicht dotierte Source-/Drain-Bereiche (LDD-Bereiche; nicht dargestellt) durchgeführt werden. Geeignete Dotierungsstoffe (z. B. p- oder n-Dotierungsstoffe) können in die freiliegenden GAA-Strukturen 92 und/oder Finnen 90 implantiert werden. Die n-Dotierungsstoffe können alle die n-Dotierungsstoffe sein, die vorstehend genannt worden sind, und die p-Dotierungsstoffe können alle die p-Dotierungsstoffe sein, die vorstehend genannt worden sind. Die leicht dotierten Source-/Drain-Bereiche können eine Dotierungskonzentration von etwa 1015 cm-3 bis etwa 1016 cm-3 haben. Mit einem Temperprozess können die implantierten Dotierungsstoffe aktiviert werden.After making the gate spacer layer 106 can be performed for lightly doped source / drain areas (LDD areas; not shown). Suitable dopants (e.g. p- or n-dopants) can be found in the exposed GAA structures 92 and / or Finns 90 be implanted. The n-type dopants can all be the n-type dopants mentioned above and the p-type dopants can all be the p-type dopants mentioned above. The lightly doped source / drain regions can have a doping concentration of approximately 10 15 cm -3 to approximately 10 16 cm -3 . The implanted dopants can be activated with a tempering process.

In 17 werden Gate-Abstandshalter 108 durch anisotropes Ätzen der Gate-Abstandshalterschicht 106 hergestellt. Durch das anisotrope Ätzen können horizontale Teile der Gate-Abstandshalterschicht 106 (z. B. über den STI-Bereichen 96 und den Dummy-Gates 102) entfernt werden, wobei verbleibende vertikale Teile der Gate-Abstandshalterschicht 106 (z. B. entlang Seiten der Dummy-Gates 102 und der GAA-Strukturen 92) die Gate-Abstandshalter 108 bilden.In 17th become gate spacers 108 by anisotropically etching the gate spacer layer 106 produced. The anisotropic etching allows horizontal parts of the gate spacer layer 106 (e.g. above the STI areas 96 and the dummy gates 102 ) are removed, leaving remaining vertical portions of the gate spacer layer 106 (e.g. along sides of the dummy gates 102 and the ATM structures 92 ) the gate spacers 108 form.

Weiterhin werden Source-/Drain-Aussparungen 110 in den GAA-Strukturen 92 erzeugt. Die Source-/Drain-Aussparungen 110 können sich durch die GAA-Strukturen 92 erstrecken und in die Finnen 90 hinein reichen. Die Source-/Drain-Aussparungen 110 können mit geeigneten Ätzverfahren unter Verwendung der Dummy-Gates 102 als eine Ätzmaske erzeugt werden.Furthermore, source / drain recesses 110 in the ATM structures 92 generated. The source / drain recesses 110 can look through the ATM structures 92 stretch and into the fins 90 reach into it. The source / drain recesses 110 can be made using suitable etching techniques using the dummy gates 102 as an etching mask.

In 18 werden Source-/Drain-Epitaxiebereiche 112 in den Source-/Drain-Aussparungen 110 hergestellt, um eine mechanische Spannung in jeweilige Kanalbereiche der GAA-Strukturen 92 einzutragen, sodass die Leistung verbessert wird. Die Source-/Drain-Epitaxiebereiche 112 werden in den GAA-Strukturen 92 so hergestellt, dass jedes Dummy-Gate 102 zwischen jeweiligen benachbarten Paaren der Source-/Drain-Epitaxiebereiche 112 angeordnet ist. Bei einigen Ausführungsformen können die Source-/Drain-Epitaxiebereiche 112 in die Finnen 90 hinein reichen und diese auch durchdringen. Bei einigen Ausführungsformen werden die Gate-Abstandshalter 108 dazu verwendet, um die Source-/Drain-Epitaxiebereiche 112 mit einem geeigneten seitlichen Abstand von den Dummy-Gates 102 zu trennen, sodass die Source-/Drain-Epitaxiebereiche 112 später hergestellte Gates der resultierenden GAA-FETs nicht durch Kurzschluss überbrücken.In 18th become source / drain epitaxial regions 112 in the source / drain recesses 110 manufactured to provide mechanical tension in respective channel areas of the ATM structures 92 should be entered so that the performance is improved. The source / drain epitaxial regions 112 are in the ATM structures 92 made so that each dummy gate 102 between respective adjacent pairs of the source / drain epitaxial regions 112 is arranged. In some embodiments, the source / drain epitaxial regions 112 in the Finns 90 reach into it and penetrate it. In some embodiments, the gate spacers 108 used to cover the source / drain epitaxial regions 112 with a suitable lateral distance from the dummy gates 102 separate so that the source / drain epitaxial areas 112 Do not short-circuit gates of the resulting GAA-FETs produced later.

Die Source-/Drain-Epitaxiebereiche 112 werden epitaxial in den Source-/Drain-Aussparungen 110 aufgewachsen. Die Source-/Drain-Epitaxiebereiche 112 können ein geeignetes Material aufweisen, wie etwa ein Material, das für n- oder p-GAA-FETs geeignet ist. Wenn zum Beispiel n-GAA-FETs hergestellt werden, können die Source-/Drain-Epitaxiebereiche 112 Materialien aufweisen, die eine Zugspannung in die Kanalbereiche eintragen, wie etwa Silizium, SiC, SiCP, SiP oder dergleichen. Ebenso können, wenn p-GAA-FETs hergestellt werden, die Source-/Drain-Epitaxiebereiche 112 Materialien aufweisen, die eine Druckspannung in die Kanalbereiche eintragen, wie etwa SiGe, SiGeB, Ge, GeSn oder dergleichen. Die Source-/Drain-Epitaxiebereiche 112 können Oberflächen haben, die gegenüber jeweiligen Oberflächen der Finnen 90 erhöht sind, und sie können Abschrägungen haben.The source / drain epitaxial regions 112 become epitaxial in the source / drain recesses 110 grew up. The source / drain epitaxial regions 112 can be a suitable material, such as a material suitable for n- or p-GAA FETs. For example, when fabricating n-GAA FETs, the source / drain epitaxial regions can 112 Have materials that apply tensile stress to the channel regions, such as silicon, SiC, SiCP, SiP or the like. Likewise, when p-GAA FETs are fabricated, the source / drain epitaxial regions can 112 Have materials that apply compressive stress to the channel areas, such as SiGe, SiGeB, Ge, GeSn or the like. The source / drain epitaxial regions 112 can have surfaces that face respective fin surfaces 90 are elevated and they can have bevels.

Die Source-/Drain-Epitaxiebereiche 112 und/oder die Finnen 90 können mit Dotanden implantiert werden, um ähnlich wie bei dem Prozess, der vorstehend zum Herstellen von leicht dotierten Source-/Drain-Bereichen erörtert worden ist, Source-/Drain-Bereiche herzustellen, und daran schließt sich ein Temperprozess an. Die Source-/Drain-Bereiche können eine Dotierungskonzentration von etwa 1019 cm-3 bis etwa 1021 cm-3 haben. Die n- und/oder p-Dotierungsstoffe für die Source-/Drain-Bereiche können alle die Dotierungsstoffe sein, die vorstehend genannt worden sind. Bei einigen Ausführungsformen können die Source-/Drain-Epitaxiebereiche 112 in situ während des Aufwachsens dotiert werden.The source / drain epitaxial regions 112 and / or the Finns 90 can be implanted with dopants to produce source / drain regions similar to the process discussed above for fabricating lightly doped source / drain regions, followed by an annealing process. The source / drain regions can have a doping concentration of approximately 10 19 cm -3 to approximately 10 21 cm -3 . The n and / or p dopants for the source / drain regions can all be the dopants mentioned above. In some embodiments, the source / drain epitaxial regions 112 be doped in situ while growing up.

Durch die Epitaxieprozesse, die zum Herstellen der Source-/Drain-Epitaxiebereiche 112 verwendet werden, haben Oberseiten der Source-/Drain-Epitaxiebereiche 112 Abschrägungen, die sich seitlich nach außen über Seitenwände der Finnen 90 hinaus ausdehnen. Bei der dargestellten Ausführungsform bewirken diese Abschrägungen eine Verschmelzung von benachbarten Source-/Drain-Epitaxiebereichen 112 ein und desselben GAA-FET, wie gezeigt ist. Bei anderen Ausführungsformen (nicht dargestellt) bleiben benachbarte Source-/Drain-Epitaxiebereiche 112 nach der Beendigung des Epitaxieprozesses getrennt.Through the epitaxial processes used to create the source / drain epitaxial regions 112 used have tops of the source / drain epitaxial regions 112 Bevels that extend laterally outwards over the side walls of the fins 90 stretch out. In the illustrated embodiment, these bevels cause adjacent source / drain epitaxial regions to merge 112 one and the same GAA FET as shown. In other embodiments (not shown), adjacent source / drain epitaxial regions remain 112 separated after the end of the epitaxial process.

In 19 wird ein erstes Zwischenschicht-Dielektrikum (ILD) 114 über der dargestellten Zwischenstruktur abgeschieden. Das erste ILD 114 kann aus einem dielektrischen Material hergestellt werden und kann mit einem geeigneten Verfahren, wie etwa CVD, plasmaunterstützte chemische Aufdampfung (PECVD) oder FCVD, abgeschieden werden. Dielektrische Materialien können Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), undotiertes Silicatglas (USG) oder dergleichen sein. Es können auch andere Isoliermaterialien verwendet werden, die mit einem geeigneten Verfahren abgeschieden werden. Bei einigen Ausführungsformen wird eine Kontakt-Ätzstoppschicht (CESL) 116 zwischen dem ersten ILD 114 und den Source-/Drain-Epitaxiebereichen 112, den Gate-Abstandshaltern 108 und den STI-Bereichen 96 abgeschieden. Die CESL 116 kann ein dielektrisches Material, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid oder dergleichen, aufweisen, das eine andere Ätzrate als das Material des darüber befindlichen ersten ILD 114 hat.In 19th becomes a first interlayer dielectric (ILD) 114 deposited over the intermediate structure shown. The first ILD 114 can be made from a dielectric material and can be deposited using a suitable method such as CVD, plasma enhanced chemical vapor deposition (PECVD) or FCVD. Dielectric materials can be phosphorus silicate glass (PSG), borosilicate glass (BSG), boron phosphorus silicate glass (BPSG), undoped silicate glass (USG) or the like. Other insulating materials that are deposited using a suitable method can also be used. In some embodiments, a contact etch stop layer (CESL) 116 between the first ILD 114 and the source / drain epitaxial regions 112 , the gate spacers 108 and the STI areas 96 deposited. The CESL 116 may include a dielectric material, such as silicon nitride, silicon oxide, silicon oxide nitride, or the like, that has a different etch rate than the material of the first ILD overlying it 114 Has.

Außerdem kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um die Oberseiten des ersten ILD 114 und der CESL 116 auf gleiche Höhe mit den Oberseiten der Dummy-Gates 102 und der Gate-Abstandshalter 108 zu bringen. Durch den Planarisierungsprozess können außerdem die Masken 104 auf den Dummy-Gates 102 und Teile der Gate-Abstandshalter 108 entlang Seitenwänden der Masken 104 entfernt werden. Nach dem Planarisierungsprozess sind die Oberseiten der Dummy-Gates 102, der Gate-Abstandshalter 108 und des ersten ILD 114 auf gleicher Höhe. Dementsprechend werden die Oberseiten der Dummy-Gates 102 durch das erste ILD 114 freigelegt.A planarization process, such as a CMP, can also be performed around the tops of the first ILD 114 and the CESL 116 level with the top of the dummy gates 102 and the gate spacer 108 bring to. The masks can also be created through the planarization process 104 on the dummy gates 102 and parts of the gate spacers 108 along side walls of the masks 104 be removed. After the planarization process, the tops are the dummy gates 102 , the gate spacer 108 and the first ILD 114 at the same height. Accordingly, the tops of the dummy gates 102 through the first ILD 114 exposed.

In 20 werden die Dummy-Gates 102 in einem oder mehreren Ätzschritten entfernt, sodass Aussparungen 118 entstehen. Teile des Dummy-Dielektrikums 100 in den Aussparungen 118 können ebenfalls entfernt werden. Bei einigen Ausführungsformen wird das Dummy-Dielektrikum 100 aus Aussparungen 118 in einem ersten Bereich eines Dies (z. B. einem Kern-Logikbereich) entfernt, und es bleibt in Aussparungen 118 in einem zweiten Bereich des Dies (z. B. einem Eingangs-/Ausgangsbereich) bestehen. Bei einigen Ausführungsformen werden die Dummy-Gates 102 mit einem anisotropen Trockenätzprozess entfernt. Der Ätzprozess kann zum Beispiel ein Trockenätzprozess unter Verwendung eines oder mehrerer Reaktionsgase sein, die selektiv die Dummy-Gates 102 ätzen, ohne das erste ILD 114 oder die Gate-Abstandshalter 108 zu ätzen. Jede Aussparung 118 legt einen Kanalbereich einer jeweiligen GAA-Struktur 92 frei. Jeder Kanalbereich ist zwischen benachbarten Paaren der Source-/Drain-Epitaxiebereiche 112 angeordnet. Während des Entfernens kann das Dummy-Dielektrikum 100 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gates 102 geätzt werden. Das Dummy-Dielektrikum 100 kann nach dem Entfernen der Dummy-Gates 102 optional entfernt werden.In 20th become the dummy gates 102 removed in one or more etching steps, leaving recesses 118 arise. Parts of the dummy dielectric 100 in the recesses 118 can also be removed. In some embodiments, the dummy dielectric 100 from recesses 118 removed in a first area of a die (e.g., a core logic area) and it remains in recesses 118 in a second area of the dies (e.g. an input / output area) consist. In some embodiments, the dummy gates 102 removed with an anisotropic dry etching process. For example, the etching process may be a dry etching process using one or more reaction gases that selectively select the dummy gates 102 etch without the first ILD 114 or the gate spacers 108 to etch. Every recess 118 defines a channel area of a respective ATM structure 92 free. Each channel region is between adjacent pairs of the source / drain epitaxial regions 112 arranged. During the removal, the dummy dielectric can 100 can be used as an etch stop layer when the dummy gates 102 be etched. The dummy dielectric 100 can after removing the dummy gates 102 optionally removed.

Nach dem Entfernen der Dummy-Gates 102 und des Dummy-Dielektrikums 100 werden entsprechende Teile der GAA-Stapel 92 entfernt. Wenn p-FETs hergestellt werden (siehe 21A), werden die zweiten Halbleiterschichten 58B aus den GAA-Stapeln 92 entfernt, sodass die ersten Halbleiterschichten 58A als die Kanalbereiche der p-FETs zurückbleiben. Wenn n-FETs hergestellt werden (siehe 21B), werden die ersten Halbleiterschichten 58A aus den GAA-Stapeln 92 entfernt, sodass die zweiten Halbleiterschichten 58B als die Kanalbereiche der n-FETs zurückbleiben. Das Entfernen kann mit einem geeigneten Ätzprozess erfolgen, wie etwa durch eine anisotrope Nassätzung, die für das gewünschte Material selektiv ist (z. B. Siliziumgermanium, wenn die ersten Halbleiterschichten 58A entfernt werden, oder Silizium, wenn die zweiten Halbleiterschichten 58B entfernt werden). Die GAA-Stapel 92 können in einem anderen Prozess als dem Prozess zum Erzeugen der Aussparungen 118 geätzt werden, oder sie können in dem gleichen Prozess geätzt werden.After removing the dummy gates 102 and the dummy dielectric 100 become corresponding parts of the ATM stack 92 away. When producing p-FETs (see 21A) , the second semiconductor layers 58B from the GAA stacks 92 removed so that the first semiconductor layers 58A than the channel regions of the p-FETs remain. When producing n-FETs (see 21B) , become the first semiconductor layers 58A from the GAA stacks 92 removed so the second semiconductor layers 58B than the channel regions of the n-FETs remain. The removal can be done with a suitable etching process, such as an anisotropic wet etching, which is selective for the desired material (e.g. silicon germanium if the first semiconductor layers 58A removed, or silicon if the second semiconductor layers 58B be removed). The GAA stack 92 can be in a process other than the process of creating the recesses 118 can be etched, or they can be etched in the same process.

In 22 werden dielektrische Gateschichten 120 und Gate-Elektroden 122 für Ersatzgates hergestellt. Die dielektrischen Gateschichten 120 werden konform in den Aussparungen 118 abgeschieden, wie etwa auf den Oberseiten und den Seitenwänden der Finnen 90 und auf den Seitenwänden der Gate-Abstandshalter 108. Die dielektrischen Gateschichten 120 können auch auf einer Oberseite des ersten ILD 114 hergestellt werden. Es ist zu beachten, dass die dielektrischen Gateschichten 120 die verbliebenen vertikalen Nanodrähte der GAA-Stapel 92 umschließen. Wenn p-FETs hergestellt werden (siehe 23A), umschließen die dielektrischen Gateschichten 120 die verbliebenen ersten Halbleiterschichten 58A und 70A (z. B. die Nanodrähte der p-GAA-FETs). Wenn n-FETs hergestellt werden (siehe 23B), umschließen die dielektrischen Gateschichten 120 die verbliebenen zweiten Halbleiterschichten 58B und 70B (z. B. die Nanodrähte der n-GAA-FETs). Bei einigen Ausführungsformen weisen die dielektrischen Gateschichten 120 Siliziumoxid, Siliziumnitrid oder Multischichten davon auf. Bei einigen Ausführungsformen weisen die dielektrischen Gateschichten 120 ein dielektrisches High-k-Material auf, und bei diesen Ausführungsformen können die dielektrischen Gateschichten 120 einen k-Wert haben, der größer als etwa 7,0 ist, und sie können ein Metalloxid oder ein Silicat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb und Kombinationen davon aufweisen. Als Herstellungsverfahren für die dielektrischen Gateschichten 120 können Molekularstrahlabscheidung (MBD), ALD, PECVD und dergleichen verwendet werden. Bei Ausführungsformen, bei denen Teile der Dummy-Dielektrika 100 in den Aussparungen 118 bestehen bleiben, weisen die dielektrischen Gateschichten 120 ein Material der Dummy-Dielektrika 100 (z. B. SiO2) auf.In 22 become dielectric gate layers 120 and gate electrodes 122 made for replacement gates. The dielectric gate layers 120 are compliant in the recesses 118 deposited, such as on the tops and sidewalls of the Finns 90 and on the side walls of the gate spacers 108 . The dielectric gate layers 120 can also be on top of the first ILD 114 getting produced. It should be noted that the gate dielectric layers 120 the remaining vertical nanowires of the ATM stacks 92 enclose. When producing p-FETs (see 23A) , enclose the dielectric gate layers 120 the remaining first semiconductor layers 58A and 70A (e.g. the nanowires of the p-GAA FETs). When producing n-FETs (see 23B) , enclose the dielectric gate layers 120 the remaining second semiconductor layers 58B and 70B (e.g. the nanowires of the n-GAA FETs). In some embodiments, the gate dielectric layers have 120 Silicon oxide, silicon nitride or multilayers thereof. In some embodiments, the gate dielectric layers have 120 a high-k dielectric material, and in these embodiments, the gate dielectric layers 120 have a k value greater than about 7.0 and can include a metal oxide or a silicate of Hf, Al, Zr, La, Mg, Ba, Ti, Pb and combinations thereof. As a manufacturing process for the dielectric gate layers 120 molecular beam deposition (MBD), ALD, PECVD and the like can be used. In embodiments where parts of the dummy dielectrics 100 in the recesses 118 remain, the dielectric gate layers have 120 a material of dummy dielectrics 100 (e.g. SiO 2 ).

Die Gate-Elektroden 122 werden über den und um die dielektrischen Gateschichten 120 abgeschieden und füllen die übrigen Teile der Aussparungen 118. Die Gate-Elektroden 122 können ein metallhaltiges Material aufweisen, wie etwa TiN, TiO, TaN, TaC, Co, Ru, Al, W oder Kombinationen davon oder Multischichten davon. Obwohl eine einschichtige Gate-Elektrode 122 dargestellt ist, kann die Gate-Elektrode 122 jede Anzahl von Deckschichten, jede Anzahl von Austrittsarbeits-Einstellschichten (die später erörtert werden) und ein Füllmaterial aufweisen. Nach dem Einfüllen der Gate-Elektroden 122 kann ein Planarisierungsprozess, wie etwa eine CMP, durchgeführt werden, um die über der Oberseite des ersten ILD 114 befindlichen überschüssigen Teile der dielektrischen Gateschichten 120 und des Materials der Gate-Elektroden 122 zu entfernen. Die verbliebenen Teile des Materials der Gate-Elektroden 122 und der dielektrischen Gateschichten 120 bilden somit Ersatzgates der resultierenden GAA-FETs. Eine einzelne Gate-Elektrode 122 und entsprechende dielektrische Gateschichten 120 können kollektiv als ein „Gatestapel“ bezeichnet werden. Jeder Gatestapel verläuft um die Nanodrähte, die durch Strukturieren der GAA-Strukturen 92 hergestellt werden.The gate electrodes 122 are over and around the dielectric gate layers 120 deposited and fill the remaining parts of the recesses 118 . The gate electrodes 122 may include a metal-containing material, such as TiN, TiO, TaN, TaC, Co, Ru, Al, W, or combinations thereof or multilayers thereof. Although a single layer gate electrode 122 is shown, the gate electrode 122 have any number of facings, any number of work function adjustment layers (to be discussed later) and a filler. After filling the gate electrodes 122 A planarization process, such as a CMP, can be performed to cover the top of the first ILD 114 excess parts of the dielectric gate layers 120 and the material of the gate electrodes 122 to remove. The remaining parts of the material of the gate electrodes 122 and the gate dielectric layers 120 thus form replacement gates of the resulting GAA-FETs. A single gate electrode 122 and corresponding gate dielectric layers 120 can be collectively called a "gate stack". Each gate stack runs around the nanowires by structuring the GAA structures 92 getting produced.

Bei einigen Ausführungsformen sind die Austrittsarbeitsschichten für n- und p-Bauelemente unterschiedlich. Wenn p-FETs hergestellt werden (siehe 23A), wird eine erste Gruppe von Austrittsarbeits-Einstellschichten 124A um jede dielektrische Gateschicht 120 hergestellt. Wenn n-FETs hergestellt werden (siehe 23B), wird eine zweite Gruppe von Austrittsarbeits-Einstellschichten 124B um jede dielektrische Gateschicht 120 hergestellt. Die erste Gruppe von Austrittsarbeits-Einstellschichten 124A weist andere Austrittsarbeitsmetalle als die zweite Gruppe von Austrittsarbeits-Einstellschichten 124B auf. Zum Beispiel kann die erste Gruppe von Austrittsarbeits-Einstellschichten 124A TiN, TaN oder Mo aufweisen, und die zweite Gruppe von Austrittsarbeits-Einstellschichten 124B kann WN, Ta oder Ti aufweisen. Die gewählten Materialien der Austrittsarbeits-Einstellschichten modifizieren die Schwellenspannungen der resultierenden GAA-FETs. Da die GAA-Strukturen 92 drei Anfangsschwellenspannungen (V1 , V2 und V3 ) haben und es zwei Gruppen von wählbaren Austrittsarbeits-Einstellschicht-Materialien (n- und p-Materialien) gibt, können die resultierenden GAA-FETs eine von sechs möglichen Schwellenspannungen haben.In some embodiments, the work function layers are different for n and p devices. When producing p-FETs (see 23A) , becomes a first group of work function adjustment layers 124A around each gate dielectric layer 120 produced. When producing n-FETs (see 23B) , becomes a second group of work function adjustment layers 124B around each gate dielectric layer 120 produced. The first group of work function adjustment layers 124A has work function metals other than the second group of work function adjustment layers 124B on. For example, the first group of work function adjustment layers 124A Have TiN, TaN or Mo, and the second group of work function adjustment layers 124B can WN, Ta or Ti have. The materials chosen for the work function adjustment layers modify the threshold voltages of the resulting GAA-FETs. Because the ATM structures 92 three initial threshold voltages ( V 1 , V 2 and V 3 ) and there are two groups of selectable work function adjustment layer materials (n and p materials), the resulting GAA-FETs can have one of six possible threshold voltages.

In 24 wird ein zweites ILD 126 über dem ersten ILD 114 abgeschieden. Bei einigen Ausführungsformen ist das zweite ILD 126 eine fließfähige Schicht, die durch fließfähige CVD hergestellt wird. Bei einigen Ausführungsformen wird das zweite ILD 126 aus einem dielektrischen Material, wie etwa PSG, BSG, BPSG, USG oder dergleichen, hergestellt und es kann mit jedem geeigneten Verfahren, wie etwa CVD und PECVD, abgeschieden werden. Bei einigen Ausführungsformen wird eine Gatemaske (nicht dargestellt) über den Gatestapeln hergestellt, bevor das zweite ILD 126 hergestellt wird.In 24th becomes a second ILD 126 above the first ILD 114 deposited. In some embodiments, the second is ILD 126 a flowable layer made by flowable CVD. In some embodiments, the second ILD 126 made of a dielectric material such as PSG, BSG, BPSG, USG or the like and can be deposited by any suitable method such as CVD and PECVD. In some embodiments, a gate mask (not shown) is formed over the gate stacks before the second ILD 126 will be produced.

Außerdem werden bei einigen Ausführungsformen Gatekontakte 128 und Source-/Drain-Kontakte 130 durch das zweite ILD 126 und das erste ILD 114 hergestellt. Durch das erste ILD 114 und das zweite ILD 126 werden Öffnungen für die Source-/Drain-Kontakte 130 erzeugt, und durch das zweite ILD 126 (und optional die Gatemaske) werden Öffnungen für den Gatekontakt 128 erzeugt. Die Öffnungen können mit geeigneten fotolithografischen und Ätzverfahren erzeugt werden. In den Öffnungen wird ein Belag, wie etwa eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, hergestellt. Der Belag kann Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen aufweisen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Cobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie etwa eine CMP, kann durchgeführt werden, um überschüssiges Material von einer Oberseite des zweiten ILD 126 zu entfernen. Der verbliebene Belag und das verbliebene leitfähige Material bilden die Source-/Drain-Kontakte 130 und die Gatekontakte 128 in den Öffnungen. Ein Temperprozess kann durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den Source-/Drain-Epitaxiebereichen 112 und den Source-/Drain-Kontakten 130 zu erzeugen. Die Source-/Drain-Kontakte 130 sind physisch und elektrisch mit den Source-/Drain-Epitaxiebereichen 112 verbunden, und die Gatekontakte 128 sind physisch und elektrisch mit den Gate-Elektroden 122 verbunden. Die Source-/Drain-Kontakte 130 und die Gatekontakte 128 können in unterschiedlichen Prozessen hergestellt werden, oder sie können in dem gleichen Prozess hergestellt werden. Obwohl dargestellt ist, dass die Source-/Drain-Kontakte 130 und die Gatekontakte 128 jeweils mit den gleichen Querschnitten hergestellt werden, dürfte wohlverstanden sein, dass sie jeweils mit unterschiedlichen Querschnitten hergestellt werden können, was ein Kurzschließen der Kontakte verhindert.In addition, in some embodiments, gate contacts 128 and source / drain contacts 130 through the second ILD 126 and the first ILD 114 produced. Through the first ILD 114 and the second ILD 126 become openings for the source / drain contacts 130 generated, and by the second ILD 126 (and optionally the gate mask) are openings for the gate contact 128 generated. The openings can be created using suitable photolithographic and etching processes. A covering, such as a diffusion barrier layer, an adhesive layer or the like, is produced in the openings. The coating can have titanium, titanium nitride, tantalum, tantalum nitride or the like. The conductive material can be copper, a copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel or the like. A planarization process, such as a CMP, can be performed to remove excess material from a top of the second ILD 126 to remove. The remaining covering and the remaining conductive material form the source / drain contacts 130 and the gate contacts 128 in the openings. An annealing process can be performed to remove a silicide at the interface between the source / drain epitaxial regions 112 and the source / drain contacts 130 to create. The source / drain contacts 130 are physical and electrical with the source / drain epitaxial regions 112 connected, and the gate contacts 128 are physical and electrical with the gate electrodes 122 connected. The source / drain contacts 130 and the gate contacts 128 can be made in different processes or they can be made in the same process. Although it is shown that the source / drain contacts 130 and the gate contacts 128 are manufactured with the same cross-sections, it should be understood that they can be manufactured with different cross-sections, which prevents the contacts from being short-circuited.

Die 25A bis 25C sind Schnittansichten, die Zwischenstufen bei der Herstellung von GAA-FETs gemäß weiteren Ausführungsformen zeigen. Wie vorstehend dargelegt worden ist, bezieht sich die Dotierungskonzentration in einem Kanalbereich auf eine mittlere Dotierungskonzentration. 25A zeigt eine Ausführungsform, bei der einige epitaxiale Materialstapel, wie etwa die epitaxialen Materialstapel 66, halb so viele Schichten wie andere Stapel umfassen, aber Schichten mit der doppelten Dicke der Schichten von anderen Stapeln haben. Die epitaxialen Materialstapel 66 von 25A haben somit die gleiche mittlere Dotierungskonzentration wie die epitaxialen Materialstapel 66 von 12. Die GAA-Strukturen in dem Bereich 508 können daher mit weniger Epitaxieschritten hergestellt werden, was die Herstellungskosten senken kann. Die 25B und 25C zeigen Schnittansichten von resultierenden GAA-FETs in dem Bereich 50B. Die p-Bauelemente (siehe 25B) und die n-Bauelemente (siehe 25C) haben beide in ihren Kanalbereichen größere Nanodrähte, die höhere Kanalströme aufnehmen können.The 25A to 25C 14 are sectional views showing intermediate stages in the manufacture of GAA-FETs according to further embodiments. As stated above, the doping concentration in a channel region relates to an average doping concentration. 25A shows an embodiment in which some epitaxial material stacks, such as the epitaxial material stacks 66 , include half as many layers as other stacks, but have layers twice the thickness of layers from other stacks. The epitaxial stacks of materials 66 from 25A thus have the same mean doping concentration as the epitaxial material stacks 66 from 12 . The ATM structures in the area 508 can therefore be manufactured with fewer epitaxial steps, which can lower the manufacturing costs. The 25B and 25C show sectional views of resulting GAA-FETs in the area 50B . The p-components (see 25B) and the n components (see 25C ) both have larger nanowires in their channel areas, which can absorb higher channel currents.

Ausführungsformen können einige Vorzüge erzielen. Durch Herstellen mehrerer epitaxialer Materialstapel mit dotierten und undotierten Bereichen können GAA-FETs mit unterschiedlichen Schwellenspannungen auf dem gleichen Substrat entstehen. Durch Verwenden von unterschiedlichen Austrittsarbeitsmaterialien für n- und p-Bauelemente kann außerdem die Anzahl von möglichen unterschiedlichen Schwellenspannungen erhöht werden.Embodiments can achieve some merits. By manufacturing multiple epitaxial material stacks with doped and undoped areas, GAA-FETs with different threshold voltages can be created on the same substrate. By using different work function materials for n and p components, the number of possible different threshold voltages can also be increased.

Bei einer Ausführungsform weist ein Verfahren die folgenden Schritte auf: Erzeugen einer ersten Aussparung und einer zweiten Aussparung in einem Substrat; Aufwachsen eines ersten epitaxialen Materialstapels in der ersten Aussparung, wobei der erste epitaxiale Materialstapel wechselnde Schichten aus einem ersten Halbleitermaterial und einem zweiten Halbleitermaterial umfasst, wobei die Schichten des ersten epitaxialen Materialstapels undotiert sind; Aufwachsen eines zweiten epitaxialen Materialstapels in der zweiten Aussparung, wobei der zweite epitaxiale Materialstapel wechselnde Schichten aus dem ersten Halbleitermaterial und dem zweiten Halbleitermaterial umfasst, wobei eine erste Teilmenge des zweiten epitaxialen Materialstapels undotiert ist und eine zweite Teilmenge des zweiten epitaxialen Materialstapels dotiert ist; Strukturieren des ersten epitaxialen Materialstapels und des zweiten epitaxialen Materialstapels, um erste Nanodrähte bzw. zweite Nanodrähte herzustellen; und Herstellen einer ersten Gatestruktur um die ersten Nanodrähte und einer zweiten Gatestruktur um die zweiten Nanodrähte.In one embodiment, a method includes the steps of: creating a first recess and a second recess in a substrate; Growing a first epitaxial material stack in the first recess, the first epitaxial material stack comprising alternating layers of a first semiconductor material and a second semiconductor material, the layers of the first epitaxial material stack being undoped; Growing a second epitaxial material stack in the second recess, the second epitaxial material stack comprising alternating layers of the first semiconductor material and the second semiconductor material, a first subset of the second epitaxial material stack being undoped and a second subset of the second epitaxial material stack being doped; Patterning the first epitaxial material stack and the second epitaxial material stack to produce first nanowires and second nanowires, respectively; and fabricating a first gate structure around the first nanowires and a second gate structure around the second nanowires.

Bei einigen Ausführungsformen des Verfahrens ist das erste Halbleitermaterial Siliziumgermanium und das zweite Halbleitermaterial ist Silizium, wobei Schichten aus Siliziumgermanium in der zweiten Teilmenge des zweiten epitaxialen Materialstapels mit einem Element der Gruppe V dotiert werden und Schichten aus Silizium in der zweiten Teilmenge des zweiten epitaxialen Materialstapels mit einem Element der Gruppe III dotiert werden. Bei einigen Ausführungsformen des Verfahrens umfasst das Herstellen der ersten Gatestruktur ein Abscheiden eines ersten Metalls um die ersten Nanodrähte, und das Herstellen der zweiten Gatestruktur umfasst ein Abscheiden eines zweiten Metalls um die zweiten Nanodrähte, wobei das zweite Metall von dem ersten Metall verschieden ist. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin Folgendes: Erzeugen einer dritten Aussparung in dem Substrat; Aufwachsen eines dritten epitaxialen Materialstapels in der dritten Aussparung, wobei der dritte epitaxiale Materialstapel wechselnde Schichten aus dem ersten Halbleitermaterial und dem zweiten Halbleitermaterial umfasst, wobei eine erste Teilmenge des dritten epitaxialen Materialstapels undotiert ist und eine zweite Teilmenge des dritten epitaxialen Materialstapels dotiert ist, wobei die zweite Teilmenge des dritten epitaxialen Materialstapels mehr Schichten als die zweite Teilmenge des zweiten epitaxialen Materialstapels umfasst; Strukturieren des dritten epitaxialen Materialstapels, um dritte Nanodrähte herzustellen; und Herstellen einer dritten Gatestruktur um die dritten Nanodrähte. Bei einigen Ausführungsformen des Verfahrens umfasst das Aufwachsen des ersten epitaxialen Materialstapels ein Aufwachsen des ersten epitaxialen Materialstapels mit einem ersten epitaxialen Aufwachsprozess. Bei einigen Ausführungsformen des Verfahrens umfasst das Aufwachsen des zweiten epitaxialen Materialstapels Folgendes: Aufwachsen der ersten Teilmenge des zweiten epitaxialen Materialstapels mit dem ersten epitaxialen Aufwachsprozess; und Aufwachsen der zweiten Teilmenge des zweiten epitaxialen Materialstapels mit einem zweiten epitaxialen Aufwachsprozess, wobei der zweite epitaxiale Aufwachsprozess von dem ersten epitaxialen Aufwachsprozess verschieden ist. Bei einigen Ausführungsformen des Verfahrens umfasst das Aufwachsen des dritten epitaxialen Materialstapels Folgendes: Aufwachsen der ersten Teilmenge des dritten epitaxialen Materialstapels mit dem ersten epitaxialen Aufwachsprozess; und Aufwachsen der zweiten Teilmenge des dritten epitaxialen Materialstapels mit dem zweiten epitaxialen Aufwachsprozess. Bei einigen Ausführungsformen des Verfahrens umfasst das Strukturieren des ersten epitaxialen Materialstapels Folgendes: Ätzen von Gräben in dem ersten epitaxialen Materialstapel, um eine erste Gate-all-around(GAA)-Struktur mit wechselnden Schichten aus dem ersten Halbleitermaterial und dem zweiten Halbleitermaterial herzustellen; Herstellen von ersten Gate-Abstandshaltern über der ersten GAA-Struktur; und Ätzen von Teilen der ersten GAA-Struktur zwischen den ersten Gate-Abstandshaltern, wobei durch das Ätzen die Schichten aus dem ersten Halbleitermaterial selektiv entfernt werden und verbliebene Schichten aus dem zweiten Halbleitermaterial die ersten Nanodrähte bilden. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Planarisieren des Substrats, sodass Oberseiten des zweiten epitaxialen Materialstapels, des ersten epitaxialen Materialstapels und des Substrats auf gleicher Höhe sind. In some embodiments of the method, the first semiconductor material is silicon germanium and the second semiconductor material is silicon, layers of silicon germanium in the second subset of the second epitaxial material stack being doped with a Group V element and layers of silicon in the second subset of the second epitaxial material stack a Group III element. In some embodiments of the method, fabricating the first gate structure includes depositing a first metal around the first nanowires, and fabricating the second gate structure includes depositing a second metal around the second nanowires, the second metal being different from the first metal. In some embodiments, the method further includes: creating a third recess in the substrate; Growing a third epitaxial material stack in the third recess, the third epitaxial material stack comprising alternating layers of the first semiconductor material and the second semiconductor material, a first subset of the third epitaxial material stack being undoped and a second subset of the third epitaxial material stack being doped, the second subset of the third epitaxial material stack comprises more layers than the second subset of the second epitaxial material stack; Patterning the third epitaxial material stack to produce third nanowires; and fabricating a third gate structure around the third nanowires. In some embodiments of the method, growing the first epitaxial material stack comprises growing the first epitaxial material stack with a first epitaxial growth process. In some embodiments of the method, growing the second epitaxial material stack comprises: growing the first subset of the second epitaxial material stack with the first epitaxial growth process; and growing the second subset of the second epitaxial material stack with a second epitaxial growth process, the second epitaxial growth process being different from the first epitaxial growth process. In some embodiments of the method, growing the third epitaxial material stack comprises: growing the first subset of the third epitaxial material stack with the first epitaxial growth process; and growing the second subset of the third epitaxial material stack with the second epitaxial growth process. In some embodiments of the method, patterning the first epitaxial material stack comprises: etching trenches in the first epitaxial material stack to produce a first gate all-around (GAA) structure with alternating layers of the first semiconductor material and the second semiconductor material; Making first gate spacers over the first ATM structure; and etching parts of the first GAA structure between the first gate spacers, the etching selectively removing the layers of the first semiconductor material and remaining layers of the second semiconductor material forming the first nanowires. In some embodiments, the method further includes planarizing the substrate so that tops of the second epitaxial material stack, the first epitaxial material stack, and the substrate are level.

Bei einer Ausführungsform weist ein Verfahren die folgenden Schritte auf: Aufwachsen eines ersten epitaxialen Materialstapels in einem Substrat, wobei der erste epitaxiale Materialstapel eine erste mittlere Dotierungskonzentration hat; Aufwachsen eines zweiten epitaxialen Materialstapels in dem Substrat, wobei der zweite epitaxiale Materialstapel eine zweite mittlere Dotierungskonzentration hat; Aufwachsen eines dritten epitaxialen Materialstapels in dem Substrat, wobei der dritte epitaxiale Materialstapel eine dritte mittlere Dotierungskonzentration hat, wobei die erste mittlere Dotierungskonzentration, die zweite mittlere Dotierungskonzentration und die dritte mittlere Dotierungskonzentration verschieden sind; Planarisieren des Substrats, sodass Oberseiten des ersten epitaxialen Materialstapels, des zweiten epitaxialen Materialstapels und des dritten epitaxialen Materialstapels auf gleicher Höhe sind; Strukturieren des ersten epitaxialen Materialstapels, des zweiten epitaxialen Materialstapels und des dritten epitaxialen Materialstapels, um erste Nanodrähte, zweite Nanodrähte bzw. dritte Nanodrähte herzustellen; und Herstellen einer ersten Gatestruktur um die ersten Nanodrähte, einer zweiten Gatestruktur um die zweiten Nanodrähte und einer dritten Gatestruktur um die dritten Nanodrähte.In one embodiment, a method has the following steps: growing a first epitaxial material stack in a substrate, the first epitaxial material stack having a first average doping concentration; Growing a second epitaxial material stack in the substrate, the second epitaxial material stack having a second average doping concentration; Growing a third epitaxial material stack in the substrate, the third epitaxial material stack having a third average doping concentration, the first average doping concentration, the second average doping concentration and the third average doping concentration being different; Planarizing the substrate so that tops of the first epitaxial material stack, the second epitaxial material stack and the third epitaxial material stack are at the same level; Structuring the first epitaxial material stack, the second epitaxial material stack and the third epitaxial material stack to produce first nanowires, second nanowires and third nanowires, respectively; and fabricating a first gate structure around the first nanowires, a second gate structure around the second nanowires, and a third gate structure around the third nanowires.

Bei einigen Ausführungsformen des Verfahrens haben der erste epitaxiale Materialstapel, der zweite epitaxiale Materialstapel und der dritte epitaxiale Materialstapel die gleiche Anzahl von Schichten. Bei einigen Ausführungsformen des Verfahrens haben der erste epitaxiale Materialstapel und der dritte epitaxiale Materialstapel eine erste Anzahl von Schichten, und der zweite epitaxiale Materialstapel hat eine zweite Anzahl von Schichten, wobei die zweite Anzahl von der ersten Anzahl verschieden ist.In some embodiments of the method, the first epitaxial material stack, the second epitaxial material stack and the third epitaxial material stack have the same number of layers. In some embodiments of the method, the first epitaxial material stack and the third epitaxial material stack have a first number of layers, and the second epitaxial material stack has a second number of layers, the second number being different from the first number.

Bei einer Ausführungsform weist eine Vorrichtung Folgendes auf: einen ersten Transistor mit ersten Nanodrähten und einer ersten Gatestruktur um die ersten Nanodrähte, wobei die ersten Nanodrähte eine erste mittlere Dotierungskonzentration haben und die erste Gatestruktur eine erste Gruppe von Austrittsarbeits-Einstellschichten umfasst; einen zweiten Transistor mit zweiten Nanodrähten und einer zweiten Gatestruktur um die zweiten Nanodrähte, wobei die zweiten Nanodrähte eine zweite mittlere Dotierungskonzentration haben, wobei die zweite mittlere Dotierungskonzentration von der ersten mittleren Dotierungskonzentration verschieden ist, und die zweite Gatestruktur die erste Gruppe von Austrittsarbeits-Einstellschichten umfasst; einen dritten Transistor mit dritten Nanodrähten und einer dritten Gatestruktur um die dritten Nanodrähte, wobei die dritten Nanodrähte die erste mittlere Dotierungskonzentration haben und die dritte Gatestruktur eine zweite Gruppe von Austrittsarbeits-Einstellschichten umfasst; und einen vierten Transistor mit vierten Nanodrähten und einer vierten Gatestruktur um die vierten Nanodrähte, wobei die vierten Nanodrähte die zweite mittlere Dotierungskonzentration haben und die vierte Gatestruktur die zweite Gruppe von Austrittsarbeits-Einstellschichten umfasst, wobei die zweite Gruppe von Austrittsarbeits-Einstellschichten von der ersten Gruppe von Austrittsarbeits-Einstellschichten verschieden ist.In one embodiment, an apparatus includes: a first transistor having first nanowires and a first gate structure around the first nanowires, the first nanowires having a first average doping concentration and the first gate structure comprising a first group of work function adjustment layers; a second transistor having second nanowires and a second gate structure around the second nanowires, the second nanowires having a second average doping concentration, the second average doping concentration different from the first average doping concentration, and the second gate structure comprising the first group of work function adjustment layers ; a third transistor having third nanowires and a third gate structure around the third nanowires, the third nanowires having the first average doping concentration and the third gate structure comprising a second group of work function adjustment layers; and a fourth transistor having fourth nanowires and a fourth gate structure around the fourth nanowires, the fourth nanowires having the second mean doping concentration and the fourth gate structure comprising the second group of work function adjustment layers, the second group of work function adjustment layers from the first group is different from work function adjustment layers.

Bei einigen Ausführungsformen der Vorrichtung haben der erste Transistor, der zweite Transistor, der dritte Transistor und der vierte Transistor jeweils die gleiche Anzahl von Nanodrähten. Bei einigen Ausführungsformen der Vorrichtung haben der erste Transistor und der dritte Transistor eine erste Anzahl von Nanodrähten, und der zweite Transistor und der vierte Transistor haben eine zweite Anzahl von Nanodrähten, wobei die zweite Anzahl von der ersten Anzahl verschieden ist. Bei einigen Ausführungsformen der Vorrichtung sind der erste Transistor und der zweite Transistor p-Gate-all-around-Feldeffekttransistoren (p-GAA-FETs), und der dritte Transistor und der vierte Transistor sind n-GAA-FETs. Bei einigen Ausführungsformen der Vorrichtung weisen die ersten Nanodrähte undotiertes Siliziumgermanium auf, eine erste Teilmenge der zweiten Nanodrähte weist undotiertes Siliziumgermanium auf, und eine zweite Teilmenge der zweiten Nanodrähte weist Siliziumgermanium auf, das mit einem Dotierungsstoff der Gruppe V dotiert ist. Bei einigen Ausführungsformen der Vorrichtung weist die erste Gruppe von Austrittsarbeits-Einstellschichten TiN, TaN oder Mo auf. Bei einigen Ausführungsformen der Vorrichtung weisen die dritten Nanodrähte undotiertes Silizium auf, eine erste Teilmenge der vierten Nanodrähte weist undotiertes Silizium auf, und eine zweite Teilmenge der vierten Nanodrähte weist Silizium auf, das mit einem Dotierungsstoff der Gruppe III dotiert ist. Bei einigen Ausführungsformen der Vorrichtung weist die zweite Gruppe von Austrittsarbeits-Einstellschichten WN, Ta oder Ti auf.In some embodiments of the device, the first transistor, the second transistor, the third transistor and the fourth transistor each have the same number of nanowires. In some embodiments of the device, the first transistor and the third transistor have a first number of nanowires, and the second transistor and the fourth transistor have a second number of nanowires, the second number being different from the first number. In some embodiments of the device, the first transistor and the second transistor are p-gate all around field effect transistors (p-GAA-FETs) and the third transistor and the fourth transistor are n-GAA-FETs. In some embodiments of the device, the first nanowires comprise undoped silicon germanium, a first subset of the second nanowires comprises undoped silicon germanium, and a second subset of the second nanowires comprises silicon germanium doped with a group V dopant. In some embodiments of the device, the first group of work function adjustment layers comprises TiN, TaN or Mo. In some embodiments of the device, the third nanowires comprise undoped silicon, a first subset of the fourth nanowires comprises undoped silicon, and a second subset of the fourth nanowires comprises silicon doped with a group III dopant. In some embodiments of the device, the second group of work function adjustment layers comprises WN, Ta or Ti.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.Features of various embodiments have been described above so that those skilled in the art can better understand the aspects of the present invention. It will be apparent to those skilled in the art that they can readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same goals and / or to achieve the same benefits as the embodiments presented herein. Those skilled in the art should also recognize that such equivalent interpretations do not depart from the spirit and scope of the present invention and that they can make various changes, substitutions and modifications here without departing from the spirit and scope of the present invention.

Claims (20)

Verfahren mit den folgenden Schritten: Erzeugen einer ersten Aussparung und einer zweiten Aussparung in einem Substrat; Aufwachsen eines ersten epitaxialen Materialstapels in der ersten Aussparung, wobei der erste epitaxiale Materialstapel wechselnde Schichten aus einem ersten Halbleitermaterial und einem zweiten Halbleitermaterial umfasst, wobei die Schichten des ersten epitaxialen Materialstapels undotiert sind; Aufwachsen eines zweiten epitaxialen Materialstapels in der zweiten Aussparung, wobei der zweite epitaxiale Materialstapel wechselnde Schichten aus dem ersten Halbleitermaterial und dem zweiten Halbleitermaterial umfasst, wobei eine erste Teilmenge des zweiten epitaxialen Materialstapels undotiert ist und eine zweite Teilmenge des zweiten epitaxialen Materialstapels dotiert ist; Strukturieren des ersten epitaxialen Materialstapels und des zweiten epitaxialen Materialstapels, um erste Nanodrähte bzw. zweite Nanodrähte herzustellen; und Herstellen einer ersten Gatestruktur um die ersten Nanodrähte und einer zweiten Gatestruktur um die zweiten Nanodrähte.Procedure with the following steps: Creating a first recess and a second recess in a substrate; Growing a first epitaxial material stack in the first recess, the first epitaxial material stack comprising alternating layers of a first semiconductor material and a second semiconductor material, the layers of the first epitaxial material stack being undoped; Growing a second epitaxial material stack in the second recess, the second epitaxial material stack comprising alternating layers of the first semiconductor material and the second semiconductor material, a first subset of the second epitaxial material stack being undoped and a second subset of the second epitaxial material stack being doped; Patterning the first epitaxial material stack and the second epitaxial material stack to produce first nanowires and second nanowires, respectively; and Fabricating a first gate structure around the first nanowires and a second gate structure around the second nanowires. Verfahren nach Anspruch 1, wobei das erste Halbleitermaterial Siliziumgermanium ist und das zweite Halbleitermaterial Silizium ist, wobei Schichten aus Siliziumgermanium in der zweiten Teilmenge des zweiten epitaxialen Materialstapels mit einem Element der Gruppe V dotiert werden und Schichten aus Silizium in der zweiten Teilmenge des zweiten epitaxialen Materialstapels mit einem Element der Gruppe III dotiert werden.Procedure according to Claim 1 , wherein the first semiconductor material is silicon germanium and the second semiconductor material is silicon, layers of silicon germanium in the second subset of the second epitaxial material stack being doped with an element from group V and layers of silicon in the second subset of the second epitaxial material stack with an element of Group III will be endowed. Verfahren nach Anspruch 1 oder 2, wobei das Herstellen der ersten Gatestruktur ein Abscheiden eines ersten Metalls um die ersten Nanodrähte umfasst und das Herstellen der zweiten Gatestruktur ein Abscheiden eines zweiten Metalls um die zweiten Nanodrähte umfasst, wobei das zweite Metall von dem ersten Metall verschieden ist.Procedure according to Claim 1 or 2nd wherein fabricating the first gate structure includes depositing a first metal around the first nanowires, and fabricating the second gate structure includes depositing a second metal around the second nanowires, the second metal different from the first metal. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin Folgendes umfasst: Erzeugen einer dritten Aussparung in dem Substrat; Aufwachsen eines dritten epitaxialen Materialstapels in der dritten Aussparung, wobei der dritte epitaxiale Materialstapel wechselnde Schichten aus dem ersten Halbleitermaterial und dem zweiten Halbleitermaterial umfasst, wobei eine erste Teilmenge des dritten epitaxialen Materialstapels undotiert ist und eine zweite Teilmenge des dritten epitaxialen Materialstapels dotiert ist, wobei die zweite Teilmenge des dritten epitaxialen Materialstapels mehr Schichten als die zweite Teilmenge des zweiten epitaxialen Materialstapels umfasst; Strukturieren des dritten epitaxialen Materialstapels, um dritte Nanodrähte herzustellen; und Herstellen einer dritten Gatestruktur um die dritten Nanodrähte.The method of any preceding claim, further comprising: creating a third recess in the substrate; Growing a third epitaxial material stack in the third recess, the third epitaxial material stack comprising alternating layers of the first semiconductor material and the second semiconductor material, a first subset of the third epitaxial material stack being undoped and a second subset of the third epitaxial material stack being doped, the second subset of the third epitaxial material stack comprises more layers than the second subset of the second epitaxial material stack; Patterning the third epitaxial material stack to produce third nanowires; and fabricating a third gate structure around the third nanowires. Verfahren nach Anspruch 4, wobei das Aufwachsen des ersten epitaxialen Materialstapels ein Aufwachsen des ersten epitaxialen Materialstapels mit einem ersten epitaxialen Aufwachsprozess umfasst.Procedure according to Claim 4 , wherein the growing up of the first epitaxial material stack comprises growing up the first epitaxial material stack with a first epitaxial growth process. Verfahren nach Anspruch 5, wobei das Aufwachsen des zweiten epitaxialen Materialstapels Folgendes umfasst: Aufwachsen der ersten Teilmenge des zweiten epitaxialen Materialstapels mit dem ersten epitaxialen Aufwachsprozess; und Aufwachsen der zweiten Teilmenge des zweiten epitaxialen Materialstapels mit einem zweiten epitaxialen Aufwachsprozess, wobei der zweite epitaxiale Aufwachsprozess von dem ersten epitaxialen Aufwachsprozess verschieden ist.Procedure according to Claim 5 wherein growing the second epitaxial stack of materials comprises: growing the first subset of the second epitaxial stack of materials with the first epitaxial growth process; and growing the second subset of the second epitaxial material stack with a second epitaxial growth process, the second epitaxial growth process being different from the first epitaxial growth process. Verfahren nach Anspruch 6, wobei das Aufwachsen des dritten epitaxialen Materialstapels Folgendes umfasst: Aufwachsen der ersten Teilmenge des dritten epitaxialen Materialstapels mit dem ersten epitaxialen Aufwachsprozess; und Aufwachsen der zweiten Teilmenge des dritten epitaxialen Materialstapels mit dem zweiten epitaxialen Aufwachsprozess.Procedure according to Claim 6 wherein growing the third epitaxial stack of materials comprises: growing the first subset of the third epitaxial stack of materials with the first epitaxial growth process; and growing the second subset of the third epitaxial material stack with the second epitaxial growth process. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Strukturieren des ersten epitaxialen Materialstapels Folgendes umfasst: Ätzen von Gräben in dem ersten epitaxialen Materialstapel, um eine erste Gate-all-around(GAA)-Struktur mit wechselnden Schichten aus dem ersten Halbleitermaterial und dem zweiten Halbleitermaterial herzustellen; Herstellen von ersten Gate-Abstandshaltern über der ersten GAA-Struktur; und Ätzen von Teilen der ersten GAA-Struktur zwischen den ersten Gate-Abstandshaltern, wobei durch das Ätzen die Schichten aus dem ersten Halbleitermaterial selektiv entfernt werden und verbliebene Schichten aus dem zweiten Halbleitermaterial die ersten Nanodrähte bilden.The method of any preceding claim, wherein structuring the first epitaxial stack of materials comprises: Etching trenches in the first epitaxial material stack to produce a first gate all-around (GAA) structure with alternating layers of the first semiconductor material and the second semiconductor material; Making first gate spacers over the first ATM structure; and Etching parts of the first GAA structure between the first gate spacers, the etching selectively removing the layers of the first semiconductor material and remaining layers of the second semiconductor material forming the first nanowires. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin ein Planarisieren des Substrats umfasst, sodass Oberseiten des zweiten epitaxialen Materialstapels, des ersten epitaxialen Materialstapels und des Substrats auf gleicher Höhe sind.The method of any preceding claim, further comprising planarizing the substrate so that tops of the second epitaxial material stack, the first epitaxial material stack, and the substrate are level. Verfahren mit den folgenden Schritten: Aufwachsen eines ersten epitaxialen Materialstapels in einem Substrat, wobei der erste epitaxiale Materialstapel eine erste mittlere Dotierungskonzentration hat; Aufwachsen eines zweiten epitaxialen Materialstapels in dem Substrat, wobei der zweite epitaxiale Materialstapel eine zweite mittlere Dotierungskonzentration hat; Aufwachsen eines dritten epitaxialen Materialstapels in dem Substrat, wobei der dritte epitaxiale Materialstapel eine dritte mittlere Dotierungskonzentration hat, wobei die erste mittlere Dotierungskonzentration, die zweite mittlere Dotierungskonzentration und die dritte mittlere Dotierungskonzentration verschieden sind; Planarisieren des Substrats, sodass Oberseiten des ersten epitaxialen Materialstapels, des zweiten epitaxialen Materialstapels und des dritten epitaxialen Materialstapels auf gleicher Höhe sind; Strukturieren des ersten epitaxialen Materialstapels, des zweiten epitaxialen Materialstapels und des dritten epitaxialen Materialstapels, um erste Nanodrähte, zweite Nanodrähte bzw. dritte Nanodrähte herzustellen; und Herstellen einer ersten Gatestruktur um die ersten Nanodrähte, einer zweiten Gatestruktur um die zweiten Nanodrähte und einer dritten Gatestruktur um die dritten Nanodrähte.Procedure with the following steps: Growing a first epitaxial material stack in a substrate, the first epitaxial material stack having a first average doping concentration; Growing a second epitaxial material stack in the substrate, the second epitaxial material stack having a second average doping concentration; Growing a third epitaxial material stack in the substrate, the third epitaxial material stack having a third average doping concentration, the first average doping concentration, the second average doping concentration and the third average doping concentration being different; Planarizing the substrate so that tops of the first epitaxial material stack, the second epitaxial material stack and the third epitaxial material stack are at the same level; Structuring the first epitaxial material stack, the second epitaxial material stack and the third epitaxial material stack to produce first nanowires, second nanowires and third nanowires, respectively; and Manufacture of a first gate structure around the first nanowires, a second gate structure around the second nanowires and a third gate structure around the third nanowires. Verfahren nach Anspruch 10, wobei der erste epitaxiale Materialstapel, der zweite epitaxiale Materialstapel und der dritte epitaxiale Materialstapel die gleiche Anzahl von umfassen.Procedure according to Claim 10 , wherein the first epitaxial material stack, the second epitaxial material stack and the third epitaxial material stack comprise the same number of. Verfahren nach Anspruch 10, wobei der erste epitaxiale Materialstapel und der dritte epitaxiale Materialstapel eine erste Anzahl von Schichten umfassen und der zweite epitaxiale Materialstapel eine zweite Anzahl von Schichten umfasst, wobei die zweite Anzahl von der ersten Anzahl verschieden ist.Procedure according to Claim 10 , wherein the first epitaxial material stack and the third epitaxial material stack comprise a first number of layers and the second epitaxial material stack comprises a second number of layers, the second number being different from the first number. Vorrichtung mit: einem ersten Transistor mit ersten Nanodrähten und einer ersten Gatestruktur um die ersten Nanodrähte, wobei die ersten Nanodrähte eine erste mittlere Dotierungskonzentration haben und die erste Gatestruktur eine erste Gruppe von Austrittsarbeits-Einstellschichten umfasst; einem zweiten Transistor mit zweiten Nanodrähten und einer zweiten Gatestruktur um die zweiten Nanodrähte, wobei die zweiten Nanodrähte eine zweite mittlere Dotierungskonzentration haben, wobei die zweite mittlere Dotierungskonzentration von der ersten mittleren Dotierungskonzentration verschieden ist, und die zweite Gatestruktur die erste Gruppe von Austrittsarbeits-Einstellschichten umfasst; einem dritten Transistor mit dritten Nanodrähten und einer dritten Gatestruktur um die dritten Nanodrähte, wobei die dritten Nanodrähte die erste mittlere Dotierungskonzentration haben und die dritte Gatestruktur eine zweite Gruppe von Austrittsarbeits-Einstellschichten umfasst; und einem vierten Transistor mit vierten Nanodrähten und einer vierten Gatestruktur um die vierten Nanodrähte, wobei die vierten Nanodrähte die zweite mittlere Dotierungskonzentration haben und die vierte Gatestruktur die zweite Gruppe von Austrittsarbeits-Einstellschichten umfasst, wobei die zweite Gruppe von Austrittsarbeits-Einstellschichten von der ersten Gruppe von Austrittsarbeits-Einstellschichten verschieden ist.An apparatus comprising: a first transistor having first nanowires and a first gate structure around the first nanowires, the first nanowires having a first average doping concentration and the first gate structure comprising a first group of work function adjustment layers; a second transistor with second nanowires and a second gate structure around the second nanowires, the second nanowires having a second average doping concentration, the second average doping concentration being different from that the first average dopant concentration is different and the second gate structure comprises the first group of work function adjustment layers; a third transistor having third nanowires and a third gate structure around the third nanowires, the third nanowires having the first average doping concentration and the third gate structure comprising a second group of work function adjustment layers; and a fourth transistor with fourth nanowires and a fourth gate structure around the fourth nanowires, the fourth nanowires having the second average doping concentration and the fourth gate structure comprising the second group of work function adjustment layers, the second group of work function adjustment layers from the first group is different from work function adjustment layers. Vorrichtung nach Anspruch 13, wobei der erste Transistor, der zweite Transistor, der dritte Transistor und der vierte Transistor jeweils die gleiche Anzahl von Nanodrähten umfassen.Device after Claim 13 , wherein the first transistor, the second transistor, the third transistor and the fourth transistor each comprise the same number of nanowires. Vorrichtung nach Anspruch 13, wobei der erste Transistor und der dritte Transistor eine erste Anzahl von Nanodrähten umfassen und der zweite Transistor und der vierte Transistor eine zweite Anzahl von Nanodrähten umfassen, wobei die zweite Anzahl von der ersten Anzahl verschieden ist.Device after Claim 13 , wherein the first transistor and the third transistor comprise a first number of nanowires and the second transistor and the fourth transistor comprise a second number of nanowires, the second number being different from the first number. Vorrichtung nach einem der Ansprüche 13 bis 15, wobei der erste Transistor und der zweite Transistor p-Gate-all-around-Feldeffekttransistoren (p-GAA-FETs) sind und der dritte Transistor und der vierte Transistor n-GAA-FETs sind.Device according to one of the Claims 13 to 15 , wherein the first transistor and the second transistor are p-gate all-around field effect transistors (p-GAA-FETs) and the third transistor and the fourth transistor are n-GAA-FETs. Vorrichtung nach einem der Ansprüche 13 bis 16, wobei die ersten Nanodrähte undotiertes Siliziumgermanium aufweisen, eine erste Teilmenge der zweiten Nanodrähte undotiertes Siliziumgermanium aufweist und eine zweite Teilmenge der zweiten Nanodrähte Siliziumgermanium aufweist, das mit einem Dotierungsstoff der Gruppe V dotiert ist.Device according to one of the Claims 13 to 16 , wherein the first nanowires have undoped silicon germanium, a first subset of the second nanowires undoped silicon germanium and a second subset of the second nanowires silicon germanium doped with a Group V dopant. Vorrichtung nach einem der Ansprüche 13 bis 17, wobei die erste Gruppe von Austrittsarbeits-Einstellschichten TiN, TaN oder Mo aufweist.Device according to one of the Claims 13 to 17th wherein the first group of work function adjustment layers comprises TiN, TaN or Mo. Vorrichtung nach einem der Ansprüche 13 bis 16, wobei die dritten Nanodrähte undotiertes Silizium aufweisen, eine erste Teilmenge der vierten Nanodrähte undotiertes Silizium aufweist und eine zweite Teilmenge der vierten Nanodrähte Silizium aufweist, das mit einem Dotierungsstoff der Gruppe III dotiert ist.Device according to one of the Claims 13 to 16 , wherein the third nanowires comprise undoped silicon, a first subset of the fourth nanowires undoped silicon and a second subset of the fourth nanowires silicon which is doped with a dopant of group III. Vorrichtung nach einem der Ansprüche 13 bis 19, wobei die zweite Gruppe von Austrittsarbeits-Einstellschichten WN, Ta oder Ti aufweist.Device according to one of the Claims 13 to 19th wherein the second group of work function adjustment layers comprises WN, Ta or Ti.
DE102019119044.4A 2018-11-30 2019-07-15 SEMICONDUCTOR COMPONENT AND METHOD Pending DE102019119044A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862773346P 2018-11-30 2018-11-30
US62/773,346 2018-11-30
US16/504,786 US11031298B2 (en) 2018-11-30 2019-07-08 Semiconductor device and method
US16/504,786 2019-07-08

Publications (1)

Publication Number Publication Date
DE102019119044A1 true DE102019119044A1 (en) 2020-06-04

Family

ID=70680924

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019119044.4A Pending DE102019119044A1 (en) 2018-11-30 2019-07-15 SEMICONDUCTOR COMPONENT AND METHOD

Country Status (1)

Country Link
DE (1) DE102019119044A1 (en)

Similar Documents

Publication Publication Date Title
DE102016100022B4 (en) Nanowire biased CMOS device and manufacturing process
DE102017114427B4 (en) Process for the production of separating elements for semiconductor structures
DE102020115120A1 (en) NANOSHEET FIELD EFFECT TRANSISTOR DEVICE AND METHOD OF MANUFACTURING IT
DE102017103419A1 (en) METHOD FOR PRODUCING A SEMICONDUCTOR DEVICE WITH SEPARATED FUSED STRUCTURE
DE102019117011B4 (en) SEMICONDUCTOR DEVICE AND PRODUCTION METHOD
DE102019111297B4 (en) Semiconductor device and method
DE102019116036B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019109857A1 (en) SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD
DE102017117793A1 (en) Method of fabricating multi-gate transistors and resulting structures
DE102020109608A1 (en) DUMMY FINS AND METHOD OF MANUFACTURING THEREOF
DE102020110754A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019113052A1 (en) SEMICONDUCTOR COMPONENT AND METHOD
DE102021100720A1 (en) CONTACTS FOR SEMICONDUCTOR DEVICES AND METHOD FOR THEIR MANUFACTURE
DE102021105733A1 (en) CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
DE102018119795A1 (en) Voltage modulation for dielectric layers
DE102020114314A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019122443A1 (en) Transistors with semiconductor stack layers as channels
DE102020120658A1 (en) Transistor gates and processes for their manufacture
DE102020115408A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020104379A1 (en) SEMICONDUCTOR COMPONENT AND PROCESS
DE102019101165A1 (en) GATE SPACER STRUCTURE AND METHOD FOR PRODUCING THE SAME
DE102021116786B4 (en) METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE
DE102022107309A1 (en) METAL GATE FIN ELECTRODE STRUCTURE AND PROCESS
DE102022100092A1 (en) GATE STRUCTURES IN TRANSISTOR DEVICES AND PROCESSES FOR THEIR MANUFACTURE
DE102020104370B4 (en) SEMICONDUCTOR DEVICE AND PRODUCTION METHOD

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication