DE102018124815A1 - FIN field effect transistor device and method - Google Patents

FIN field effect transistor device and method Download PDF

Info

Publication number
DE102018124815A1
DE102018124815A1 DE102018124815.6A DE102018124815A DE102018124815A1 DE 102018124815 A1 DE102018124815 A1 DE 102018124815A1 DE 102018124815 A DE102018124815 A DE 102018124815A DE 102018124815 A1 DE102018124815 A1 DE 102018124815A1
Authority
DE
Germany
Prior art keywords
fin
spacer layer
over
etching process
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018124815.6A
Other languages
German (de)
Other versions
DE102018124815B4 (en
Inventor
Wei-Ken LIN
Chun Te Li
Chih-Peng Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/967,295 external-priority patent/US10340384B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018124815A1 publication Critical patent/DE102018124815A1/en
Application granted granted Critical
Publication of DE102018124815B4 publication Critical patent/DE102018124815B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

Ein Verfahren weist das Bilden einer ersten Finne, die über einem Substrat herausragt, auf, wobei die erste Finne einen PMOS-Bereich aufweist; das Bilden einer ersten Gate-Struktur über der ersten Finne in dem PMOS-Bereich; das Bilden einer ersten Abstandsschicht über der ersten Finne und der ersten Gate-Struktur; und das Bilden einer zweiten Abstandsschicht über der ersten Abstandsschicht. Das Verfahren weist weiter das Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich; das Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht von der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich; und das epitaktische Aufwachsen eines ersten Source-/Drain-Materials über der ersten Finne in dem PMOS-Bereich auf, wobei sich das erste Source-/Drain-Material entlang der oberen Oberfläche und der Seitenwände der ersten Finne in dem PMOS-Bereich

Figure DE102018124815A1_0000
One method includes forming a first fin protruding above a substrate, the first fin having a PMOS region; forming a first gate structure over the first fin in the PMOS region; forming a first spacer layer over the first fin and the first gate structure; and forming a second spacer layer over the first spacer layer. The method further includes performing a first etching process to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region; performing a second etching process to remove the first spacer layer from the top surface and sidewalls of the first fin in the PMOS region; and epitaxially growing a first source / drain material over the first fin in the PMOS region, wherein the first source / drain material extends along the top surface and sidewalls of the first fin in the PMOS region
Figure DE102018124815A1_0000

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS-REFERENCE

Diese Anmeldung beansprucht die Priorität der vorläufigen U.S.-Patentanmeldung Nr. 62/592 871 , eingereicht am 30. November 2017, mit dem Titel „Fin Field-Effect Transistor Device and Method“, wobei die Anmeldung hiermit durch Verweis einbezogen wird.This application claims the priority of the provisional U.S. Patent Application No. 62 / 592,871 filed on Nov. 30, 2017, entitled "Fin Field-Effect Transistor Device and Method", the application of which is hereby incorporated by reference.

ALLGEMEINER STAND DER TECHNIKGENERAL PRIOR ART

Die Halbleiterindustrie hat schnelles Wachstum aufgrund ständiger Verbesserung der Integrationsdichte einer Vielfalt elektronischer Bauteile (zum Beispiel Transistoren, Dioden, Widerstände, Kondensatoren usw.) erfahren. Meistens bestand diese Verbesserung der Integrationsdichte aus wiederholten Verringerungen der Elementmindestgröße, die es erlaubt, mehr Bauteile in einer gegebenen Fläche zu integrieren.The semiconductor industry has experienced rapid growth due to constant improvement in the integration density of a variety of electronic components (e.g., transistors, diodes, resistors, capacitors, etc.). In most cases, this improvement in integration density has consisted of repeated reductions in the minimum element size that allows more components to be integrated in a given area.

Fin-Feldeffekttransistor-(Fin Field-Effect Transistor - FinFET)-Bauteile werden geläufig in integrierten Schaltungen verwendet. FinFET-Bauteile haben eine dreidimensionale Struktur, die eine Halbleiterfinne umfasst, die aus einem Substrat vorragt. Eine Gate-Struktur, die konfiguriert ist, um den Fluss von Ladungsträgern innerhalb eines leitenden Kanals des FinFET-Bauteils zu steuern, legt sich um die Halbleiterfinne. Bei einem Dreifach-Gate-FinFET-Bauteil legt sich die Gate-Struktur um drei Seiten der Halbleiterfinne, wodurch leitende Kanäle auf drei Seiten der Halbleiterfinne gebildet werden.Fin Field Effect Transistor (FinFET) devices are commonly used in integrated circuits. FinFET devices have a three-dimensional structure that includes a semiconductor fin protruding from a substrate. A gate structure configured to control the flow of charge carriers within a conductive channel of the FinFET device settles around the semiconductor fin. In a triple gate FinFET device, the gate structure is laid around three sides of the semiconductor fin, forming conductive channels on three sides of the semiconductor fin.

Figurenlistelist of figures

Aspekte der vorliegenden Offenbarung versteht man am besten aus der folgenden ausführlichen Beschreibung unter Heranziehung der begleitenden Figuren. Es wird betont, dass in Übereinstimmung mit der Standardpraxis der Industrie diverse Elemente nicht maßstabgerecht gezeichnet sind. Die Maße der diversen Merkmale können nämlich zur Klarheit der Besprechung willkürlich vergrößert oder verkleinert werden.

  • 1 veranschaulicht einen FinFET in einer perspektivischen Ansicht in Übereinstimmung mit einigen Ausführungsformen.
  • Die 2, 3A, 3B, 4A bis 4C, 5A bis 5F, 6A bis 6C, 7A bis 7C, 8A bis 8C, 9A bis 9C, 10A bis 10C, 11A bis 11C, 12A bis 12C und 13 bis 16 sind diverse Ansichten (zum Beispiel Draufsichten, Querschnittansichten) eines FinFET-Bauteils bei diversen Fertigungsstufen in Übereinstimmung mit einigen Ausführungsformen.
  • 17 veranschaulicht ein Ablaufdiagramm eines Verfahrens zum Bilden eines Halbleiterbauteils.
Aspects of the present disclosure are best understood from the following detailed description with reference to the accompanying figures. It is emphasized that various elements are not drawn to scale in accordance with industry standard practice. Namely, the dimensions of the various features may be arbitrarily increased or decreased for the sake of clarity of the discussion.
  • 1 FIG. 12 illustrates a FinFET in a perspective view in accordance with some embodiments. FIG.
  • The 2 . 3A . 3B . 4A to 4C . 5A to 5F . 6A to 6C . 7A to 7C . 8A to 8C . 9A to 9C . 10A to 10C . 11A to 11C . 12A to 12C and 13 to 16 FIGS. 10 are various views (eg, plan views, cross-sectional views) of a FinFET device at various stages of fabrication in accordance with some embodiments.
  • 17 FIG. 12 illustrates a flowchart of a method of forming a semiconductor device. FIG.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Umsetzen unterschiedlicher Merkmale der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es sind dies natürlich nur Beispiele, und sie bezwecken nicht, einschränkend zu sein. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen aufweisen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen aufweisen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal eventuell nicht in direktem Kontakt sind.The following disclosure provides many different embodiments or examples for implementing various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are just examples, of course, and they are not intended to be limiting. Forming a first feature over or on a second feature in the following description, for example, may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features are interposed between the first and second features second feature may be formed so that the first and the second feature may not be in direct contact.

Weiter können räumliche Bezugsbegriffe, wie „unterhalb“, „unter“, „niedriger“, „oberhalb“, „ober“ und dergleichen hier zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Merkmals oder Merkmals zu einem oder mehreren anderen Merkmalen oder Merkmalen, wie sie in den Figuren veranschaulicht sind, zu beschreiben. Die räumlichen Bezugsbegriffe können bezwecken, unterschiedliche Ausrichtungen des Bauteils beim Gebrauch oder Betrieb zusätzlich zu der Ausrichtung, die in den Figuren abgebildet ist, einzuschließen. Das Gerät kann anders ausgerichtet sein (um 90 Grad gedreht oder an andere Ausrichtungen), und die räumlichen Bezugsdeskriptoren, die hier verwendet werden, werden entsprechend ausgelegt.Further, spatial reference terms such as "below," "below," "lower," "above," "upper," and the like may be used herein to facilitate the description of the relationship of one feature or feature to one or more other features or features to describe how they are illustrated in the figures. The spatial terms may be intended to include different orientations of the component in use or operation in addition to the orientation depicted in the figures. The device may be oriented differently (rotated 90 degrees or to other orientations), and the spatial reference descriptors used herein will be interpreted accordingly.

1 veranschaulicht ein Beispiel eines FinFET 30 in einer perspektivischen Ansicht. Der FinFET 30 weist Substrat 50 und eine Finne 64, die über dem Substrat 50 vorragt, auf. Das Substrat 50 weist Isolationsbereiche 62 auf, und die Finne 64 ragt darüber und zwischen benachbarten Isolationsbereichen 62 vor. Ein Gatedielektrikum 66 liegt entlang von Seitenwänden und über einer oberen Oberfläche der Finne 64, und ein Gate 68 liegt über dem Gate-Dielektrikum 66. Source-/Drainbereiche 80 liegen in der Finne auf gegenüberliegenden Seiten des Gates 68. 1 veranschaulicht weiter Referenzquerschnitte, die in späteren Figuren verwendet werden. Der Querschnitt B-B erstreckt sich entlang einer Längsachse des Gates 68 des FinFET 30. Der Querschnitt A-A ist senkrecht zu dem Querschnitt B-B und verläuft entlang einer Längsachse der Finne 64 und in eine Richtung zum Beispiel eines Stromflusses zwischen den Source-/Drain-Bereichen 80. Der Querschnitt C-C ist parallel zu dem Querschnitt B-B und verläuft über einem Source-/Drain-Bereich 80 des FinFET 30. Darauffolgende Figuren verweisen zur Klarheit auf diese Referenzquerschnitte. 1 illustrates an example of a FinFET 30 in a perspective view. The FinFET 30 has substrate 50 and a Finn 64 that over the substrate 50 protrudes, up. The substrate 50 has isolation areas 62 on, and the Finn 64 protrudes above and between adjacent isolation areas 62 in front. A gate dielectric 66 lies along sidewalls and over an upper surface of the fin 64 , and a gate 68 is above the gate dielectric 66 , Source / drain regions 80 lie in the fin on opposite sides of the gate 68 , 1 further illustrates reference cross sections used in later figures. The cross section BB extends along a longitudinal axis of the gate 68 of the FinFET 30 , The cross section AA is perpendicular to the cross section BB and runs along a longitudinal axis of the fin 64 and in one direction, for example, a current flow between the Source / drain regions 80 , The cross section CC is parallel to the cross section BB and passes over a source / drain region 80 of the FinFET 30. Subsequent figures refer to these reference cross sections for clarity.

Die 2, 3A, 3B, 4A bis 4C, 5A bis 5F, 6A bis 6C, 7A bis 7C, 8A bis 8C, 9A bis 9C, 10A bis 10C, 11A bis 11C, 12A bis 12C und 13 bis 16 sind diverse Ansichten (zum Beispiel Draufsichten, Querschnittansichten) eines FinFET-Bauteils 100 bei diversen Fertigungsstufen in Übereinstimmung mit einer Ausführungsform. Das FinFET-Bauteil 100 ist dem FinFET 30 in 1 ähnlich, aber mit mehreren Finnen.The 2 . 3A . 3B . 4A to 4C . 5A to 5F . 6A to 6C . 7A to 7C . 8A to 8C . 9A to 9C . 10A to 10C . 11A to 11C . 12A to 12C and 13 to 16 are various views (eg, plan views, cross-sectional views) of a FinFET device 100 at various stages of manufacture in accordance with one embodiment. The FinFET device 100 is the FinFET 30 in 1 similar, but with several Finns.

2 veranschaulicht eine Draufsicht eines Substrats 50, das zum Fertigen des FinFET-Bauteils 100 verwendet wird. Das Substrat 50 kann ein Halbleitersubstrat sein, wie ein Bulk-Halbleiter, ein Halbleiter-auf-Isolator-(Semiconductor-On-Insulator - SOI)-Substrat oder dergleichen, das dotiert (zum Beispiel mit einem P-Typ- oder einem N-Typ-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer, wie ein Siliziumwafer, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet ist. Die Isolatorschicht kann zum Beispiel eine eingelassene Oxidschicht (Buried Oxid - BOX), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat vorgesehen, typischerweise auf einem Silizium- oder Glassubstrat. Andere Substrate, wie ein mehrschichtiges oder Gradient-Substrat, können auch verwendet werden. Bei einigen Ausführungsformen kann das Halbleitersubstrat 50 Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Gallium-Arsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid aufweist; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP aufweist, oder Kombinationen davon aufweisen. 2 illustrates a plan view of a substrate 50 which is used to fabricate the FinFET device 100 is used. The substrate 50 may be a semiconductor substrate such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like doped (for example, with a P-type or N-type dopant ) or undotated. The substrate 50 may be a wafer, such as a silicon wafer. In general, an SOI substrate comprises a layer of semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide (BOX) oxide layer, a silicon oxide layer, or the like. The insulator layer is provided on a substrate, typically on a silicon or glass substrate. Other substrates, such as a multilayer or gradient substrate, may also be used. In some embodiments, the semiconductor substrate 50 Silicon; germanium; a compound semiconductor comprising silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; an alloy semiconductor comprising SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP, or combinations thereof.

Wie in 2 veranschaulicht, weist das Substrat 50 einen ersten Abschnitt in einem Bereich 200 und einen zweiten Abschnitt in einem Bereich 300 auf. Der erste Abschnitt des Substrats 50 in dem Bereich 200 kann zum Bilden von N-Typ-Bauteilen, wie N-Typ-Metalloxidhalbleiter-Feldeffekttransistoren (Metal-Oxide-Semiconductor Field-Effect Transistors - MOSFETs) verwendet werden, und der zweite Abschnitt des Substrats 50 in dem Bereich 300 kann zum Bilden von P-Typ-Bauteilen, wie P-Typ-MOSFETs, verwendet werden. Der Bereich 200 kann folglich ein NMOS-Bereich des Substrats 50 genannt werden, und der Bereich 300 kann ein PMOS-Bereich des Substrats 50 genannt werden. Bei anderen Ausführungsformen werden P-Typ-Bauteile (oder N-Typ-Bauteile) sowohl in dem Bereich 200 als auch in dem Bereich 300 gebildet.As in 2 illustrates the substrate 50 a first section in an area 200 and a second section in an area 300 on. The first section of the substrate 50 in that area 200 can be used to form N-type devices, such as N-type Metal Oxide Semiconductor Field Effect Transistors (MOSFETs), and the second portion of the substrate 50 in that area 300 can be used to form P-type devices such as P-type MOSFETs. The area 200 may thus be an NMOS region of the substrate 50 be called, and the area 300 may be a PMOS region of the substrate 50 to be named. In other embodiments, P-type devices (or N-type devices) will both be in the range 200 as well as in the field 300 educated.

3A veranschaulicht das Substrat 50 in 2 mit einem oberen Abschnitt des Substrats 50 in dem Bereich 300, der durch ein Halbleitermaterial 50A ersetzt wird. 3B veranschaulicht eine Querschnittansicht der Struktur in 3A entlang des Querschnitts D-D. 3A illustrates the substrate 50 in 2 with an upper portion of the substrate 50 in that area 300 by a semiconductor material 50A is replaced. 3B FIG. 12 illustrates a cross-sectional view of the structure in FIG 3A along the cross section DD ,

Unter Bezugnahme auf die 3A und 3B wird ein Abschnitt des Substrats 50 in dem Bereich 300 mit dem Halbleitermaterial 50A, wie mit einem epitaktischen Halbleitermaterial, das für das Bilden eines entsprechenden Bauteiltyps (zum Beispiel eines Bauteils P-Typ-Bauteil) in dem Bereich 300 geeignet ist, ersetzt. Das Halbleitermaterial 50A kann zum Beispiel epitaktisch aufgewachsenes Silizium-Germanium sein oder dieses umfassen. Zum Bilden des Halbleitermaterials 50A wird eine Maskenschicht (nicht gezeigt), die eine lichtempfindliche Schicht, wie ein Fotolack sein kann, über dem Substrat 50 unter Verwenden chemischer Gasphasenabscheidung (Chemical Vapor Deposition - CVD), physikalischer Gasphasenabscheidung (Physical Vapor Deposition - PVD), Rotationsbeschichten oder einem anderen zweckdienlichen Abscheidungsverfahren gebildet. Die Maskenschicht wird dann zum Beispiel unter Verwenden von Fotolithografie- und/oder Strukturierungstechniken strukturiert. Die strukturierte Maskenschicht bedeckt den Bereich 200, legt aber den Bereich 300 frei. Ein freigelegter Abschnitt des Substrats 50 in dem Bereich 300 wird dann durch einen zweckdienlichen Ätzprozess, wie reaktives Ionenätzen (Reactive Ion Etch - RIE), Neutralstrahlätzen (Neutral Beam Etch - NBE) oder eine Kombination davon entfernt, um eine Vertiefung (nicht gezeigt) in dem Bereich 300 zu bilden.With reference to the 3A and 3B becomes a section of the substrate 50 in that area 300 with the semiconductor material 50A as with a semiconductor epitaxial material suitable for forming a corresponding device type (eg, a P-type device device) in the region 300 is suitable replaced. The semiconductor material 50A For example, it may be or include epitaxially grown silicon germanium. For forming the semiconductor material 50A For example, a mask layer (not shown), which may be a photosensitive layer, such as a photoresist, over the substrate 50 using chemical vapor deposition (CVD), physical vapor deposition (PVD), spin coating, or other suitable deposition method. The mask layer is then patterned using, for example, photolithography and / or patterning techniques. The structured mask layer covers the area 200 , but sets the area 300 free. An exposed section of the substrate 50 in that area 300 is then removed by a convenient etch process, such as Reactive Ion Etch (RIE), Neutral Beam Etching (NBE), or a combination thereof, around a depression (not shown) in the region 300 to build.

Danach wird eine Epitaxie ausgeführt, um das Halbleitermaterial 50A in den Vertiefungen des Bereichs 300 aufzuwachsen. Das epitaktisch aufgewachsene Halbleitermaterial 50A kann in situ während des Aufwachsens dotiert werden, was die Notwendigkeit vorausgehender und anschließender Implantationen umgehen kann, obwohl In-Situ- und Implantationsdotieren gemeinsam verwendet werden können. Nach der Epitaxie kann die Maskenschicht durch einen zweckdienlichen Entfernungsprozess, wie Ätzen oder Plasmaveraschen, entfernt werden. Ein Planarisierungsprozess, wie ein chemisch-mechanisches Polieren (Chemical Mechanical Polishing - CMP) kann dann ausgeführt werden, um die obere Oberfläche des Halbleitermaterials 50A mit der oberen Oberfläche des Substrats 50 abzugleichen. 3B zeigt eine Schnittfläche 63 zwischen dem Halbleitermaterial 50A und dem Substrat 50, die, wie in 3B veranschaulicht, eine gerade Linie sein kann oder nicht.Thereafter, an epitaxy is performed to the semiconductor material 50A in the wells of the area 300 grow up. The epitaxially grown semiconductor material 50A can be doped in situ during growth, which may obviate the need for prior and subsequent implantation, although in situ and implant doping can be shared. After epitaxy, the masking layer may be removed by a convenient removal process, such as etching or plasma ashing. A planarization process, such as chemical mechanical polishing (CMP), may then be performed to form the top surface of the semiconductor material 50A with the upper surface of the substrate 50 match. 3B shows a cut surface 63 between the semiconductor material 50A and the substrate 50 that, as in 3B illustrated, may or may not be a straight line.

Optional kann eine andere strukturierte Maskenschicht (nicht gezeigt) gebildet werden, um den Bereich 300 abzudecken, während der Bereich 200 freigelegt wird, und ein freigelegter Abschnitt des Substrats 50 in dem Bereich 200 kann entfernt und mit einem epitaktisch aufgewachsenen Halbleitermaterial 50B, das in 3B gestrichelt veranschaulicht ist, ersetzt werden. Eine Schnittfläche 63' kann zwischen dem Halbleitermaterial 50B (falls es gebildet wird) und dem Substrat 50 gebildet werden. Das Halbleitermaterial 50B kann ein epitaktisches Halbleitermaterial, das zum Bilden eines entsprechenden Bauteiltyps (zum Beispiel eines N-Typ-Bauteils) in dem Bereich 200 geeignet ist, sein oder umfassen. Das Halbleitermaterial 50B kann zum Beispiel epitaktisch aufgewachsenes Siliziumkarbid sein oder dieses umfassen. Optionally, another patterned mask layer (not shown) may be formed around the region 300 cover while the area 200 is exposed, and an exposed portion of the substrate 50 in that area 200 can be removed and with an epitaxially grown semiconductor material 50B , this in 3B dashed lines, to be replaced. A cut surface 63 ' can be between the semiconductor material 50B (if it is formed) and the substrate 50 be formed. The semiconductor material 50B For example, an epitaxial semiconductor material that is capable of forming a corresponding device type (eg, an N-type device) in the region 200 is suitable, be or include. The semiconductor material 50B For example, it may be or include epitaxially grown silicon carbide.

Bei anderen Ausführungsformen ersetzt das Halbleitermaterial 50B (zum Beispiel ein epitaktisches Halbleitermaterial) einen Abschnitt des Substrats 50 in dem Bereich 200, und ein Abschnitt des Substrats 50 in dem Bereich 300 kann optional mit dem Halbleitermaterial 50A (zum Beispiel einem epitaktischen Halbleitermaterial) ersetzt werden. Bei noch anderen Ausführungsformen werden die oben beschriebenen epitaktischen Halbleitermaterialien (zum Beispiel 50A und 50B) nicht gebildet, so dass das Verarbeiten, das in den 3A und 3B veranschaulicht ist, weggelassen werden kann. Die folgende Besprechung verwendet eine Ausführungsformkonfiguration für das Substrat 50, bei der das Halbleitermaterial 50A in dem Bereich 300 gebildet wird, und das Halbleitermaterial 50B in dem Bereich 200 nicht gebildet wird, wobei man verstehen soll, dass das Verarbeiten, das in der vorliegenden Offenbarung veranschaulicht ist, auch an andere Substratkonfigurationen wie die beschriebenen, angewandt werden kann. In der folgenden Besprechung wird Substrat 51 verwendet, um auf das Substrat 50 und die Halbleitermaterialien 50A/50B, falls sie gebildet werden, zu verweisen.In other embodiments, the semiconductor material replaces 50B (For example, an epitaxial semiconductor material) a portion of the substrate 50 in that area 200 , and a portion of the substrate 50 in that area 300 can be optional with the semiconductor material 50A (For example, an epitaxial semiconductor material) are replaced. In yet other embodiments, the epitaxial semiconductor materials described above (for example 50A and 50B ), so that the processing in the 3A and 3B is illustrated, can be omitted. The following discussion uses an embodiment configuration for the substrate 50 in which the semiconductor material 50A in that area 300 is formed, and the semiconductor material 50B in that area 200 is not formed, it being understood that the processing illustrated in the present disclosure can also be applied to other substrate configurations such as those described. In the following discussion becomes substrate 51 used to on the substrate 50 and the semiconductor materials 50A / 50B if they are made to reference.

Die Halbleitermaterialien 50A oder 50B (zum Beispiel epitaktische Halbleitermaterialien) können eine Gitterkonstante aufweisen, die größer als, im Wesentlichen gleich wie oder kleiner ist als die Gitterkonstante des Substrats 50. Die Gitterkonstante der Halbleitermaterialien 50A oder 50B wird durch das bzw. die Materialien bestimmt, die von den Leitfähigkeitstypen (zum Beispiel N-Typ oder P-Typ) der resultierenden FinFETs ausgewählt werden. Weiter kann es vorteilhaft sein, ein Material epitaktisch in einem NMOS-Bereich, der von dem Material in einem PMOS-Bereich unterschiedlich ist, aufzuwachsen. Bei diversen Ausführungsformen können die Halbleitermaterialien (zum Beispiel 50A, 50B) Silizium-Germanium, Siliziumkarbid, reines oder im Wesentlichen reines Germanium, einen III-V-Verbindungshalbleiter, einen II-VI-Verbindungshalbleiter oder dergleichen umfassen. Zum Beispiel weisen die verfügbaren Materialien zum Bilden eines III-V-Verbindungshalbleiters, ohne darauf beschränkt zu sein, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen auf.The semiconductor materials 50A or 50B (For example, epitaxial semiconductor materials) may have a lattice constant which is greater than, substantially equal to or less than the lattice constant of the substrate 50 , The lattice constant of the semiconductor materials 50A or 50B is determined by the material (s) selected from the conductivity types (eg, N-type or P-type) of the resulting FinFETs. Further, it may be advantageous to epitaxially grow a material in an NMOS region that is different than the material in a PMOS region. In various embodiments, the semiconductor materials (for example 50A . 50B ) Silicon germanium, silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, an II-VI compound semiconductor or the like. For example, the available materials for forming a III-V compound semiconductor include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

Anschließend, wie in den 4A bis 4C veranschaulicht, wird das Substrat 51 strukturiert, um Halbleiterfinnen 64 (auch Finnen genannt) zu bilden. 4A veranschaulicht eine Draufsicht des FinFET-Bauteils 100, nachdem die Finnen 64 gebildet wurden. Die 4B und 4C veranschaulichen Querschnittansichten des FinFET-Bauteils 100 in 4A jeweils entlang Querschnitten F-F und E-E. Wie in 4A veranschaulicht, umfasst jede Finne 64 einen Abschnitt 64A in dem Bereich 200 und einen Abschnitt 64B in dem Bereich 300. Der Abschnitt 64A und der Abschnitt 64B können in einem selben Verarbeitungsschritt (zum Beispiel einem selben Strukturierungsprozess) gebildet werden, wofür Details weiter unten unter Bezugnahme auf die 4B und 4C beschrieben sind.Then, as in the 4A to 4C illustrates, the substrate becomes 51 structured to semiconductor fins 64 (also called Finns) to form. 4A illustrates a top view of the FinFET device 100 after the Finns 64 were formed. The 4B and 4C illustrate cross-sectional views of the FinFET device 100 in 4A each along cross sections FF and EE , As in 4A illustrated, includes each fin 64 a section 64A in that area 200 and a section 64B in that area 300 , The section 64A and the section 64B can be formed in a same processing step (for example, a same patterning process), details of which are described below with reference to FIGS 4B and 4C are described.

Unter Bezugnahme auf die 4B und 4C wird das Substrat 51 zum Beispiel unter Verwenden von Lithografie- und Ätztechniken strukturiert. Zum Beispiel wird eine Maskenschicht, wie eine Pad-Oxidschicht (nicht gezeigt) und eine darüberliegende Pad-Nitridschicht (nicht gezeigt), über dem Substrat 51 gebildet. Die Pad-Oxidschicht kann ein Dünnfilm sein, der Siliziumoxid umfasst, das zum Beispiel unter Verwenden eines thermischen Oxidationsprozesses gebildet wird. Die Pat-Oxidschicht kann als eine Haftschicht zwischen dem Substrat 51 und der darüber liegenden Pad-Nitridschicht wirken. Bei einigen Ausführungsformen wird die Pad-Nitridschicht aus Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid, Siliziumcarbonitrid oder dergleichen oder aus einer Kombination davon gebildet, und kann unter Verwenden von chemischer Niederdruck-Gasphasenabscheidung (Low-Pressure Chemical Vapor Deposition- LPCVD) oder plasmaverstärkter chemischer Gasphasenabscheidung (Plasma Enhanced Chemical Vapor Deposition - PECVD) als Beispiele gebildet werden.With reference to the 4B and 4C becomes the substrate 51 structured using lithography and etching techniques, for example. For example, a mask layer, such as a pad oxide layer (not shown) and an overlying pad nitride layer (not shown), is deposited over the substrate 51 educated. The pad oxide layer may be a thin film comprising silicon oxide formed using, for example, a thermal oxidation process. The pat oxide layer may act as an adhesive layer between the substrate 51 and the overlying pad nitride layer act. In some embodiments, the pad nitride layer is formed of silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, or the like, or a combination thereof, and may be formed using low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (plasma Enhanced Chemical Vapor Deposition - PECVD) can be formed as examples.

Die Maskenschicht kann unter Verwenden von Fotolithografietechniken strukturiert werden. Im Allgemeinen setzen Fotolithografietechniken einen Fotolack (nicht gezeigt) ein, der abgeschieden, bestrahlt (belichtet) und entwickelt wird, um einen Abschnitt des Fotolacks zu entfernen. Der verbleibende Fotolack schützt das darunterliegende Material, wie bei diesem Beispiel die Maskenschicht, vor darauffolgenden Verarbeitungsschritten, wie Ätzen. Bei diesem Beispiel wird der Fotolack verwendet, um die Pad-Oxidschicht und die Pad-Nitridschicht zu strukturieren, um eine strukturierte Maske 58 zu bilden. Wie in den 4B und 4C veranschaulicht, weist die strukturierte Maske 58 strukturiertes Pad-Oxid 52 und strukturiertes Pad-Nitrid 56 auf.The mask layer may be patterned using photolithography techniques. In general, photolithography techniques employ a photoresist (not shown) which is deposited, irradiated (exposed), and developed to remove a portion of the photoresist. The remaining photoresist protects the underlying material, as in this example the mask layer, before subsequent processing steps, such as etching. In this example, the photoresist is used to pattern the pad oxide layer and the pad nitride layer to form a patterned mask 58 to build. As in the 4B and 4C illustrates the structured mask 58 structured pad oxide 52 and structured pad nitride 56 on.

Die strukturierte Maske 58 wird anschließend verwendet, um das Substrat 51 zu strukturieren, um Gräben 61 zu bilden, wodurch Halbleiterfinnen 64 zwischen benachbarten Gräben, wie in den 4B und 4C veranschaulicht, definiert werden. Jede Halbleiterfinne 64 hat einen Abschnitt 64A (siehe 4A) in dem Bereich 200 (zum Beispiel in einem NMOS-Bereich) und einen Abschnitt 64B (siehe 4A) in dem Bereich 300 (zum Beispiel in einem PMOS-Bereich). Der Abschnitt 64A kann verwendet werden, um zum Beispiel einen N-Typ-FinFET zu bilden, und der Abschnitt 64B kann verwendet werden, um zum Beispiel einen P-Typ-FinFET zu bilden. Bei der folgenden Besprechung kann der Abschnitt 64A der Finne 64 Finne 64A genannt werden, und der Abschnitt 64B der Finne 64 kann eine Finne 64B genannt werden.The textured mask 58 is subsequently used to the substrate 51 to structure around trenches 61 to form, thereby semiconductor fines 64 between adjacent trenches, as in the 4B and 4C to be defined. Every semiconductor fin 64 has a section 64A (please refer 4A) in that area 200 (for example in an NMOS area) and a section 64B (please refer 4A) in that area 300 (for example in a PMOS area). The section 64A can be used to form, for example, an N-type FinFET, and the section 64B can be used to form, for example, a P-type FinFET. At the following meeting, the section 64A the Finnish man 64 fin 64A be called, and the section 64B the Finnish man 64 can a Finn 64B to be named.

Bei einigen Ausführungsformen werden die Halbleiterfinnen 64 durch Ätzen von Gräben in dem Substrat 51 zum Beispiel unter Verwenden reaktiven Ionenätzens (Reactive Ion Etch - RIE), Neutralstrahlätzen (Neutral Beam Etch - NBE), dergleichen oder einer Kombination davon gebildet werden. Das Ätzen kann anisotrop sein. Bei einigen Ausführungsformen können die Gräben Streifen (in Draufsicht), die zueinander parallel und eng zueinander beabstandet sind, sein. Bei einigen Ausführungsformen können die Gräben kontinuierlich sein und die Halbleiterfinnen 64 umgeben.In some embodiments, the semiconductor fins become 64 by etching trenches in the substrate 51 for example, using Reactive Ion Etch (RIE), Neutral Beam Etch (NBE), the like, or a combination thereof. The etching can be anisotropic. In some embodiments, the trenches may be strips (in plan view) spaced parallel and closely spaced from one another. In some embodiments, the trenches may be continuous and the semiconductor fins 64 surround.

Die Finnen 64 können durch ein beliebiges zweckdienliches Verfahren strukturiert werden. Die Finnen 64 können zum Beispiel unter Verwenden eines oder mehrerer fotolitografischer Prozesse, darunter Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse, strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Fotolithografie und selbsttätig ausgerichtete Prozesse, die es erlauben, Strukturen zu schaffen, die zum Beispiel Abstände kleiner als die haben, die man anderenfalls unter Verwenden eines einzigen direkten Fotolithografieprozesses erhalten kann. Bei einer Ausführungsform wird zum Beispiel eine Opferschicht über einem Substrat gebildet und unter Verwenden eines Fotolithografieprozesses strukturiert. Abstandhalter werden entlang der strukturierten Opferschicht unter Verwenden eines sich selbsttätig ausrichtenden Prozesses gebildet. Die Opferschicht wird dann entfernt, und die restlichen Abstandhalter oder Dorne können dann verwendet werden, um die Finnen zu strukturieren.Finns 64 can be structured by any convenient method. Finns 64 For example, they can be patterned using one or more photolithographic processes, including double structuring or multiple structuring processes. In general, dual structuring or multiple structuring processes combine photolithography and self-aligned processes that allow structures to be created that, for example, have distances smaller than those that can otherwise be obtained using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed along the patterned sacrificial layer using a self-aligning process. The sacrificial layer is then removed, and the remaining spacers or mandrels can then be used to pattern the fins.

Wie erwähnt, wird ein oberer Abschnitt des Substrats 50 in dem Bereich 300 durch das Halbleitermaterial 50A ersetzt. In Abhängigkeit davon, wo der Grund der Gräben 61 zu der Schnittfläche 63 zwischen dem Halbleitermaterial 50A und dem Substrat 50 (zum Beispiel an der Schnittfläche 63, über der Schnittfläche 63 oder unter der Schnittfläche 63) liegt, können die Finnen 64B folglich ein oder mehrere Materialien aufweisen. Bei dem Beispiel der 4C erstreckt sich der Grund der Gräben 61 unter der Schnittfläche 63, und die Finne 64B hat folglich einen ersten Abschnitt über der Schnittfläche 63, der aus dem Halbleitermaterial 50A (zum Beispiel Silizium-Germanium) gebildet ist, und einen zweiten Abschnitt unter der Schnittfläche 63, der aus dem Material (zum Beispiel Silizium) des Substrats 50 gebildet ist. Die Finne 64A wird vollständig aus dem Material (zum Beispiel Silizium) des Substrats 50 in dem veranschaulichten Beispiel der 4B gebildet. Bei anderen Ausführungsformen erstreckt sich der Grund der Gräben 61 über oder an der Schnittfläche 63, und die Finne 64B ist folglich vollständig aus dem Halbleitermaterial 50A (zum Beispiel Silizium-Germanium) gebildet, und die Finne 64A ist vollständig aus dem Material (zum Beispiel Silizium) des Substrats 50 gebildet.As mentioned, an upper portion of the substrate becomes 50 in that area 300 through the semiconductor material 50A replaced. Depending on where the bottom of the trenches 61 to the cut surface 63 between the semiconductor material 50A and the substrate 50 (For example, at the interface 63 , above the cut surface 63 or below the cut surface 63 ), the Finns can 64B thus having one or more materials. In the example of 4C The bottom of the trenches extends 61 under the cut surface 63 , and the Finn 64B thus has a first section over the cut surface 63 made of the semiconductor material 50A (For example, silicon germanium) is formed, and a second section below the cut surface 63 made of the material (for example silicon) of the substrate 50 is formed. The Finn 64A is completely made of the material (for example silicon) of the substrate 50 in the illustrated example of 4B educated. In other embodiments, the bottom of the trenches extends 61 above or at the cut surface 63 , and the Finn 64B is therefore completely made of the semiconductor material 50A (for example, silicon germanium) formed, and the fin 64A is completely made of the material (for example silicon) of the substrate 50 educated.

Variationen der Struktur und des Bildungsverfahrens der Finnen 64 sind möglich und gelten als vollständig innerhalb des Schutzbereichs der vorliegenden Offenbarung enthalten. Obwohl die 4A bis 4C das Bilden von zwei Finnen 64 veranschaulichen, können mehr oder weniger als zwei Finnen gebildet werden. Als ein anderes Beispiel können die Finnen 64 durch Ätzen des Substrats 50 gebildet werden, um eine erste Mehrzahl von Finnen (von welchen mindestens Abschnitte entfernt und bei darauf folgender Verarbeitung ersetzt werden) zu bilden, das Bilden eines Isolationsmaterials um die erste Mehrzahl von Finnen, Entfernen von Abschnitten (zum Beispiel abschnitten in dem Bereich 300) der ersten Mehrzahl von Finnen zum Bilden von Vertiefungen in dem Isolationsmaterial, und epitaktisches Aufwachsen von Halbleitermaterial(ien) in den Vertiefungen zum Bilden der Finnen 64 gebildet werden.Variations in the structure and method of education of the Finns 64 are possible and are considered to be fully within the scope of the present disclosure. Although the 4A to 4C making two Finns 64 illustrate, more or less than two fins can be formed. As another example, the Finns 64 by etching the substrate 50 to form a first plurality of fins (at least portions of which are removed and replaced in subsequent processing), forming an insulating material around the first plurality of fins, removing portions (for example, portions in the region 300 ) of the first plurality of fins for forming recesses in the insulating material, and epitaxially growing semiconductor material (s) in the recesses to form the fins 64 be formed.

Wie in den 5A bis 5F veranschaulicht, werden danach Isolationsbereiche 62 über dem Substrat 50 und auf gegenüberliegenden Seiten der Finnen 64 gebildet, und Dummy-Gate-Strukturen 75 (zum Beispiel 75A und 75B) werden über den Finnen 64 gebildet. 5A ist eine Draufsicht des FinFET-Bauteils 100, und die 5B und 5C sind Querschnittansichten des FinFET-Bauteils 100 in 5A jeweils entlang von Querschnitten F-F und E-E. Die 5D und 5E sind Querschnittansichten des FinFET-Bauteils 100 in 5A jeweils entlang von Querschnitten H-H und G-G, und 5F ist eine Querschnittansicht des FinFET-Bauteils 100 in 5A entlang des Querschnitts I-I.As in the 5A to 5F illustrated, then isolation areas 62 above the substrate 50 and on opposite sides of the fins 64 formed, and dummy gate structures 75 (for example 75A and 75B ) are about the Finns 64 educated. 5A is a plan view of the FinFET device 100 , and the 5B and 5C FIG. 15 are cross-sectional views of the FinFET device. FIG 100 in 5A in each case along cross sections FF and EE , The 5D and 5E FIG. 15 are cross-sectional views of the FinFET device. FIG 100 in 5A in each case along cross sections HH and GG , and 5F is a cross-sectional view of the FinFET device 100 in 5A along the cross section II.

Unter Bezugnahme auf die 5B und 5C werden Isolationsbereiche 62 durch Füllen der Gräben 61 mit einem Isolationsmaterial und Vertiefen des Isolationsmaterials gebildet. Das Isolationsmaterial 54 kann ein Oxid sein, wie Siliziumoxid, ein Nitrid oder dergleichen, oder eine Kombination davon, und kann durch eine hochdichte chemische Plasma-Gasphasenabscheidung (High Density Plasma Chemical Vapor Deposition HDP-CVD), eine fließbare CVD (FCVD) (zum Beispiel eine auf CVD-basierende Materialabscheidung in einem entfernten Plasmasystem und Nachhärten gebildet werden, um es in ein anderes Material, wie ein Oxid, umwandeln zu lassen), dergleichen oder eine Kombination dieser gebildet werden. Andere Isolationsmaterialien und/oder Bildungsprozesse können verwendet werden. Ein Planarisierungsprozess, wie ein CMP, kann überschüssiges Isolationsmaterial entfernen und eine obere Oberfläche des Isolationsmaterials und obere Oberflächen der Halbleiterfinnen 64 bilden, die koplanar (nicht gezeigt) sind. Die strukturierte Maske 58 (siehe 4B und 4C) kann durch den CMP-Prozess entfernt werden.With reference to the 5B and 5C become isolation areas 62 by filling the trenches 61 formed with an insulating material and deepening of the insulating material. The insulation material 54 may be an oxide, such as silicon oxide, a nitride, or the like, or a combination thereof, and may be formed by high density plasma chemical vapor deposition (HDP-CVD), flowable CVD (FCVD) (e.g. CVD-based material deposition in a remote plasma system and post-curing may be formed to make it convert to another material such as an oxide), the like, or a combination thereof. Other insulation materials and / or formation processes may be used. A planarization process, such as a CMP, can remove excess insulating material and an upper surface of the insulating material and upper surfaces of the semiconductor fins 64 form coplanar (not shown). The textured mask 58 (please refer 4B and 4C) can be removed through the CMP process.

Bei einigen Ausführungsformen weisen die Isolationsbereiche 62 einen Liner, zum Beispiel ein Lineroxid (nicht gezeigt) an der Schnittfläche zwischen den Isolationsbereichen 62 und dem Substrat 50/den Finnen 64 auf. Bei einigen Ausführungsformen wird das Lineroxid gebildet, um kristalline Mängel an der Schnittfläche zwischen dem Substrat 50 und den Isolationsbereiche 62 zu verringern. Auf ähnliche Art kann das Lineroxid auch verwendet werden, um kristalline Mängel an der Schnittfläche zwischen den Halbleiterfinnen 64 und den Isolationsbereichen zu verringern. Das Lineroxid (zum Beispiel Siliziumoxid) kann ein thermisches Oxid sein, das durch eine thermische Oxidation einer Oberflächenschicht des Substrats 50 und/oder der Finnen 64 gebildet wird, obwohl jedes beliebige zweckdienliche Verfahren auch zum Bilden des Lineroxids verwendet werden kann.In some embodiments, the isolation areas 62 a liner, for example a liner oxide (not shown) at the interface between the isolation regions 62 and the substrate 50 / the Finns 64 on. In some embodiments, the liner oxide is formed to provide crystalline imperfections at the interface between the substrate 50 and the isolation areas 62 to reduce. Similarly, the liner oxide can also be used to eliminate crystalline defects at the interface between the semiconductor fins 64 and reduce the isolation areas. The liner oxide (for example, silicon oxide) may be a thermal oxide obtained by thermal oxidation of a surface layer of the substrate 50 and / or the Finns 64 although any convenient method can be used to form the liner oxide.

Danach wird das Isolationsmaterial vertieft, um Isolationsbereiche 62 wie Flachgraben-(Shallow Trench Isolation - STI)-Bereiche zu bilden. Das Isolationsmaterial wird derart vertieft, dass die oberen Abschnitte der Halbleiterfinnen 64A/64B zwischen benachbarten Isolationsbereichen 62 vorragen. Die oberen Oberflächen der Isolationsbereiche 62 können eine flache Oberfläche (wie veranschaulicht), eine konvexe Oberfläche, eine konkave Oberfläche (wie zum Beispiel ein Dishing) oder eine Kombination davon aufweisen. Die oberen Flächen der Isolationsbereiche 62 können flach, konvex und/oder konkav durch ein zweckmäßiges Ätzen gebildet werden. Die Isolationsbereiche 62 können unter Verwenden eines akzeptablen Ätzprozesses vertieft werden, wie einem, der hinsichtlich des Materials der Isolationsbereiche 62 selektiv ist. Zum Beispiel kann ein chemisches Oxidentfernen unter Verwenden einer CERTAS®-Ätzung oder eines Applied Materials SICONI-Tools oder verdünnter Fluorwasserstoffsäure (dHF) verwendet werden.Thereafter, the insulation material is recessed to isolation areas 62 such as shallow trench isolation (STI) regions. The insulating material is recessed such that the upper portions of the semiconductor fins 64A / 64B between adjacent isolation areas 62 protrude. The upper surfaces of the isolation areas 62 may have a flat surface (as illustrated), a convex surface, a concave surface (such as a dishing), or a combination thereof. The upper surfaces of the isolation areas 62 may be formed flat, convex and / or concave by proper etching. The isolation areas 62 can be recessed using an acceptable etch process, such as that with respect to the material of the isolation regions 62 is selective. For example, chemical oxide removal using a CERTAS® etch or Applied Material SICONI tool or dilute hydrofluoric acid (dHF) may be used.

Die 5A bis 5C veranschaulichen das Bilden einer Dummy-Gate-Struktur 75 über den Halbleiterfinnen 64. Die Dummy-Gate-Struktur 75 weist bei einigen Ausführungsformen ein Gate-Dielektrikum 66 und ein Gate 68 auf. Zum Bilden der Dummy-Gate-Struktur 75 wird eine dielektrische Schicht auf den Halbleiterfinnen 64 (zum Beispiel 64A und 64B) und den Isolationsbereichen 62 gebildet. Die dielektrische Schicht kann zum Beispiel Siliziumoxid, Siliziumnitrid, mehrere Schichten davon oder dergleichen sein, und kann abgeschieden (wie veranschaulicht) oder thermisch gemäß akzeptablen Techniken aufgewachsen (nicht gezeigt) werden. Die Bildungsverfahren der dielektrischen Schicht können Molekularstrahlabscheidung (Molecular-Beam Deposition - MBD), Atomschichtabscheidung (Atomic Layer Deposition - ALD), plasmaverstärkte CVD (Plasma Enhanced Chemical Vapor Deposition - PECVD) und dergleichen aufweisen.The 5A to 5C illustrate forming a dummy gate structure 75 over the semiconductor fins 64 , The dummy gate structure 75 has a gate dielectric in some embodiments 66 and a gate 68 on. To form the dummy gate structure 75 becomes a dielectric layer on the semiconductor fins 64 (for example 64A and 64B ) and the isolation areas 62 educated. The dielectric layer may be, for example, silicon oxide, silicon nitride, multiple layers thereof, or the like, and may be deposited (as illustrated) or grown thermally according to acceptable techniques (not shown). The dielectric layer formation methods may include Molecular Beam Deposition (MBD), Atomic Layer Deposition (ALD), Plasma Enhanced Chemical Vapor Deposition (PECVD), and the like.

Eine Gate-Schicht wird über der dielektrischen Schicht gebildet, und eine Maskenschicht wird über der Gate-Schicht gebildet. Die Gate-Schicht kann über der dielektrischen Schicht abgeschieden und dann zum Beispiel durch ein CMP planarisiert werden. Die Maskenschicht kann über der Gate-Schicht 60 abgeschieden werden. Die Gate-Schicht kann zum Beispiel aus Polysilizium gebildet werden, obwohl andere Materialien auch verwendet werden können. Die Maskenschicht kann zum Beispiel aus Siliziumnitrid oder dergleichen gebildet werden.A gate layer is formed over the dielectric layer, and a mask layer is formed over the gate layer. The gate layer may be deposited over the dielectric layer and then planarized by, for example, a CMP. The mask layer may over the gate layer 60 be deposited. The gate layer may be formed of polysilicon, for example, although other materials may be used. The mask layer may be formed of silicon nitride or the like, for example.

Nachdem die Schichten (zum Beispiel die dielektrische Schicht, die Gate-Schicht und die Maskenschicht) gebildet wurden, kann die Maskenschicht unter Verwenden akzeptabler Fotolithografie- und Ätztechniken strukturiert werden, um eine Maske 70 zu bilden. Die Strukturierung der Maske 70 wird dann zu der Gate-Schicht und der dielektrischen Schicht durch eine akzeptable Ätztechnik übertragen, um jeweils das Gate 68 und das Gate-Dielektrikum 66 zu bilden, und das Gate 68 und das Gate-Dielektrikum 66 decken bei einigen Ausführungsformen jeweilige Kanalbereiche der Halbleiterfinnen 64 ab. Bei anderen Ausführungsformen wird die Strukturierung der Maske 70 zu der Gate-Schicht übertragen, um das Gate 68 zu bilden, nicht aber zu der dielektrischen Schicht übertragen. Mit anderen Worten wird die dielektrische Schicht bei einigen Ausführungsformen nicht von der Maske 70 strukturiert, wobei in diesem Fall die dielektrische Schicht das Gate-Dielektrikum 66 oder die dielektrische Schicht 66 genannt werden kann. Die folgende Besprechung verwendet das Beispiel, bei dem die dielektrische Schicht nicht von der Maske 70 strukturiert wird, das Konzept der vorliegenden Offenbarung wendet jedoch auch Ausführungsformen an, bei welchen die dielektrische Schicht von der Maske 70 strukturiert wird. Das Gate 68 kann eine Längsrichtung im Wesentlichen senkrecht zu der Längsrichtung der jeweiligen Halbleiterfinnen 64 aufweisen. Wie in 5A veranschaulicht, wird die Gate-Struktur 75A über den Finnen 64A in Bereich 200 gebildet, und die Gate-Struktur 75B wird über den Finnen 64B in dem Bereich 300 gebildet.After the layers (eg, the dielectric layer, the gate layer, and the mask layer) have been formed, the mask layer may be patterned using acceptable photolithography and etching techniques to form a mask 70 to build. The structuring of the mask 70 is then transferred to the gate layer and the dielectric layer by an acceptable etching technique, to each gate 68 and the gate dielectric 66 to form, and the gate 68 and the gate dielectric 66 cover respective channel regions of the semiconductor fins in some embodiments 64 from. In other embodiments, the patterning of the mask 70 transferred to the gate layer to the gate 68 but not transferred to the dielectric layer. In other words, in some embodiments, the dielectric layer is not removed from the mask 70 structured, in which case the dielectric layer is the gate dielectric 66 or the dielectric layer 66 can be called. The following discussion uses the example where the dielectric layer is not covered by the mask 70 However, the concept of the present disclosure also applies to embodiments in which the dielectric Layer of the mask 70 is structured. The gate 68 may be a longitudinal direction substantially perpendicular to the longitudinal direction of the respective semiconductor fins 64 respectively. As in 5A illustrates the gate structure 75A over the Finn 64A in area 200 formed, and the gate structure 75B will be over the Finn 64B in that area 300 educated.

Die 5D und 5E veranschaulichen Querschnittansichten des FinFET-Bauteils 100 der 5A jeweils entlang eines Querschnitts H-H und G-G. Die Gate-Strukturen 75A und 75B sind eventuell in diesem Querschnitt nicht sichtbar. Bei dem Beispiel der 5E erstreckt sich die Schnittfläche 63 weiter von einer oberen Hauptoberfläche 50U des Substrats 50 als eine obere Oberfläche 62U der Isolationsbereiche 62.The 5D and 5E 12 illustrate cross-sectional views of the FinFET device 100 of FIG 5A each along a cross section HH and GG , The gate structures 75A and 75B may not be visible in this section. In the example of 5E the cut surface extends 63 farther from an upper main surface 50U of the substrate 50 as an upper surface 62U the isolation areas 62 ,

5F veranschaulicht eine Querschnittansicht des FinFET-Bauteils 100 der 5A entlang des Querschnitts I-I. Wie in 5F veranschaulicht, wird die Gate-Struktur 75A über den Finnen 64A in dem Bereich 200 gebildet, und die Gate-Struktur 75B wird über den Finnen 64B in dem Bereich 300 gebildet. 5F illustrates a cross-sectional view of the FinFET device 100 the 5A along the cross section II , As in 5F illustrates the gate structure 75A over the Finn 64A in that area 200 formed, and the gate structure 75B will be over the Finn 64B in that area 300 educated.

Die 6A bis 6C, 7A bis 7C, 8A bis 8C, 9A bis 9C, 10A bis 10C, 11A bis 11C und 12A bis 12C veranschaulichen weitere Verarbeitung des FinFET-Bauteils 100, das in den 5A bis 5F gezeigt ist. Insbesondere veranschaulichen die 6A, 7A, 8A, 9A, 10A, 11A und 12A Querschnittansichten des FinFET-Bauteils 100 entlang des Querschnitts I-I (siehe 5A) bei diversen Fertigungsstufen. Die 6B, 7B, 8B, 9B, 10B, 11B und 12B veranschaulichen die entsprechenden Querschnittansichten des FinFET-Bauteils 100 entlang des Querschnitts H-H (siehe 5A), und die 6C, 7C, 8C, 9C, 10C, 11C und 12C veranschaulichen die entsprechenden Querschnittansichten des FinFET-Bauteils 100 entlang des Querschnitts G-G (siehe 5A).The 6A to 6C . 7A to 7C . 8A to 8C . 9A to 9C . 10A to 10C . 11A to 11C and 12A to 12C illustrate further processing of the FinFET device 100 that in the 5A to 5F is shown. In particular, they illustrate 6A . 7A . 8A . 9A . 10A . 11A and 12A Cross-sectional views of the FinFET device 100 along the cross section II (please refer 5A) at various stages of production. The 6B . 7B . 8B . 9B . 10B . 11B and 12B illustrate the corresponding cross-sectional views of the FinFET device 100 along the cross section HH (please refer 5A) , and the 6C . 7C . 8C . 9C . 10C . 11C and 12C illustrate the corresponding cross-sectional views of the FinFET device 100 along the cross section GG (please refer 5A) ,

Anschließend, wie in den 6A bis 6C veranschaulicht, werden eine erste Abstandsschicht 86 und eine zweite Abstandsschicht 84 nacheinander über der Struktur, die in den 5A bis 5F veranschaulicht ist, gebildet. Die erste Abstandsschicht 86 und die zweite Abstandsschicht 84 können formangeglichen gebildet werden. Bei einigen Ausführungsformen umfasst die erste Abstandsschicht 86 dielektrisches Low-K-Material und kann daher eine Low-κ-Abstandsschicht genannt werden. Die erste Abstandsschicht 86 kann aus einem geeigneten Material gebildet werden, wie aus Siliziumoxicarbid (SiOC), Siliziumoxicarbonitrid (SiOCN) oder Siliziumcarbonitrid (SiCN). Eine Stärke der ersten Abstandsschicht 86 kann in einem Bereich zwischen etwa 2 nm bis etwa 5 nm liegen. Bei einigen Ausführungsformen umfasst die zweite Abstandsschicht ein nitridreiches dielektrisches Material. Die zweite Abstandsschicht 84 kann aus einem geeigneten Material gebildet werden, wie Siliziumnitrid (SiN) oder Siliziumcarbonitrid (SiCN). Eine Stärke der zweiten Abstandsschicht 84 kann in einem Bereich zwischen etwa 3 nm bis etwa 5 nm liegen. Jedes zweckdienliche Abscheidungsverfahren, wie PVD, CVD und ALD, kann verwendet werden, um die erste Abstandsschicht 86 und die zweite Abstandsschicht 84 zu bilden.Then, as in the 6A to 6C illustrates a first spacer layer 86 and a second spacer layer 84 one after the other over the structure, which in the 5A to 5F is illustrated formed. The first spacer layer 86 and the second spacer layer 84 can be made in shape. In some embodiments, the first spacer layer comprises 86 low-K dielectric material and can therefore be called a low-kappa spacer layer. The first spacer layer 86 may be formed of a suitable material such as silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN) or silicon carbonitride (SiCN). A strength of the first spacer layer 86 may be in a range between about 2 nm to about 5 nm. In some embodiments, the second spacer layer comprises a nitride-rich dielectric material. The second spacer layer 84 may be formed of a suitable material, such as silicon nitride (SiN) or silicon carbonitride (SiCN). A strength of the second spacer layer 84 may be in a range between about 3 nm to about 5 nm. Any suitable deposition method, such as PVD, CVD and ALD, may be used to form the first spacer layer 86 and the second spacer layer 84 to build.

In Übereinstimmung mit einigen Ausführungsformen wird ein erstes Material der ersten Abstandsschicht 86 von einem zweiten Material der zweiten Abstandsschicht 84 unterschiedlich ausgewählt, um Ätzselektivität zwischen der ersten Abstandsschicht 86 und der zweiten Abstandsschicht 84 bei darauf folgender Verarbeitung bereitzustellen. Wenn die zweite Abstandsschicht 84 zum Beispiel aus SiN gebildet wird, kann die erste Abstandsschicht 86 aus SiOC, SiOCN oder SiCN gebildet werden. Als ein anderes Beispiel, wenn die zweite Abstandsschicht 84 zum Beispiel aus SiCN gebildet wird, kann die erste Abstandsschicht 86 aus SiOC oder SiOCN gebildet werden.In accordance with some embodiments, a first material of the first spacer layer becomes 86 from a second material of the second spacer layer 84 differently selected to etch selectivity between the first spacer layer 86 and the second spacer layer 84 upon subsequent processing. If the second spacer layer 84 For example, SiN is formed, the first spacer layer 86 SiOC, SiOCN or SiCN are formed. As another example, if the second spacer layer 84 For example, SiCN is formed, the first spacer layer 86 be formed from SiOC or SiOCN.

Anschließend, wie in den 7A bis 7C veranschaulicht, wird eine Maskenschicht, die eine lichtempfindliche Schicht wie ein Fotolack sein kann, über der Struktur, die in den 6A bis 6C gezeigt ist, unter Verwenden von CVD, PVD, Rotationsbeschichten oder einem anderen zweckdienlichen Abscheidungsverfahren gebildet. Die Maskenschicht wird dann zum Beispiel unter Verwenden von Fotolithografie- und/oder Strukturierungstechniken strukturiert, um eine strukturierte Maske 88 zu bilden. Die strukturierte Maske 88 deckt den Bereich 200 ab, legt aber den Bereich 300, wie in den 7A bis 7C veranschaulicht, frei. Die strukturierte Maske 88 schirmt folglich den Bereich 200 von den darauffolgenden Ätzprozessen, die unter Bezugnahme auf die 8A bis 8C und 9A bis 9C beschrieben sind, ab.Then, as in the 7A to 7C 1, a mask layer, which may be a photosensitive layer such as a photoresist, is formed over the structure shown in FIGS 6A to 6C is formed using CVD, PVD, spin coating, or other suitable deposition method. The mask layer is then patterned using, for example, photolithography and / or patterning techniques to form a patterned mask 88 to build. The textured mask 88 covers the area 200 but sets the area 300 as in the 7A to 7C Illustrates, free. The textured mask 88 thus shields the area 200 from the subsequent etching processes described with reference to FIGS 8A to 8C and 9A to 9C are described from.

Anschließend, wie in den 8A bis 8C veranschaulicht, wird ein Ätzprozess ausgeführt, um Abschnitte der zweiten Abstandsschicht 84 in dem Bereich 300 zu entfernen. Bei einigen Ausführungsformen ist der Prozess, der zum Entfernen von Abschnitten der zweiten Abstandsschicht 84 verwendet wird, ein anisotroper Ätzprozess, wie ein Trockenätzprozess. Ein Plasma-Ätzprozess, der Kohlenmonoxid (CO), Tetrafluormethan (CF4), Sauerstoff (O2), Ozon (O3) oder Kombinationen davon verwendet, kann ausgeführt werden, um die freigelegte zweite Abstandsschicht 84 in dem Bereich 300 (zum Beispiel einem PMOS-Bereich) zu entfernen. Bei einigen Ausführungsformen weist der Plasma-Ätzprozess eine hohe Ätzselektivität (hat zum Beispiel eine höhere Ätzrate) für die zweite Abstandsschicht 84 über der ersten Abstandsschicht 86 auf. Bei einigen Ausführungsformen reagiert das Plasma (zum Beispiel CF4-Plasma), das bei dem Plasma-Ätzprozess verwendet wird, mit der zweiten Abstandsschicht 84, um die zweite Abstandsschicht 84 zu entfernen. Bei einigen Ausführungsformen entfernt der anisotrope Ätzprozess die zweite Abstandsschicht 84 über einer oberen Oberfläche und Seitenwänden der Finnen 64B (siehe 8C), so dass die erste Abstandsschicht 86 über der oberen Oberfläche und den Seitenwänden der Finnen 64B freigelegt wird. Der anisotrope Ätzprozess kann auch obere Abschnitte der ersten Abstandsschicht 86 in dem Bereich 300 entfernen. Wie in 8A veranschaulicht, entfernt der Ätzprozess Abschnitte der zweiten Abstandsschicht 84 und Abschnitte der ersten Abstandsschicht 86 über der oberen Oberfläche der Gate-Struktur 75B (zum Beispiel über der Maske 70), so dass die Maske 70 freigelegt wird. Zusätzlich kann die erste Abstandsschicht 86 über der oberen Oberfläche der Finnen 64B verdünnt (siehe 8A) oder entfernt (nicht gezeigt) werden.Then, as in the 8A to 8C 1, an etching process is performed to form portions of the second spacer layer 84 in that area 300 to remove. In some embodiments, the process is to remove portions of the second spacer layer 84 is used, an anisotropic etching process, such as a dry etching process. A plasma etching process using carbon monoxide (CO), tetrafluoromethane (CF 4 ), oxygen (O 2 ), ozone (O 3 ), or combinations thereof may be performed to remove the exposed second spacer layer 84 in that area 300 (for example, a PMOS area). In some embodiments, the plasma etching process has a high etch selectivity (has, for example, a higher Etch rate) for the second spacer layer 84 over the first spacer layer 86 on. In some embodiments, the plasma (eg, CF 4 plasma) used in the plasma etching process reacts with the second spacer layer 84 to the second spacer layer 84 to remove. In some embodiments, the anisotropic etch process removes the second spacer layer 84 over an upper surface and side walls of the fins 64B (please refer 8C) so that the first spacer layer 86 above the upper surface and the side walls of the fins 64B is exposed. The anisotropic etching process may also include upper portions of the first spacer layer 86 in that area 300 remove. As in 8A illustrates, the etching process removes portions of the second spacer layer 84 and portions of the first spacer layer 86 over the top surface of the gate structure 75B (for example, over the mask 70 ), leaving the mask 70 is exposed. In addition, the first spacer layer 86 above the upper surface of the fins 64B diluted (see 8A) or removed (not shown).

Aufgrund der Anisotropie des Plasma-Ätzprozesses (zum Beispiel wird DC-Vorspannung verwendet) und/oder aufgrund des Nebenprodukts (zum Beispiel Polymer), das auf den Seitenwänden der Gate-Struktur 75B während des Plasma-Ätzprozesses gebildet wird, verbleiben Abschnitte der zweiten Abstandsschicht 84 (zum Beispiel 84R) entlang der Seitenwände der Gate-Struktur 75B (zum Beispiel aufgrund des Schutzes, der von dem Nebenprodukt des Plasma-Ätzprozesses bereitgestellt wird) nach dem Plasma-Ätzprozess, wie in 8A veranschaulicht. Die verbleibenden Abschnitte 84R der zweiten Abstandsschicht 84 entlang der Seitenwände der Gate-Struktur 75B schützen vorteilhafterweise Abschnitte der ersten Abstandsschicht 86, die zwischen den verbleibenden Abschnitten 84R und der Gate-Struktur 75B angeordnet sind, vor einem darauffolgenden Ätzprozess, so dass die Abschnitte der ersten Abstandsschicht 86 zwischen den verbleibenden Abschnitten 84R und der Gate-Struktur 75B nach dem darauffolgenden Ätzprozess verbleiben, um als Gateabstandhalter der Gate-Struktur 75B zu dienen.Due to the anisotropy of the plasma etching process (for example, DC bias is used) and / or due to the by-product (for example, polymer) attached to the sidewalls of the gate structure 75B is formed during the plasma etching process, remain portions of the second spacer layer 84 (for example 84R ) along the sidewalls of the gate structure 75B (for example, due to the protection provided by the by-product of the plasma etching process) after the plasma etching process, as in FIG 8A illustrated. The remaining sections 84R the second spacer layer 84 along the sidewalls of the gate structure 75B advantageously protect portions of the first spacer layer 86 that between the remaining sections 84R and the gate structure 75B are arranged, before a subsequent etching process, so that the portions of the first spacer layer 86 between the remaining sections 84R and the gate structure 75B remain after the subsequent etching process to act as a gate spacer of the gate structure 75B to serve.

Bei einer beispielhaften Ausführungsform ist der anisotrope Ätzprozess ein Plasma-Ätzprozess, der einen ersten Plasma-Ätzschritt gefolgt von einem zweiten Plasma-Ätzschritt umfasst. Der erste Plasma-Ätzschritt wird unter Verwenden von Tetrafluormethan (CF4) ausgeführt, und der zweite Plasma-Ätzschritt wird unter Verwenden von Sauerstoff (02) ausgeführt. Bei einigen Ausführungsformen kann der erste Plasma-Ätzschritt Nebenprodukte wie Polymer erzeugen, wobei das Polymer die obere Oberfläche und die Seitenwände der Gate-Struktur 75B abdeckt, und daher vorteilhafterweise Beschädigung (zum Beispiel Ätzen der Seitenwände der Gate-Struktur) an der Gate-Struktur 75B während des ersten Plasma-Ätzschritts verringert oder verhindert. Nach dem ersten Plasma-Ätzschritt entfernt das 02-Plasma, das bei dem zweiten Plasma-Ätzschritt verwendet wird, das Nebenprodukt, das durch den ersten Plasma-Ätzschritt erzeugt wurde.In an exemplary embodiment, the anisotropic etch process is a plasma etch process that includes a first plasma etch followed by a second plasma etch. The first plasma etching step is carried out using tetrafluoromethane (CF 4 ), and the second plasma etching step is performed using oxygen (FIG. 02 ). In some embodiments, the first plasma etching step may produce byproducts such as polymer, where the polymer is the top surface and the sidewalls of the gate structure 75B covering, and therefore advantageously damage (for example, etching the side walls of the gate structure) to the gate structure 75B reduced or prevented during the first plasma etching step. After the first plasma etching step, the O 2 plasma used in the second plasma etching step removes the by-product generated by the first plasma etching step.

Bei einigen Ausführungsformen werden der erste Plasma-Ätzschritt und der zweite Plasma-Ätzschritt des Plasma-Ätzprozesses bei einer gleichen Temperatur und unter einem gleichen Druck ausgeführt. Bei einigen Ausführungsformen liegt eine Temperatur des Plasma-Ätzprozesses in einem Bereich zwischen etwa 30 °C bis etwa 65 °C, und ein Druck des Plasma-Ätzprozesses liegt in einem Bereich zwischen etwa 4 Millitorr (mTorr) bis etwa 50 mTorr. Eine CF4-Flussrate bei dem ersten Plasma-Ätzschritt kann in einem Bereich zwischen etwa 100 Normkubikzentimeter (Standard Cubic Centimeters) pro Minute (sccm) bis etwa 200 sccm liegen. Eine O2-Flussrate bei dem zweiten Plasma-Ätzschritt kann in einem Bereich zwischen etwa 100 sccm bis etwa 200 sccm liegen. Trägergas, wie Stickstoff, Argon oder dergleichen, kann zum Tragen des Plasmas verwendet werden. Jeder Zyklus des ersten Plasma-Ätzschritts kann während einer Dauer in einem Bereich zwischen etwa 5 Sekunden und etwa 15 Sekunden ausgeführt werden. Jeder Zyklus des zweiten Plasma-Ätzschritts kann während einer Dauer in einem Bereich zwischen etwa 5 Sekunden und etwa 15 Sekunden ausgeführt werden. Die Anzahl von Zyklen bei dem ersten Plasma-Ätzschritt und bei dem zweiten Plasma-Ätzschritt kann zum Beispiel von der Stärke der Abstandsschicht (zum Beispiel 84), die zu entfernen ist, abhängen.In some embodiments, the first plasma etching step and the second plasma etching step of the plasma etching process are performed at a same temperature and under a same pressure. In some embodiments, a temperature of the plasma etching process is in a range between about 30 ° C to about 65 ° C, and a pressure of the plasma etching process is in a range between about 4 millitorr (mTorr) to about 50 mTorr. A CF 4 flow rate in the first plasma etching step may range between about 100 standard cubic centimeters per minute (sccm) to about 200 sccm. An O 2 flow rate in the second plasma etching step may be in a range between about 100 sccm to about 200 sccm. Carrier gas, such as nitrogen, argon or the like, can be used to carry the plasma. Each cycle of the first plasma etching step may be performed for a duration in a range between about 5 seconds and about 15 seconds. Each cycle of the second plasma etching step may be performed for a duration in a range between about 5 seconds and about 15 seconds. The number of cycles in the first plasma etching step and the second plasma etching step may be, for example, the thickness of the spacer layer (for example 84 ), which is to be removed.

Anschließend, wie in den 9A bis 9C veranschaulicht, wird ein anderer Ätzprozess ausgeführt, um Abschnitte der ersten Abstandsschicht 86 in dem Bereich 300 zu entfernen. Bei einigen Ausführungsformen ist der andere Ätzprozess, der zum Entfernen der ersten Abstandsschicht 86 verwendet wird, ein Nassätzprozess, zum Beispiel ein chemischer Ätzprozess, der ein Ätzmittel verwendet. Das Ätzmittel kann eine hohe Ätzselektivität (zum Beispiel eine höhere Ätzrate) für die erste Abstandsschicht 86 im Vergleich zu der zweiten Abstandsschicht 84 aufweisen. Die erste Abstandsschicht 86 kann folglich, ohne im Wesentlichen die zweite Abstandsschicht 84 (zum Beispiel 84R) anzugreifen, entfernt werden. Ein Nassätzprozess, der zum Beispiel verdünnte Fluorwasserstoffsäure (dHF), Wasserstoffperoxid (H2O2), Ozon (O3), Phosphorsäure (H3PO4), ein Standard-(STD)-Reinigungsfluid (das ein Gemisch ist, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) aufweist) oder Kombinationen davon aufweist, kann ausgeführt werden, um die freigelegte erste Abstandsschicht 86 in dem Bereich 300 zu entfernen. Bei einigen Ausführungsformen entfernt der Nassätzprozess die freigelegte erste Abstandsschicht 86 in dem Bereich 300 vollständig, so dass eine obere Oberfläche 64BU der Finnen 64B und der Seitenwände der Finnen 64B (zum Beispiel die Seitenwände der Finnen 64B über der oberen Oberfläche 62U der Isolationsbereiche 62) nach dem Nassätzprozess freigelegt sind, wie in 9C veranschaulicht. Zu bemerken ist, dass aufgrund des verbleibenden Abschnitts 84R der zweiten Abstandsschicht 84 Abschnitte der ersten Abstandsschicht 86 entlang der Seitenwände der Gate-Struktur 75B nach dem Nassätzprozess verbleiben.Then, as in the 9A to 9C illustrates another etching process is performed to sections of the first spacer layer 86 in that area 300 to remove. In some embodiments, the other etching process is to remove the first spacer layer 86 is used, a wet etching process, for example, a chemical etching process using an etchant. The etchant may have a high etch selectivity (eg, a higher etch rate) for the first spacer layer 86 compared to the second spacer layer 84 respectively. The first spacer layer 86 thus, without essentially the second spacer layer 84 (for example 84R ) to be removed. A wet etching process which includes, for example, dilute hydrofluoric acid (dHF), hydrogen peroxide (H 2 O 2 ), ozone (O 3 ), phosphoric acid (H 3 PO 4 ), a standard (STD) purification fluid (which is a deionized Water (DIW), ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 )) or combinations thereof, may be carried out to remove the exposed first spacer layer 86 in that area 300 to remove. In some embodiments, the wet etching process removes the exposed first spacer layer 86 in that area 300 completely, leaving a top surface 64BU the Finns 64B and the side walls of the Finns 64B (For example, the side walls of the Finns 64B above the upper surface 62U the isolation areas 62 ) are exposed after the wet etching process, as in 9C illustrated. It should be noted that due to the remaining section 84R the second spacer layer 84 Sections of the first spacer layer 86 along the sidewalls of the gate structure 75B remain after the wet etching process.

Bei einigen Ausführungsformen umfasst der Nassätzprozess einen ersten Schritt, einen zweiten Schritt, einen dritten Schritt und einen vierten Schritt, die sequenziell ausgeführt werden. Mit anderen Worten wird der zweite Schritt des Nassätzprozesses nach dem ersten Schritt des Nassätzprozesses ausgeführt, der dritte Schritt des Nassätzprozesses wird nach dem zweiten Schritt des Nassätzprozesses ausgeführt, und der vierte Schritt des Nassätzprozesses wird nach dem dritten Schritt des Nassätzprozesses ausgeführt. Insbesondere wird der erste Schritt unter Verwenden eines Gemischs ausgeführt, das Wasserstoffperoxid (H2O2) und Ozon (O3) verwendet, der zweite Schritt wird unter Verwenden verdünnter Fluorwasserstoffsäure (dHF) ausgeführt, der dritte Schritt wird unter Verwenden von Phosphorsäure (H3PO4) ausgeführt, und der vierte Schritt wird unter Verwenden des STD-Reinigungsfluids, das ein Gemisch ist, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) umfasst, ausgeführt. Durch das Ausführen des ersten Schritts des zweiten Schritts, des dritten Schritts und des vierten Schritts wie oben beschrieben, kann der Entfernungsprozess der ersten Abstandsschicht 86 präzis gesteuert werden.In some embodiments, the wet etching process includes a first step, a second step, a third step, and a fourth step that are performed sequentially. In other words, the second step of the wet etching process is performed after the first step of the wet etching process, the third step of the wet etching process is performed after the second step of the wet etching process, and the fourth step of the wet etching process is performed after the third step of the wet etching process. Specifically, the first step is carried out using a mixture using hydrogen peroxide (H 2 O 2 ) and ozone (O 3 ), the second step is carried out using dilute hydrofluoric acid (dHF), the third step is carried out using phosphoric acid (H 3 PO 4 ), and the fourth step is carried out using the STD cleaning fluid, which is a mixture comprising deionized water (DIW), ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 ). By performing the first step of the second step, the third step, and the fourth step as described above, the removing process of the first spacer layer may be performed 86 be precisely controlled.

Dann werden, wie in den 10A bis 10C veranschaulicht, epitaktische Source-/Drain-Bereiche 80B über der freigelegten oberen Oberfläche 64BU (siehe 9C) und den freigelegten Seitenwänden der Finnen 64B unter Verwenden zweckdienlicher Verfahren, wie metallorganische-chemische Gasphasenabscheidung (Metal Organic Chemical Vapor Deposition - MOCVD), Molekularstrahlepitaxie (Molecular Beam Epitaxy - MBE), Flüssigphasen-Epitaxie (Liquid Phase Epitaxy - LPE), Gasphasenepitaxie (Vapor Phase Epitaxy - VPE), selektives epitaktisches Aufwachsen (Selective Epitaxial Growth - SEG), dergleichen oder einer Kombination davon gebildet. Die epitaktischen Source-/Drain-Bereiche 80B können Oberflächen aufweisen, die über jeweilige obere Oberflächen der Finnen 64B erhöht sind, und können Facetten haben. Wie in 10C veranschaulicht, vereinen sich die Source-/Drain-Bereiche 80B der benachbarten Finnen 64B, um einen kontinuierlichen epitaktischen Source-/Drain-Bereich 80B zu bilden. Nachdem die epitaktischen Source-/Drain-Bereiche 80B geformt wurden, wird die strukturierte Maske 88 unter Verwenden eines zweckdienlichen Prozesses, wie Veraschen, entfernt.Then, as in the 10A to 10C illustrates epitaxial source / drain regions 80B over the exposed upper surface 64BU (please refer 9C) and the exposed sidewalls of the Finns 64B using appropriate methods such as Metal Organic Chemical Vapor Deposition (MOCVD), Molecular Beam Epitaxy (MBE), Liquid Phase Epitaxy (LPE), Vapor Phase Epitaxy (VPE), selective epitaxial growth (SEG), the like or a combination thereof. The epitaxial source / drain regions 80B may have surfaces that overlie respective top surfaces of the fins 64B are elevated, and may have facets. As in 10C illustrates, the source / drain regions unite 80B the neighboring Finns 64B to form a continuous epitaxial source / drain region 80B to build. After the epitaxial source / drain regions 80B Shaped, becomes the textured mask 88 using a convenient process, such as ashing away.

Obwohl das in den 10A bis 10C nicht veranschaulicht ist, können in den Finnen 64B leicht dotierte Drain-(Light Doped Drain - LDD)-Bereiche gebildet werden, bevor die epitaktischen Source-/Drain-Bereiche 80B gebildet werden. LDD-Bereiche können durch einen Plasma-Dotierungsprozess gebildet werden. Der Plasma-Dotierungsprozess kann einen entsprechenden Typ von Verunreinigungen, wie P-Typ-Verunreinigungen (für P-Typ-Bauteile), in den Finnen 64B implantieren, um die LDD-Bereiche zu bilden. Die strukturierte Maske 88 kann zum Beispiel den Bereich 200 (zum Beispiel einen NMOS-Bereich) abschirmen, während P-Typ-Verunreinigungen in die LDD-Bereiche der Finnen 64B implantiert werden.Although that in the 10A to 10C not illustrated in the Finns 64B lightly doped drain (Light Doped Drain - LDD) regions are formed before the epitaxial source / drain regions 80B be formed. LDD regions can be formed by a plasma doping process. The plasma doping process may have a corresponding type of contaminants, such as P-type impurities (for P-type devices), in the fins 64B implant to form the LDD areas. The textured mask 88 For example, the area 200 (For example, an NMOS region) while shielding P-type impurities in the LDD areas of the fins 64B be implanted.

Bei einigen Ausführungsformen ist der resultierende FinFET in dem Bereich 300 ein P-Typ-FinFET, die Source-/Drain-Bereiche 80B umfassen SiGe und eine P-Typ-Verunreinigung, wie Bor oder Indium. Die epitaktischen Source-/Drain-Bereiche 80B können mit Dotierstoffen gefolgt von einem Glühen implantiert werden. Die Source-/Drain-Bereiche 80B können eine Verunreinigungs-(zum Beispiel Dotierstoff)-Konzentration in einem Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 aufweisen. Bei einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche 80B in situ während des Aufwachsens dotiert werden.In some embodiments, the resulting FinFET is in the range 300 a P-type FinFET, the source / drain regions 80B include SiGe and a P-type impurity such as boron or indium. The epitaxial source / drain regions 80B can be implanted with dopants followed by annealing. The source / drain regions 80B may have an impurity (for example dopant) concentration in a range of about 10 19 cm -3 to about 10 21 cm -3 . In some embodiments, the epitaxial source / drain regions 80B be doped in situ during growth.

Die epitaktischen Source-/Drain-Bereiche 80B werden direkt auf der freigelegten oberen Oberfläche 64BU (siehe 9C) und auf den freigelegten Seitenwänden der Finnen 64B gebildet. Das ist von dem Prozess zum Bilden der epitaktischen Source-/Drain-Bereiche 80A, der unten unter Bezugnahme auf die 11A bis 11C besprochen wird, unterschiedlich. Die epitaktischen Source-/Drain-Bereiche 80B, die durch die Verarbeitung in der vorliegenden Offenbarung gebildet werden, werden eine Mantel-Epitaxiestruktur aufweisend genannt.The epitaxial source / drain regions 80B be directly on the exposed upper surface 64BU (please refer 9C) and on the exposed side walls of the Finns 64B educated. This is from the process of forming the epitaxial source / drain regions 80A referring to the below with reference to the 11A to 11C is discussed, different. The epitaxial source / drain regions 80B formed by the processing in the present disclosure are called a cladding epitaxial structure.

Aufgrund der mehrschichtigen Struktur (zum Beispiel erste Abstandsschicht 86 und die zweite Abstandsschicht 84) für die Abstandsschichten und aufgrund des spezifischen Ätzprozesses (zum Beispiel Trockenätzen gefolgt von Nassätzen, wie oben besprochen), die offenbart wird, werden die erste Abstandsschicht 86 und die zweite Abstandsschicht 84 über der oberen Oberfläche und den Seitenwänden der Finnen 64B vollständig entfernt. Als ein Resultat können die epitaktischen Source-/Drain-Bereiche 80B ein größeres Volumen aufweisen, was in verbesserter Bauteilleistung resultiert, wie niedrigerer Drain-induzierter Sperrverlust (Drain Induced Barrier Loss - DIBL), höherer ON-Strom Ion, niedrigerer Kontaktwiderstand für darauffolgend gebildete Source-/Drain-Kontakte, und verbesserte Bauteilzuverlässigkeit als Beispiele. Zusätzlich wird Beschädigung an der Gate-Struktur 75B und den Finnen 64B verringert. Zum Beispiel wird ein Finnen-Oberseitenverlust verringert, Beschädigung (zum Beispiel Ätzen) der Seitenwände der Finnen 64B wird verringert, und das kritische Maß (Critical Dimension - CD) der Finnen 64B wird besser gesteuert. Als ein anderes Beispiel, da Abschnitte der ersten Abstandsschicht 86 und der zweiten Abstandsschicht 84 (zum Beispiel 84R) auf den Seitenwänden der Gate-Struktur 75B nach dem oben offenbarten Ätzprozess verbleiben, wird Beschädigung an der Gatestruktur 75B verringert oder verhindert, und die Stärke der Gate-Struktur 75B wird gut gesteuert. Des Weiteren verringern die hier offenbarte Struktur und das Verfahren den Ladeeffekt zwischen inneren Abschnitten (zum Beispiel Abschnitten zwischen benachbarten Finnen 64B) der Isolationsbereiche 62 und äußeren Abschnitten (zum Beispiel Abschnitten, die nicht zwischen benachbarten Finnen 64B liegen) der Isolationsbereiche. Durch Verwenden der hier offenbarten mehrschichtigen Struktur für die Abstandsschicht und des spezifischen Ätzprozesses, kann ein Abstand zwischen einer oberen Oberfläche der inneren Abschnitte der Isolationsbereiche 62 und eine obere Oberfläche der äußeren Abschnitte der Isolationsbereiche 62 von 25 nm auf 5 nm verringert werden.Due to the multi-layered structure (for example, first spacer layer 86 and the second spacer layer 84 ) for the spacer layers and because of the specific etching process (for example, dry etching followed by wet etching, as discussed above) which is disclosed, the first spacer layer becomes 86 and the second spacer layer 84 above the upper surface and the side walls of the fins 64B completely removed. As a result, the epitaxial source / drain regions 80B have a larger volume, resulting in improved device performance, such as lower drain-induced barrier loss (DIBL), higher ON current I on , lower contact resistance for subsequently formed source / drain Contacts, and improved component reliability as examples. In addition, damage to the gate structure 75B and the Finns 64B reduced. For example, a fin top loss is reduced, damaging (eg, etching) the sidewalls of the fins 64B is reduced, and the critical dimension (CD) of the Finns 64B is better controlled. As another example, there are portions of the first spacer layer 86 and the second spacer layer 84 (for example 84R ) on the sidewalls of the gate structure 75B remain after the above-disclosed etching process, damage to the gate structure 75B reduces or prevents, and the strength of the gate structure 75B is well controlled. Furthermore, the structure and method disclosed herein reduce the loading effect between inner portions (eg, portions between adjacent fins 64B ) of the isolation areas 62 and outer sections (for example, sections that are not between adjacent fins 64B lie) of the isolation areas. By using the multilayered structure for the spacer layer and the specific etching process disclosed herein, a distance between an upper surface of the inner portions of the isolation regions 62 and an upper surface of the outer portions of the isolation regions 62 be reduced from 25 nm to 5 nm.

Nachfolgend werden in den 11A bis 11C die zweite Abstandsschicht 84 in dem Bereich 200 und der verbleibende Abschnitt 84R der zweiten Abstandsschicht 84 in dem Bereich 300 unter Verwenden eines zweckdienlichen Prozesses, wie eines Ätzprozesses, entfernt. Ein geeignetes Ätzmittel, wie Phosphorsäure (H3PO4) kann für den Ätzprozess verwendet werden. Anschließend wird die erste Abstandsschicht 86 in dem Bereich 200 unter Verwenden zum Beispiel eines isotropen Ätzprozesses zum Entfernen von Abschnitten der ersten Abstandsschicht 86 über der oberen Oberfläche der Gate-Struktur 75A und über der oberen Oberfläche der Finnen 64A strukturiert. Eine strukturierte Maskenschicht (nicht gezeigt), wie ein strukturierter Fotolack, kann verwendet werden, um den Bereich 300 abzudecken, während der erste Abstandsbereich 86 in dem Bereich 200 strukturiert wird. Die strukturierte Maskenschicht wird dann unter Verwenden eines zweckdienlichen Verfahrens, wie Veraschen, entfernt. Nach dem Strukturieren der ersten Abstandsschicht 86, wie oben beschrieben, werden verbleibende Abschnitte der ersten Abstandsschicht 86 (siehe 11A), wie die entlang der Seitenwände der Gate-Strukturen 75A und 75B, als die Gate-Abstandhalter (zum Beispiel Low-K-Gate-Abstandhalter) der entsprechenden Gate-Struktur verwendet. Die Abschnitte der ersten Abstandsschicht 86 entlang der Seitenwände der Gate-Strukturen 75A und 75B können folglich unten Abstandhalter 86 genannt werden.Below are in the 11A to 11C the second spacer layer 84 in that area 200 and the remaining section 84R the second spacer layer 84 in that area 300 using a convenient process, such as an etching process. A suitable etchant such as phosphoric acid (H 3 PO 4 ) can be used for the etching process. Subsequently, the first spacer layer 86 in that area 200 using, for example, an isotropic etch process to remove portions of the first spacer layer 86 over the top surface of the gate structure 75A and above the upper surface of the fins 64A structured. A patterned mask layer (not shown), such as a patterned photoresist, may be used to cover the area 300 cover during the first distance range 86 in that area 200 is structured. The patterned mask layer is then removed using a convenient method such as ashing. After structuring the first spacer layer 86 As described above, remaining portions of the first spacer layer become 86 (please refer 11A) like those along the sidewalls of the gate structures 75A and 75B , as the gate spacers (for example, low-K-gate spacers) of the corresponding gate structure used. The sections of the first spacer layer 86 along the sidewalls of the gate structures 75A and 75B can thus down spacers 86 to be named.

Dann können LDD-Bereiche, obwohl das nicht veranschaulicht ist, in den Finnen 64A gebildet werden, bevor die epitaktischen Source-/Drain-Bereiche 80A gebildet werden. LDD-Bereiche können durch einen Plasma-Dotierungsprozess gebildet werden. Der Plasma-Dotierungsprozess kann einen entsprechenden Typ von Verunreinigungen, wie N-Typ-Verunreinigungen (für N-Typ-Bauteile) in den Finnen 64A implantieren, um die LDD-Bereiche zu bilden. Einige strukturierte Maskenschicht (nicht gezeigt) kann zum Beispiel gebildet werden, um den Bereich 300 (zum Beispiel einen PMOS-Bereich) abzuschirmen, während N-Typ-Verunreinigungen in die LDD-Bereiche der Finnen 64A implantiert werden. Die strukturierte Maskenschicht kann entfernt werden, nachdem die LDD-Bereiche geformt wurden.Then, although not illustrated, LDD regions can be found in the fins 64A are formed before the epitaxial source / drain regions 80A be formed. LDD regions can be formed by a plasma doping process. The plasma doping process may have a corresponding type of contaminants, such as N-type impurities (for N-type devices) in the fins 64A implant to form the LDD areas. For example, some patterned masking layer (not shown) may be formed around the area 300 shield (for example, a PMOS region) while N-type impurities in the LDD regions of the fins 64A be implanted. The patterned masking layer can be removed after the LDD regions have been formed.

Dann werden epitaktische Source-/Drain-Bereiche 80A in den Finnen 64A gebildet. Die epitaktischen Source-/Drain-Bereiche 80A können durch Ätzen der Finnen 64A (zum Beispiel Ätzen der LDD-Bereiche innerhalb der Finnen 64A) zum Bilden von Vertiefungen, und epitaktisches Aufwachsen eines Materials in der Vertiefung unter Verwenden zweckdienlicher Verfahren, wie metallorganische CVD metallorganische CVD (MOCVD), Molekularstrahlepitaxie (Molecular Beam Epitaxy - MBE), Flüssigphasenepitaxie (Liquid Phase Epitaxy - LPE), Gasphasenepitaxie (Vapor Phase Epitaxy - VPE), selektives epitaktisches Aufwachsen (Selective Epitaxial Growth - SEG), dergleichen oder eine Kombination davon gebildet werden.Then become epitaxial source / drain regions 80A in the Finns 64A educated. The epitaxial source / drain regions 80A can be done by etching the fins 64A (For example, etching the LDD regions within the fins 64A) for forming wells, and epitaxially growing a material in the well, using appropriate methods such as organometallic metalorganic CVD (MOCVD), molecular beam epitaxy (MBE), liquid phase epitaxy (LPE), vapor phase epitaxy (LPE) VPE), selective epitaxial growth (SEG), the like, or a combination thereof.

Wie in 11A veranschaulicht, können Lücken 87 (zum Beispiel Leerraum) zwischen den Source-/Drain-Bereichen 80B und den Abstandhaltern 86 der Gate-Struktur 75B aufgrund des Entfernens der verbleibenden Abschnitte 84R (siehe 10A) der zweiten Abstandsschicht 84 gebildet werden. Die epitaktischen Source-/Drain-Bereiche 80B können zum Beispiel von den Abstandhaltern 86 durch Lücken 87 beabstandet werden. Die Breite der Lücken 87 kann durch die Breite der verbleibenden Abschnitte 84R der zweiten Abstandsschicht 84, bestimmt werden. Die Breite der Lücken 87 kann zum Beispiel zwischen etwa 0 nm und 3 nm liegen, obwohl andere Maße auch möglich sind. Im Gegensatz dazu berührt der epitaktische Source-/Drain-Bereich 80A die Abstandhalter 86 der Gate-Struktur 75A physisch, und folglich besteht bei einigen Ausführungsformen keine Lücke zwischen dem epitaktischen Source-/Drain-Bereich 80A und den Abstandhaltern der Gate-Struktur 75A. Bei einigen Ausführungsformen ist ein Abstand zwischen den epitaktischen Source-/Drain-Bereichen 80B und der Gate-Struktur 75B größer als ein Abstand zwischen den epitaktischen Source-/Drain-Bereichen 80A und der Gate-Struktur 75A.As in 11A illustrates gaps 87 (for example, white space) between the source / drain regions 80B and the spacers 86 the gate structure 75B due to the removal of the remaining sections 84R (please refer 10A) the second spacer layer 84 be formed. The epitaxial source / drain regions 80B for example, from the spacers 86 through gaps 87 be spaced. The width of the gaps 87 can be determined by the width of the remaining sections 84R the second spacer layer 84 to be determined. The width of the gaps 87 may be between about 0 nm and 3 nm, for example, although other dimensions are possible. In contrast, the epitaxial source / drain region is affected 80A the spacers 86 the gate structure 75A physically, and thus, in some embodiments, there is no gap between the epitaxial source / drain region 80A and the spacers of the gate structure 75A , In some embodiments, there is a gap between the epitaxial source / drain regions 80B and the gate structure 75B greater than a distance between the epitaxial source / drain regions 80A and the gate structure 75A ,

Wie in den 11B veranschaulicht, vertieft das Ätzen der LDD-Bereiche innerhalb der Finnen 64A eine obere Oberfläche 64AU der Finnen 64A. Das Ätzen des LDD-Bereichs innerhalb der Finnen 64A kann auch Abschnitte der dielektrischen Schicht 66, die über der oberen Oberfläche 64AU angeordnet ist, wie in 11B veranschaulicht, entfernen, obwohl bei anderen Ausführungsformen das Ätzen des LDD-Bereichs innerhalb der Finnen 64A die dielektrische Schicht 66 nicht entfernt. Bei der veranschaulichten Ausführungsform der 11B liegt die vertiefte obere Oberfläche 64AU über der oberen Oberfläche 62U der Isolationsbereiche 62. Bei anderen Ausführungsformen kann die vertiefte obere Oberfläche der Finnen 64A unter (siehe zum Beispiel 64AU") oder bündig mit (siehe zum Beispiel 64AU') der oberen Oberfläche 62U der Isolationsbereiche 62 liegen. Wie in den 11B und 11C veranschaulicht, erstreckt sich eine obere Oberfläche 64BU der Finnen 64B weiter von der oberen Oberfläche 62U der Isolationsbereiche 62 weg als die vertiefte obere Oberfläche 64AU/64AU'/64AU" der Finnen 64A. Bei einigen Ausführungsformen ist die obere Oberfläche 64BU um etwa 18 nm bis etwa 23 nm höher (erstreckt sich zum Beispiel weiter von der oberen Oberfläche 62U weg) als die vertiefte obere Oberfläche 64AU/64AU'/64AU".As in the 11B illustrates, deepens the etching of the LDD regions within the fins 64A an upper surface 64AU the Finns 64A , The etching of the LDD region within the fins 64A can also be sections of the dielectric layer 66 that over the top surface 64AU is arranged as in 11B 4, although in other embodiments, the etching of the LDD region within the fins is removed 64A the dielectric layer 66 not removed. In the illustrated embodiment of the 11B lies the recessed upper surface 64AU above the upper surface 62U the isolation areas 62 , In other embodiments, the recessed upper surface of the fins may be 64A under (see for example 64AU ") or flush with (see for example 64AU ' ) of the upper surface 62U the isolation areas 62 lie. As in the 11B and 11C illustrates an upper surface extends 64BU the Finns 64B further from the upper surface 62U the isolation areas 62 away as the recessed upper surface 64AU / 64AU ' / 64AU " the Finns 64A , In some embodiments, the top surface 64BU is about 18 nm to about 23 nm higher (extending farther from the top surface, for example) 62U away) than the recessed upper surface 64AU / 64AU ' / 64AU " ,

Unter Bezugnahme auf 11B wächst als ein Resultat des Ätzens der LDD-Bereiche innerhalb der Finnen 64A ein Unterabschnitt der epitaktischen Source-/Drainbereiche 80A in der Vertiefung zwischen der ersten Abstandsschicht 86 zuerst. Sobald die Vertiefung gefüllt ist, werden obere Abschnitte der epitaktischen Source-/Drain-Bereiche 80A über den Finnen 64A gebildet und können sich vereinen, um kontinuierliche Source-/Drain-Bereiche 80A zu bilden. Bei einigen Ausführungsformen kontaktiert eine unterste Oberfläche 80AL der epitaktischen Source-/Drain-Bereiche 80A die vertiefte obere Oberfläche 64AU/64AU/64AU" der Finne 64A, wie in 11B veranschaulicht. Im Gegensatz dazu kann eine unterste Oberfläche 80BL der epitaktischen Source-/Drain-Bereiche 80B unter der oberen Oberfläche 64BU der Finne 64B, wie in 11C veranschaulicht, liegen. Bei einigen Ausführungsformen liegt die unterste Oberfläche 80AL der epitaktischen Source-/Drain-Bereiche 80A tiefer (zum Beispiel näher an der oberen Hauptoberfläche 50U des Substrats 50) als die unterste Oberfläche 80BL der epitaktischen Source-/Drain-Bereiche 80B.With reference to 11B grows as a result of the etching of the LDD regions within the fins 64A a subsection of the epitaxial source / drain regions 80A in the recess between the first spacer layer 86 first. Once the well is filled, upper portions of the epitaxial source / drain regions become 80A over the Finn 64A formed and can combine to form continuous source / drain regions 80A to build. In some embodiments, a bottommost surface contacts 80AL the epitaxial source / drain regions 80A the recessed upper surface 64AU / 64AU / 64AU " the Finnish man 64A , as in 11B illustrated. In contrast, a bottom surface 80BL of the epitaxial source / drain regions 80B under the upper surface 64BU the Finnish man 64B , as in 11C illustrates lie. In some embodiments, the bottom surface is located 80AL the epitaxial source / drain regions 80A deeper (for example closer to the upper main surface 50U of the substrate 50 ) as the lowest surface 80BL the epitaxial source / drain regions 80B ,

Wie in den 11A und 11B veranschaulicht, können die epitaktischen Source-/Drain-Bereiche 80A aufweisen, die über jeweilige Oberflächen der Finnen 64A erhöhte Oberflächen haben (zum Beispiel über die nicht vertieften Abschnitte der Finnen 64A erhoben) und können Facetten haben. Die Source-/Drain-Bereiche 80A der benachbarten Finnen 64A können sich vereinen, um einen kontinuierlichen epitaktischen Source-/Drain-Bereich 80A zu bilden. Bei einigen Ausführungsformen ist der resultierende FinFET in dem Bereich 200 einen N-Typ-FinFET, und Source-/Drain-Bereiche 80A umfassen Siliziumkarbid (SiC), Siliziumphosphor (SiP), phosphordotierten Silizium-Kohlenstoff (SiCP) oder dergleichen.As in the 11A and 11B illustrates, the epitaxial source / drain regions 80A having over respective surfaces of the fins 64A have raised surfaces (for example, over the non-recessed portions of the fins 64A raised) and can have facets. The source / drain regions 80A the neighboring Finns 64A can combine to form a continuous epitaxial source / drain region 80A to build. In some embodiments, the resulting FinFET is in the range 200 an N-type FinFET, and source / drain regions 80A include silicon carbide (SiC), silicon phosphorus (SiP), phosphorus doped silicon carbon (SiCP), or the like.

Die epitaktischen Source-/Drain-Bereiche 80A können mit Dotierstoffen gefolgt von einem Glühen implantiert werden. Die Source-/Drain-Bereiche 80A können eine Verunreinigungs-(zum Beispiel Dotierstoff)-Konzentration in einem Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 aufweisen. Bei einigen Ausführungsformen können die epitaktischen Source-/Drain-Bereiche in situ während des Aufwachsens dotiert werden.The epitaxial source / drain regions 80A can be implanted with dopants followed by annealing. The source / drain regions 80A may have an impurity (for example dopant) concentration in a range of about 10 19 cm -3 to about 10 21 cm -3 . In some embodiments, the epitaxial source / drain regions may be doped in situ during growth.

Wie in den 12A bis 12C veranschaulicht, wird dann eine Kontaktätzstoppschicht (CESL) 105 (zum Beispiel formangeglichen) über der Struktur, die in den 11A bis 11C gezeigt ist, gebildet, und danach wird ein erstes Grenzschichtdielektrikum (ILD) 90 über der CESL 105 gebildet. Die CESL kann ein beliebiges zweckdienliches Material, wie TiN, aufweisen und kann durch ein zweckdienliches Verfahren, wie PVD, CVD oder dergleichen gebildet werden. Bei einigen Ausführungsformen wird das erste ILD 90 aus einem dielektrischen Material wie Siliziumoxid, Phosphorglas (Phosphosilicate Glass - PSG), Bor-dotiertem Siliziumglas (Boron doped Silicon Glass - BSG), und undotiertem Siliziumglas (Undoped Silicate Glass - USG) oder dergleichen gebildet und kann durch ein beliebiges zweckdienliches Verfahren, wie CVD, PECVD oder FCVD, abgeschieden werden. Ein Planarisierungsprozess, wie ein CMP-Prozess, kann ausgeführt werden, um die obere Oberfläche des ersten ILD 90 zu planarisieren, so dass die obere Oberfläche des ersten ILD 90 mit der oberen Oberfläche des Gates 68 niveaugleich ist. Die Maske 70 (siehe 11A), Abschnitte der ersten Abstandsschicht 86 und Abschnitte der CESL 105 über der oberen Oberfläche des Gates 68 können durch den CMP-Prozess entfernt werden. Nach dem CMP-Prozess ist folglich bei einigen Ausführungsformen die obere Oberfläche des Gates 86 freigelegt. Bei dem Beispiel der 12B und 12C existiert eine Luftlücke zwischen dem epitaktischen Source-/Drain-Bereich 80A (oder 80B) und den darunterliegenden Isolationsbereichen 62.As in the 12A to 12C illustrates, then a contact etch stop layer (CESL) 105 (for example, formatted) over the structure that in the 11A to 11C is formed and thereafter a first interface dielectric (ILD) is formed. 90 over the CESL 105 educated. The CESL may comprise any suitable material, such as TiN, and may be formed by any convenient method, such as PVD, CVD, or the like. In some embodiments, the first ILD 90 formed from a dielectric material such as silicon oxide, phosphorous glass (PSG), boron doped silicon glass (BSG), and undoped silicate glass (USG) or the like, and may be formed by any convenient method, such as CVD, PECVD or FCVD. A planarization process, such as a CMP process, may be performed to the top surface of the first ILD 90 planarize, leaving the top surface of the first ILD 90 with the upper surface of the gate 68 is at the same level. The mask 70 (please refer 11A) , Sections of the first spacer layer 86 and sections of CESL 105 over the upper surface of the gate 68 can be removed through the CMP process. Thus, in some embodiments, after the CMP process, in some embodiments, the top surface of the gate is 86 exposed. In the example of 12B and 12C There is an air gap between the epitaxial source / drain region 80A (or 80B ) and the underlying isolation areas 62 ,

Die 13 bis 16 veranschaulichen Querschnittansichten des FinFET-Bauteils 100 bei weiteren Verarbeitungsschritten entlang des Querschnitts I-I. Wie in 13 veranschaulicht, wird ein Gate-Last-Prozess (gelegentlich Ersatz-Gate-Prozess) ausgeführt. Bei einem Gate-Last-Prozess werden das Gate 68 und das Gate-Dielektrikum 66 (siehe 12A) als Dummy-Strukturen betrachtet und werden entfernt und mit einem aktiven Gate (auch ein Ersatz-Gate genannt) und einem aktiven Gate-Dielektrikum ersetzt. Bei einigen Ausführungsformen ist das aktive Gate ein Metall-Gate.The 13 to 16 illustrate cross-sectional views of the FinFET device 100 in further processing steps along the cross-section II , As in 13 1, a gate-load process (occasionally replacement gate process) is performed. In a gate-load process, the gate 68 and the gate dielectric 66 (please refer 12A) are considered as dummy structures and are removed and having an active gate (also called a spare gate) and an active gate Replaced gate dielectric. In some embodiments, the active gate is a metal gate.

Unter Bezugnahme auf 13 werden das Gate 68 und das Gate-Dielektrikum 66 direkt unter dem Gate 68 bei einem bzw. mehreren Ätzschritten entfernt, so dass Vertiefungen (nicht gezeigt) zwischen jeweiligen Abstandsschichten 86 gebildet werden. Die Vertiefungen werden durch darauffolgendes Bilden einer dielektrischen Gate-Schicht 96, einer Sperrschicht 94, einer Keimschicht 92 und einer Gate-Elektrode 98 in den Vertiefungen gefüllt.With reference to 13 become the gate 68 and the gate dielectric 66 directly under the gate 68 at one or more etching steps, so that recesses (not shown) between respective spacer layers 86 be formed. The pits are formed by subsequently forming a gate dielectric layer 96 , a barrier layer 94 , a germ layer 92 and a gate electrode 98 filled in the wells.

Bei einigen Ausführungsformen wird die dielektrische Gate-Schicht 96 formangeglichen in den Vertiefungen gebildet. Die dielektrische Gate-Schicht 96 kann Siliziumdioxid aufweisen. Das Siliziumoxid kann durch zweckdienliche Oxidations- und/oder Abscheidungsverfahren gebildet werden. Bei einigen Ausführungsformen weist die dielektrische Gate-Schicht 96 eine dielektrische High-K-Schicht wie Hafniumoxid (HfO2) auf. Alternativ kann die dielektrische High-K-Schicht andere High-K-Dielektrika aufweisen, wie TiO2, HfZrO, Ta2O3, HfSiO4, ZrO2, ZrSiO2, Kombinationen davon oder anderes zweckdienliches Material. Die dielektrische High-K-Schicht kann durch ALD, PVD, CVD oder andere zweckdienliche Verfahren gebildet werden.In some embodiments, the gate dielectric layer becomes 96 formally formed in the depressions. The gate dielectric layer 96 may have silicon dioxide. The silica may be formed by convenient oxidation and / or deposition techniques. In some embodiments, the gate dielectric layer is 96 a high-K dielectric layer such as hafnium oxide (HfO 2 ). Alternatively, the high-K dielectric layer may comprise other high-K dielectrics, such as TiO 2 , HfZrO, Ta 2 O 3 , HfSiO 4 , ZrO 2 , ZrSiO 2, combinations thereof, or other suitable material. The high-K dielectric layer may be formed by ALD, PVD, CVD or other suitable methods.

Dann wird eine Sperrschicht 94 formangeglichen über der dielektrischen Gate-Schicht 96 gebildet. Die Sperrschicht 94 kann Herausdiffundieren des Materials einer anschließend gebildeten Gate-Elektrode (zum Beispiel 98) verhindern oder verringern. Die Sperrschicht 94 kann ein leitendes Material wie Titannitrid umfassen, obwohl andere Materialien, wie Tantalnitrid, Titan, Tantal oder dergleichen, alternativ verwendet werden können. Die Sperrschicht kann 94 unter Verwenden eines CVD-Prozesses, wie plasmaverstärkte CVD (PECVD), gebildet werden. Andere alternative Prozesse, wie Sputtern oder metallorganische-chemische Gasphasenabscheidung (Metal Organic Chemical Vapor Deposition - MOCVD), ALD, können alternativ verwendet werden.Then a barrier layer 94 conformally over the gate dielectric layer 96 educated. The barrier layer 94 may prevent or reduce outdiffusion of the material of a subsequently formed gate electrode (for example, 98). The barrier layer 94 may include a conductive material such as titanium nitride, although other materials such as tantalum nitride, titanium, tantalum or the like may alternatively be used. The barrier layer may be formed using a CVD process, such as plasma-enhanced CVD (PECVD). Other alternative processes, such as sputtering or metal organic chemical vapor deposition (MOCVD), ALD, may alternatively be used.

Dann wird eine Keimschicht 92 formangeglichen über der dielektrischen Sperrschicht 94 gebildet. Die Keimschicht kann Kupfer (Cu), Titan (Ti), Tantal (Ta), Titannitrid (TiN), Tantalnitrid (TaN), dergleichen oder eine Kombination davon aufweisen und kann durch Atomschichtabscheidung (Atomic Layer Deposition - ALD), Sputtern, physikalische Gasphasenabscheidung (Physical Vapor Deposition - PVD) oder dergleichen abgeschieden werden. Bei einigen Ausführungsformen ist die Keimschicht eine Metallschicht, die eine einzelne Schicht oder eine Verbundschicht, die eine Mehrzahl von Subschichten, die aus unterschiedlichen Materialien gebildet sind, umfasst. Bei einigen Ausführungsformen umfasst die Keimschicht eine Titanschicht und eine Kupferschicht über der Titanschicht.Then a germ layer 92 conformally over the dielectric barrier 94 educated. The seed layer may include copper (Cu), titanium (Ti), tantalum (Ta), titanium nitride (TiN), tantalum nitride (TaN), the like, or a combination thereof and may be atomic layer deposition (ALD), sputtering, physical vapor deposition (Physical Vapor Deposition - PVD) or the like are deposited. In some embodiments, the seed layer is a metal layer that comprises a single layer or a composite layer that includes a plurality of sublayers formed of different materials. In some embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer.

Dann wird ein leitfähiges Material über der Keimschicht gebildet, um die Vertiefungen zu füllen, um die Gate-Elektrode 98 zu bilden. Das leitende Material kann Wolfram umfassen, obwohl andere zweckdienliche Materialien, wie Aluminium, Kupfer, Ruthenium, Silber, Gold, Rhodium, Molybdän, Nickel, Kobalt, Cadmium, Zink, Legierungen davon, Kombinationen davon und dergleichen, alternativ eingesetzt werden können. Das leitende Material kann durch Galvanisieren, PVD, CVD oder ein beliebiges zweckdienliches Abscheidungsverfahren gebildet werden. Ein Planarisierungsprozess, wie ein CMP, kann ausgeführt werden, um überschüssige Abschnitte der dielektrischen Gate-Schicht 96, der Sperrschicht 94, der Keimschicht 92 und der Gate-Elektrode 98 zu entfernen, wobei überschüssige Abschnitte zum Beispiel über der oberen Oberfläche des ersten ILD 90 angeordnet sind. Die verbleibenden Abschnitte der dielektrischen Gate-Schicht 96, der Sperrschicht 94, der Keimschicht 92 und der Gate-Elektrode 98 in den Vertiefungen bilden die Ersatz-Gates 97 des FinFET-Bauteils 100.Then, a conductive material is formed over the seed layer to fill the recesses to the gate electrode 98 to build. The conductive material may include tungsten, although other useful materials such as aluminum, copper, ruthenium, silver, gold, rhodium, molybdenum, nickel, cobalt, cadmium, zinc, alloys thereof, combinations thereof, and the like may alternatively be employed. The conductive material may be formed by electroplating, PVD, CVD or any convenient deposition method. A planarization process, such as a CMP, may be performed to cover excess portions of the gate dielectric layer 96 , the barrier layer 94 , the germ layer 92 and the gate electrode 98 For example, remove excess sections above the top surface of the first ILD 90 are arranged. The remaining portions of the gate dielectric layer 96 , the barrier layer 94 , the germ layer 92 and the gate electrode 98 in the depressions form the replacement gates 97 of the FinFET device 100 ,

Dann wird unter Bezugnahme auf 14 ein zweites ILD 95 über dem ersten ILD 90 abgeschieden. Bei einer Ausführungsform ist das zweite ILD 95 eine fließbare Folie, die anhand eines fließbaren CVD-Verfahrens gebildet wird. Bei einigen Ausführungsformen wird das zweite ILD 95 aus einem dielektrischen Material, wie PSG, BSG, BPSG, USG oder dergleichen, gebildet und kann anhand eines beliebigen zweckdienlichen Verfahrens, wie CVD und PECVD, abgeschieden werden. Kontaktöffnungen 91 und 93 für Kontaktstöpsel 102 (siehe 16) werden durch das erste ILD 90 und/oder das zweite ILD 95 gebildet. Die Kontaktöffnung 91 wird zum Beispiel durch das zweite ILD 95 gebildet und legt das Ersatz-Gate 97 frei, während die Kontaktöffnungen 93 durch das erste ILD 90 und das zweite ILD 95 gebildet werden und Source-/Drain-Bereiche 80A/80B freilegen.Then, referring to 14 a second ILD 95 over the first ILD 90 deposited. In one embodiment, the second is ILD 95 a flowable film formed by a flowable CVD process. In some embodiments, the second ILD becomes 95 is formed of a dielectric material such as PSG, BSG, BPSG, USG or the like, and may be deposited by any convenient method such as CVD and PECVD. contact openings 91 and 93 for contact plugs 102 (please refer 16 ) be through the first ILD 90 and / or the second ILD 95 educated. The contact opening 91 for example, by the second ILD 95 formed and sets the replacement gate 97 free while the contact openings 93 through the first ILD 90 and the second ILD 95 be formed and source / drain areas 80A / 80B uncover.

Dann werden in 15 Silizidbereiche 82 in den Source-/Drain-Bereichen 80A/80B gebildet, und eine Sperrschicht 104 wird über den Silizidbereichen 82 und dem zweiten ILD 95 gebildet. Bei einigen Ausführungsformen werden die Silizidbereiche 82 durch Abscheiden über den Source-/Drain-Bereichen 80A/80B eines Metalls gebildet, das zum Reagieren mit Halbleitermaterialien fähig ist (zum Beispiel Silizium, Germanium), um Silizid- oder Germanid-Bereiche zu bilden. Das Metall kann Nickel, Cobalt, Titan, Tantal, Platin, Wolfram oder andere Edelmetalle, andere feuerfeste Metalle, Seltenerdmetalle oder ihre Legierungen sein. Ein thermischer Glühprozess wird dann ausgeführt, so dass das abgeschiedene Metall mit den Source-/Drain-Bereichen 80A/80B reagiert, um Silizid-Bereiche 82 zu bilden. Nach dem thermischen Glühprozess wird Metall, das nicht reagiert hat, entfernt.Then be in 15 silicide 82 in the source / drain regions 80A / 80B formed, and a barrier layer 104 is over the silicide areas 82 and the second ILD 95 educated. In some embodiments, the silicide areas become 82 by depositing over the source / drain regions 80A / 80B of a metal capable of reacting with semiconductor materials (for example, silicon, germanium) to form silicide or germanide regions. The metal may be nickel, cobalt, titanium, tantalum, platinum, tungsten or other precious metals, other refractory metals, rare earth metals or their alloys. A thermal annealing process is then performed such that the deposited metal is in contact with the source / drain regions 80A / 80B reacts to Silicide regions 82 to build. After the thermal annealing process, metal that has not reacted is removed.

Die Sperrschicht 104 wird formangeglichen über den Silizid-Bereichen 82 und dem zweiten ILD 95 gebildet und überzieht Seitenwände und Gründe der Kontaktöffnungen 91/93. Die Sperrschicht 104 kann ein elektrisch leitendes Material wie Titan (Ti), Titannitrid (TiN), Tantal (Ta), Tantalnitrid (TaN) oder dergleichen, umfassen und kann unter Verwenden eines CVD-Prozesses, wie einer plasmaverstärkten CVD (PECVD) gebildet werden. Andere alternative Prozesse, wie Sputtern oder metallorganische-chemische Gasphasenabscheidung (MOCVD), physikalische Gasphasenabscheidung (Physical Vapor Deposition - PVD) Atomschichtabscheidung (ALD) können alternativ verwendet werden.The barrier layer 104 is formatted over the silicide areas 82 and the second ILD 95 formed and covers sidewalls and grounds of contact openings 91 / 93 , The barrier layer 104 may comprise an electrically conductive material such as titanium (Ti), titanium nitride (TiN), tantalum (Ta), tantalum nitride (TaN) or the like, and may be formed using a CVD process such as plasma enhanced CVD (PECVD). Other alternative processes, such as sputtering or metalorganic chemical vapor deposition (MOCVD), Physical Vapor Deposition (PVD) atomic layer deposition (ALD) may alternatively be used.

Dann wird in 16 eine Keimschicht 109 über der Sperrschicht 104 gebildet, und ein elektrisch leitendes Material 110 wird über der Keimschicht 109 gebildet. Die Keimschicht 109 kann durch PVD, ALD oder CVD abgeschieden werden und kann aus Wolfram, Kupfer oder Kupferlegierungen gebildet werden, obwohl andere zweckdienliche Verfahren und Materialien alternativ verwendet werden können.Then it will be in 16 a germ layer 109 above the barrier layer 104 formed, and an electrically conductive material 110 becomes over the germ layer 109 educated. The germ layer 109 may be deposited by PVD, ALD or CVD and may be formed from tungsten, copper or copper alloys, although other convenient methods and materials may alternatively be used.

Sobald die Keimschicht 109 gebildet wurde, kann das leitende Material 110 auf der Keimschicht 109 gebildet werden, um die Kontaktöffnungen 91/93 zu füllen. Das leitende Material 110 kann Wolfram umfassen, obwohl andere zweckdienliche Materialien wie Aluminium, Kupfer, Wolframnitrid, Ruthenium, Silber, Gold, Rhodium, Molybdän, Nickel, Kobalt, Cadmium, Zink, Legierungen davon, Kombinationen davon und dergleichen, alternativ eingesetzt werden können. Jedes beliebige zweckdienliche Abscheidungsverfahren, wie PVD, CVD, ALD, Galvanisieren (zum Beispiel elektrisches Galvanisieren) und Reflow, kann zum Bilden des leitenden Materials 110 verwendet werden.Once the germ layer 109 was formed, the conductive material 110 on the germ layer 109 be formed to the contact openings 91 / 93 to fill. The conductive material 110 For example, tungsten may include, although other useful materials such as aluminum, copper, tungsten nitride, ruthenium, silver, gold, rhodium, molybdenum, nickel, cobalt, cadmium, zinc, alloys thereof, combinations thereof, and the like may alternatively be employed. Any convenient deposition method, such as PVD, CVD, ALD, electroplating (e.g., electroplating), and reflow, may be used to form the conductive material 110 be used.

Sobald die Kontaktöffnungen 91/93 gefüllt wurden, können überschüssige Sperrschicht 104, Keimschicht 109 und überschüssiges leitendes Material 110 außerhalb der Kontaktöffnungen 91/93 durch einen Planarisierungsprozesses, wie CMP, entfernt werden, obwohl jeder beliebige zweckdienliche Entfernungsprozess verwendet werden kann. Kontaktstöpsel 102 werden daher in den Kontaktöffnungen 91/93 gebildet. Obwohl Kontaktstöpsel 102 über den Source-/Drain-Bereichen 80A/80B und über dem Ersatz-Gate 97 in einem selben Querschnitt in 16 veranschaulicht sind, können die Kontaktstöpsel 102 in unterschiedlichen Querschnitten in dem FinFET-Bauteil 100 sein.Once the contact openings 91 / 93 could be filled, excess barrier layer 104 , Germ layer 109 and excess conductive material 110 outside the contact openings 91 / 93 by a planarization process, such as CMP, although any convenient removal process can be used. contact plugs 102 are therefore in the contact openings 91 / 93 educated. Although contact plugs 102 over the source / drain regions 80A / 80B and over the replacement gate 97 in a same cross section in 16 Illustrated are the contact plugs 102 in different cross sections in the FinFET device 100 his.

17 veranschaulicht ein Ablaufdiagramm eines Verfahrens zum Bilden eines Halbleiterbauteils in Übereinstimmung mit einigen Ausführungsformen. Man muss verstehen, dass das in 17 gezeigte Ausführungsverfahren nur ein Beispiel vieler möglicher Ausführungsverfahren ist. Ein Durchschnittsfachmann würde viele Variationen, Alternativen und Änderungen erkennen. Diverse Schritte, wie in 17 veranschaulicht, können zum Beispiel hinzugefügt, entfernt, ersetzt, neu angeordnet und wiederholt werden. 17 FIG. 12 illustrates a flowchart of a method of forming a semiconductor device in accordance with some embodiments. You have to understand that in 17 The execution method shown is only one example of many possible execution methods. One of ordinary skill in the art would recognize many variations, alternatives and changes. Various steps, like in 17 can be added, removed, replaced, rearranged, and repeated, for example.

Unter Bezugnahme auf 17 wird bei Schritt 1010 eine erste Finne über einem Substrat vorragend gebildet, wobei die erste Finne einen PMOS-Bereich und einen NMOS-Bereich aufweist. Bei Schritt 1020 wird eine erste Gate-Struktur über der ersten Finne in dem PMOS-Bereich gebildet. Bei Schritt 1030 wird eine erste Abstandsschicht über der ersten Finne und der ersten Gate-Struktur gebildet. Bei Schritt 1040 wird eine zweite Abstandsschicht über der ersten Abstandsschicht gebildet. Bei Schritt 1050 wird ein erster Ätzprozess ausgeführt, um die zweite Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich zu entfernen. Bei Schritt 1060 wird ein zweiter Ätzprozess ausgeführt, um die erste Abstandsschicht von der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich zu entfernen. Bei Schritt 1070 wird ein erstes Source-/Drain-Material epitaktisch über der ersten Finne in dem PMOS-Bereich aufgewachsen, wobei sich das erste Source-/Drain-Material entlang der oberen Oberfläche und der Seitenwände der ersten Finne in dem PMOS-Bereich erstreckt.With reference to 17 becomes at step 1010 a first fin projecting above a substrate, the first fin having a PMOS region and an NMOS region. At step 1020 For example, a first gate structure is formed over the first fin in the PMOS region. At step 1030 a first spacer layer is formed over the first fin and the first gate structure. At step 1040 a second spacer layer is formed over the first spacer layer. At step 1050 For example, a first etching process is performed to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region. At step 1060 For example, a second etching process is performed to remove the first spacer layer from the top surface and sidewalls of the first fin in the PMOS region. At step 1070 For example, a first source / drain material is epitaxially grown over the first fin in the PMOS region, with the first source / drain material extending along the top surface and sidewalls of the first fin in the PMOS region.

Ausführungsformen können Vorteile erzielen. Die offenbarte mehrschichtige Abstandstruktur mit der ersten Abstandsschicht 86 und der zweiten Abstandsschicht-Struktur 84, gemeinsam mit dem offenbarten Ätzprozess (zum Beispiel Trockenätzen gefolgt von Nassätzen), entfernt die Abstandsschichten (zum Beispiel 84 und 86) von der oberen Oberfläche und Seitenwänden der Finnen 64B, während Abschnitte der Abstandsschichten auf den Seitenwänden der Gate-Struktur 75B behalten werden. Die Mantel-Epitaxiestruktur (zum Beispiel 80B) wird auf der oberen Oberfläche und den Seitenwänden der Finnen 65B mit einem großen Volumen gebildet, was in verbesserter Bauteilleistung, wie niedrigerem Draininduziertem Sperrverlust (DIBL), höherem ON-Strom Ion, niedrigerem Kontaktwiderstand und verbesserter Bauteilzuverlässigkeit resultiert. Zusätzlich wird Beschädigung an der Gate-Struktur 75B und den Finnen 64B verringert, was in besserer Steuerung des Profils des gebildeten FinFET-Bauteils resultiert. Des Weiteren wird der Ladeeffekt zwischen inneren Abschnitten der Isolationsbereiche 62 und äußeren Abschnitten der Isolationsbereiche 62 verringert. Ein anderer Vorteil ist verbesserte Beanspruchung des PMOS-Kanals aufgrund der Mantel-Epitaxiestruktur, die durch die vorliegende Offenbarung ermöglicht wird.Embodiments can achieve advantages. The disclosed multi-layered spacer structure with the first spacer layer 86 and the second spacer layer structure 84 together with the disclosed etching process (for example, dry etching followed by wet etching) removes the spacer layers (for example 84 and 86 ) from the top surface and side walls of the fins 64B while portions of the spacer layers on the sidewalls of the gate structure 75B to be kept. The mantle epitaxy structure (for example 80B ) becomes on the upper surface and the side walls of the Finns 65B formed with a large volume, resulting in improved device performance, such as lower drain-induced blocking loss (DIBL), higher ON current I on , lower contact resistance, and improved device reliability. In addition, damage to the gate structure 75B and the Finns 64B which results in better control of the profile of the formed FinFET device. Furthermore, the charging effect becomes between inner portions of the isolation areas 62 and outer sections of the isolation areas 62 reduced. Another advantage is improved PMOS channel stress due to the cladding Epitaxial structure enabled by the present disclosure.

Bei einer Ausführungsform weist ein Verfahren das Bilden einer ersten Finne, die über einem Substrat vorragt, auf, wobei die erste Finne einen PMOS-Bereich hat; das Bilden einer ersten Gate-Struktur über der ersten Finne in dem PMOS-Bereich; das Bilden einer ersten Abstandsschicht über der ersten Finne und der ersten Gate-Struktur; das Bilden einer zweiten Abstandsschicht über der ersten Abstandsschicht; das Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich; das Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht von der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich; und das epitaktische Aufwachsen eines ersten Source-/Drain-Materials über der ersten Finne in dem PMOS-Bereich, wobei sich das erste Source-/Drain-Material entlang der oberen Oberfläche und der Seitenwände der ersten Finne in dem PMOS-Bereich erstreckt. Bei einer Ausführungsform werden die erste Abstandsschicht und die zweite Abstandsschicht aus unterschiedlichen Materialien gebildet. Bei einer Ausführungsform umfasst das Ausführen des ersten Ätzprozesses das Ausführen eines anisotropen Ätzprozesses. Bei einer Ausführungsform wird nach dem Ausführen des ersten Ätzprozesses die erste Abstandsschicht über der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich freigelegt, und ein verbleibender Abschnitt der zweiten Abstandsschicht erstreckt sich entlang von Seitenwänden der ersten Gate-Struktur, und die erste Abstandsschicht liegt zwischen dem verbleibenden Abschnitt der zweiten Abstandsschicht und der ersten Gate-Struktur. Bei einer Ausführungsform legte der zweite Ätzprozess die obere Oberfläche und die Seitenwände der ersten Finne in dem PMOS-Bereich frei. Bei einer Ausführungsform umfasst das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses, wobei der Plasma-Ätzprozess einen ersten Plasma-Ätzschritt und einen zweiten Plasma-Ätzschritt umfasst, wobei der erste Plasma-Ätzschritt unter Verwenden von Tetrafluormethan (CF4) ausgeführt wird, und der zweite Plasma-Ätzschritt unter Verwenden von Sauerstoff (O2) ausgeführt wird. Bei einer Ausführungsform weist das Ausführen des zweiten Ätzprozesses das Ausführen eines chemischen Ätzprozesses auf, wobei der chemische Ätzprozess einen ersten Schritt, einen zweiten Schritt, einen dritten Schritt und einen vierten Schritt, die sequenziell ausgeführt werden, aufweist. Bei einer Ausführungsform wird der erste Schritt unter Verwenden eines Gemischs ausgeführt, das Wasserstoffperoxid (H2O2) und Ozon (O3) verwendet, der zweite Schritt wird unter Verwenden verdünnter Fluorwasserstoffsäure (dHF) ausgeführt, der dritte Schritt wird unter Verwenden von Phosphorsäure (H3PO4) ausgeführt, und der vierte Schritt wird unter Verwenden des STD-Reinigungsfluids ausgeführt, das ein Gemisch ist, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) umfasst. Bei einer Ausführungsform weist die erste Finne weiter einen NMOS-Bereich auf, wobei das Verfahren weiter das Bilden einer zweiten Gate-Struktur über der ersten Finne in dem NMOS-Bereich aufweist, wobei die erste Abstandsschicht und die zweite Abstandsschicht über der zweiten Gate-Struktur gebildet werden, das Bilden einer strukturierten Maskenschicht, um den NMOS-Bereich vor dem Ausführen des ersten Ätzprozesses abzudecken; und das Entfernen der strukturierten Maskenschicht nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials. Bei einer Ausführungsform weist das Verfahren weiter nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials das Entfernen verbleibender Abschnitte der zweiten Abstandsschicht in dem PMOS-Bereich und dem NMOS-Bereich; und das epitaktische Aufwachsen eines zweiten Source-/Drain-Materials über der ersten Finne in dem NMOS-Bereich auf. Bei einer Ausführungsform weist das epitaktische Aufwachsen des zweiten Source-/Drain-Materials das Entfernen eines Abschnitts der ersten Abstandsschicht auf, um eine obere Oberfläche der ersten Finne in dem NMOS-Bereich freizulegen; das Vertiefen der oberen Oberfläche der ersten Finne in dem NMOS-Bereich; und das epitaktische Aufwachsen des zweiten Source-/Drain-Materials über der vertieften oberen Oberfläche der ersten Finne in dem NMOS-Bereich.In an embodiment, a method comprises forming a first fin projecting above a substrate, the first fin having a PMOS region; forming a first gate structure over the first fin in the PMOS region; forming a first spacer layer over the first fin and the first gate structure; forming a second spacer layer over the first spacer layer; performing a first etching process to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region; performing a second etching process to remove the first spacer layer from the top surface and sidewalls of the first fin in the PMOS region; and epitaxially growing a first source / drain over the first fin in the PMOS region, wherein the first source / drain extends along the top surface and sidewalls of the first fin in the PMOS region. In one embodiment, the first spacer layer and the second spacer layer are formed of different materials. In one embodiment, performing the first etching process includes performing an anisotropic etch process. In one embodiment, after performing the first etching process, the first spacer layer over the top surface and the sidewalls of the first fin is exposed in the PMOS region, and a remaining portion of the second spacer layer extends along sidewalls of the first gate structure and first spacer layer is between the remaining portion of the second spacer layer and the first gate structure. In one embodiment, the second etch process exposes the top surface and sidewalls of the first fin in the PMOS region. In one embodiment, performing the first etching process comprises performing a plasma etching process, the plasma etching process comprising a first plasma etching step and a second plasma etching step, wherein the first plasma etching step is performed using tetrafluoromethane (CF 4 ) , and the second plasma etching step is performed using oxygen (O 2 ). In one embodiment, performing the second etching process includes performing a chemical etching process, wherein the chemical etching process comprises a first step, a second step, a third step, and a fourth step performed sequentially. In one embodiment, the first step is performed using a mixture that uses hydrogen peroxide (H 2 O 2 ) and ozone (O 3 ), the second step is performed using dilute hydrofluoric acid (dHF), the third step is using phosphoric acid (H 3 PO 4 ), and the fourth step is carried out using the STD cleaning fluid, which is a mixture comprising deionized water (DIW), ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 ). In one embodiment, the first fin further comprises an NMOS region, the method further comprising forming a second gate structure over the first fin in the NMOS region, wherein the first spacer layer and the second spacer layer are over the second gate structure forming a patterned mask layer to cover the NMOS region prior to performing the first etching process; and removing the patterned mask layer after epitaxially growing the first source / drain material. In one embodiment, after the epitaxial growth of the first source / drain material, the method further comprises removing remaining portions of the second spacer layer in the PMOS region and the NMOS region; and epitaxially growing a second source / drain over the first fin in the NMOS region. In an embodiment, epitaxially growing the second source / drain material comprises removing a portion of the first spacer layer to expose an upper surface of the first fin in the NMOS region; deepening the upper surface of the first fin in the NMOS region; and epitaxially growing the second source / drain material over the recessed top surface of the first fin in the NMOS region.

Bei einer Ausführungsform weist ein Verfahren das Bilden einer Finne, die über einem Substrat vorragt, auf, wobei die Finne einen PMOS-Bereich und einen NMOS-Bereich aufweist; das Bilden eines ersten Gates über der Finne in dem PMOS-Bereich; das Bilden eines zweiten Gates über der Finne in dem NMOS-Bereich; das Bilden einer ersten Abstandsschicht über der Finne, dem ersten Gate und dem zweiten Gate; das Bilden einer zweiten Abstandsschicht, die von der ersten Abstandsschicht unterschiedlich ist, über der ersten Abstandsschicht; das Bilden einer strukturierten Maskenschicht zum Abdecken des NMOS-Bereichs, während der PMOS-Bereich freigelegt gelassen wird; und nach dem Bilden der strukturierten Maskenschicht das Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich; das Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht von der oberen Oberfläche und den Seitenwänden der Finne in dem PMOS-Bereich, wodurch die obere Oberfläche und die Seitenwände der Finne in dem PMOS-Bereich freigelegt werden; und das epitaktische Aufwachsen eines ersten Halbleitermaterials entlang der oberen Oberfläche und der Seitenwände der Finne in dem PMOS-Bereich. Bei einer Ausführungsform wird die erste Abstandsschicht unter Verwenden eines Materials gebildet, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumoxicarbid, Siliziumoxicarbonitrid und Siliziumcarbonitrid besteht, und wobei die zweite Abstandsschicht unter Verwenden eines Materials gebildet wird, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumnitrid und Siliziumcarbonitrid besteht. Bei einer Ausführungsform weist der erste Ätzprozess einen Trockenätzprozess auf, und der zweite Ätzprozess umfasst einen Nassätzprozess. Bei einer Ausführungsform umfasst das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses unter Verwenden von Kohlenmotoroxid, Tetrafluormethan, Sauerstoff oder Ozon auf. Bei einer Ausführungsform weist das Verfahren weiter nach dem epitaktischen Aufwachsen des ersten Halbleitermaterials das Entfernen der strukturierten Maskenschicht; das Vertiefen einer oberen Oberfläche der Finne in dem NMOS-Bereich; und das epitaktische Aufwachsen eines zweiten Halbleitermaterials über der vertieften oberen Oberfläche der Finne in dem NMOS-Bereich auf.In an embodiment, a method includes forming a fin projecting above a substrate, the fin having a PMOS region and an NMOS region; forming a first gate over the fin in the PMOS region; forming a second gate over the fin in the NMOS region; forming a first spacer layer over the fin, the first gate and the second gate; forming a second spacer layer different from the first spacer layer over the first spacer layer; forming a patterned mask layer to cover the NMOS region while leaving the PMOS region exposed; and after forming the patterned mask layer, performing a first etching process to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region; performing a second etching process to remove the first spacer layer from the top surface and the sidewalls of the fin in the PMOS region, thereby exposing the top surface and side walls of the fin in the PMOS region; and epitaxially growing a first semiconductor material along the top surface and the sidewalls of the fin in the PMOS area. In one embodiment, the first spacer layer is formed using a material selected from the group consisting essentially of silicon oxycarbide, silicon oxycarbonitride, and silicon carbonitride, and wherein the second spacer layer is formed using a material selected from the group consisting of which consists essentially of silicon nitride and silicon carbonitride. In one embodiment, the first etching process includes a dry etching process, and the second etching process includes a wet etching process. In one embodiment, performing the first etching process includes performing a plasma etching process using carbon monoxide, tetrafluoromethane, oxygen, or ozone. In one embodiment, after the epitaxial growth of the first semiconductor material, the method further comprises removing the patterned mask layer; deepening a top surface of the fin in the NMOS region; and epitaxially growing a second semiconductor material over the recessed upper surface of the fin in the NMOS region.

Bei einer Ausführungsform weist ein Halbleiterbauteil eine Finne, die über einem Substrat vorragt, auf, wobei die Finne einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei der erste Abschnitt in einem PMOS-Bereich liegt, und der zweite Abschnitt in einem NMOS-Bereich liegt; eine erste Gate-Struktur über dem ersten Abschnitt der Finne in dem PMOS-Bereich; eine zweite Gate-Struktur über dem zweiten Abschnitt der Finne in dem NMOS-Bereich; erste epitaktische Source-/Drain-Bereiche auf gegenüberliegenden Seiten der ersten Gate-Struktur und über dem ersten Abschnitt der Finne, wobei die ersten epitaktischen Source-/Drain-Bereiche in dem PMOS-Bereich liegen und sich entlang einer ersten oberen Oberfläche und erster Seitenwände des ersten Abschnitts der Finne erstrecken; und zweite epitaktische Source-/Drain-Bereiche auf gegenüberliegenden Seiten der zweiten Gate-Struktur und über dem zweiten Abschnitt der Finne, wobei die zweiten epitaktischen Source-/Drain-Bereiche in dem NMOS-Bereich und über einer zweiten oberen Oberfläche des zweiten Abschnitts der Finne in dem NMOS-Bereich liegen. Bei einer Ausführungsform kontaktiert eine unterste Oberfläche der zweiten epitaktischen Source-/Drain-Bereiche die zweite obere Oberfläche des zweiten Abschnitts der Finne in dem NMOS-Bereich. Bei einer Ausführungsform weist das Halbleiterbauteil weiter erste Abstandshalter auf gegenüberliegenden Seitenwänden des zweiten Abschnitts der Finne in dem NMOS-Bereich auf, und gegenüberliegende Seitenwände des ersten Abschnitts der Finne in dem PMOS-Bereich sind frei von ersten Abstandhaltern. Bei einer Ausführungsform erstreckt sich die erste obere Oberfläche des ersten Abschnitts der Finne weiter von dem Substrat als die zweite obere Oberfläche des zweiten Abschnitts der Finne.In one embodiment, a semiconductor device includes a fin projecting above a substrate, the fin having a first portion and a second portion, wherein the first portion is in a PMOS region and the second portion is in an NMOS region ; a first gate structure over the first portion of the fin in the PMOS region; a second gate structure over the second portion of the fin in the NMOS region; first epitaxial source / drain regions on opposite sides of the first gate structure and over the first portion of the fin, the first epitaxial source / drain regions being in the PMOS region and extending along a first top surface and first sidewalls extend the first portion of the fin; and second epitaxial source / drain regions on opposite sides of the second gate structure and over the second portion of the fin, wherein the second epitaxial source / drain regions in the NMOS region and over a second top surface of the second region Finn are in the NMOS range. In one embodiment, a bottom surface of the second epitaxial source / drain regions contacts the second top surface of the second portion of the fin in the NMOS region. In one embodiment, the semiconductor device further includes first spacers on opposite sidewalls of the second portion of the fin in the NMOS region, and opposite sidewalls of the first portion of the fin in the PMOS region are free of first spacers. In one embodiment, the first upper surface of the first portion of the fin extends farther from the substrate than the second upper surface of the second portion of the fin.

Oben Stehendes umreißt die Merkmale mehrerer Ausführungsformen derart, dass der Fachmann die Aspekte der vorliegenden Offenbarung besser versteht. Der Fachmann sollte zu schätzen wissen, dass er die vorliegende Offenbarung ohne Weiteres als eine Grundlage zum Konzipieren oder Ändern anderer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder Erzielen derselben Vorteile der Ausführungsformen, die hier eingeführt werden, verwenden kann. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Schutzbereich der vorliegenden Offenbarung abweichen, und dass er diverse Änderungen, Ersetzungen und Abänderungen hier ohne Abweichen vom Geist und Schutzbereich der vorliegenden Offenbarung ausführen kann.The above outlines the features of several embodiments such that those skilled in the art will better understand the aspects of the present disclosure. One skilled in the art should appreciate that he may readily use the present disclosure as a basis for designing or changing other processes and structures for carrying out the same purposes and / or achieving the same advantages of the embodiments introduced herein. One skilled in the art should also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that it can make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 62592871 [0001]US 62592871 [0001]

Claims (20)

Verfahren, das Folgendes umfasst: Bilden einer ersten Finne, die über einem Substrat vorragt, wobei die erste Finne einen PMOS-Bereich aufweist; Bilden einer ersten Gate-Struktur über der ersten Finne in dem PMOS-Bereich; Bilden einer ersten Abstandsschicht über der ersten Finne und der ersten Gate-Struktur; Bilden einer zweiten Abstandsschicht über der ersten Abstandsschicht; Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht von einer oberen Oberfläche und Seitenwänden der ersten Finne in dem PMOS-Bereich; Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht von der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich; und epitaktisches Aufwachsen eines ersten Source-/Drain-Materials über der ersten Finne in dem PMOS-Bereich, wobei sich das erste Source-/Drain-Material entlang der oberen Oberfläche und der Seitenwände der ersten Finne in dem PMOS-Bereich erstreckt.A method comprising: Forming a first fin protruding over a substrate, the first fin having a PMOS region; Forming a first gate structure over the first fin in the PMOS region; Forming a first spacer layer over the first fin and the first gate structure; Forming a second spacer layer over the first spacer layer; Performing a first etching process to remove the second spacer layer from a top surface and sidewalls of the first fin in the PMOS region; Performing a second etching process to remove the first spacer layer from the top surface and sidewalls of the first fin in the PMOS region; and epitaxially growing a first source / drain material over the first fin in the PMOS region, the first source / drain material extending along the top surface and sidewalls of the first fin in the PMOS region. Verfahren nach Anspruch 1, wobei die erste Abstandsschicht und die zweite Abstandsschicht aus unterschiedlichen Materialien gebildet sind.Method according to Claim 1 wherein the first spacer layer and the second spacer layer are formed of different materials. Verfahren nach Anspruch 1 oder 2, wobei das Ausführen des ersten Ätzprozesses das Ausführen eines anisotropen Ätzprozesses umfasst.Method according to Claim 1 or 2 wherein performing the first etching process comprises performing an anisotropic etch process. Verfahren nach Anspruch 3, wobei nach dem Ausführen des ersten Ätzprozesses die erste Abstandsschicht über der oberen Oberfläche und den Seitenwänden der ersten Finne in dem PMOS-Bereich freigelegt wird, und sich ein verbleibender Abschnitt der zweiten Abstandsschicht entlang von Seitenwänden der ersten Gate-Struktur erstreckt, und wobei die erste Abstandsschicht zwischen dem verbleibenden Abschnitt der zweiten Abstandsschicht und der ersten Gate-Struktur liegt.Method according to Claim 3 wherein, after performing the first etching process, the first spacer layer is exposed over the top surface and sidewalls of the first fin in the PMOS region, and a remaining portion of the second spacer layer extends along sidewalls of the first gate structure, and wherein the first spacer layer is between the remaining portion of the second spacer layer and the first gate structure. Verfahren nach einem der vorstehenden Ansprüche, wobei das Ausführen des zweiten Ätzprozesses die obere Oberfläche und die Seitenwände der ersten Finne in dem PMOS-Bereich freilegt.The method of any one of the preceding claims, wherein performing the second etching process exposes the top surface and sidewalls of the first fin in the PMOS region. Verfahren nach einem der vorstehenden Ansprüche, wobei das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses umfasst, wobei der Plasma-Ätzprozess einen ersten Plasma-Ätzschritt und einen zweiten Plasma-Ätzschritt umfasst, wobei der erste Plasma-Ätzschritt unter Verwenden von Tetrafluormethan (CF4) ausgeführt wird, und der zweite Plasma-Ätzschritt unter Verwenden von Sauerstoff (O2) ausgeführt wird.The method of claim 1, wherein performing the first etching process comprises performing a plasma etching process, the plasma etching process comprising a first plasma etching step and a second plasma etching step, the first plasma etching step using tetrafluoromethane. CF 4 ), and the second plasma etching step is carried out using oxygen (O 2 ). Verfahren nach einem der vorstehenden Ansprüche, wobei das Ausführen des zweiten Ätzprozesses das Ausführen eines chemischen Ätzprozesses umfasst, wobei der chemische Ätzprozess einen ersten Schritt, einen zweiten Schritt, einen dritten Schritt und einen vierten Schritt, die sequenziell ausgeführt werden, umfasst.The method of claim 1, wherein performing the second etching process comprises performing a chemical etching process, wherein the chemical etching process comprises a first step, a second step, a third step and a fourth step performed sequentially. Verfahren nach Anspruch 7, wobei der erste Schritt unter Verwenden eines Gemischs ausgeführt wird, das Wasserstoffperoxid (H2O2) und Ozon (O3) verwendet, der zweite Schritt unter Verwenden verdünnter Fluorwasserstoffsäure (dHF) ausgeführt wird, der dritte Schritt unter Verwenden von Phosphorsäure (H3PO4) ausgeführt wird, und der vierte Schritt unter Verwenden eines Gemischs ausgeführt wird, das deionisiertes Wasser (DIW), Ammoniumhydroxid (NH4OH) und Wasserstoffperoxid (H2O2) umfasst.Method according to Claim 7 wherein the first step is carried out using a mixture using hydrogen peroxide (H 2 O 2 ) and ozone (O 3 ), the second step is carried out using dilute hydrofluoric acid (dHF), the third step using phosphoric acid (H 3 PO 4 ), and the fourth step is carried out using a mixture comprising deionized water (DIW), ammonium hydroxide (NH 4 OH) and hydrogen peroxide (H 2 O 2 ). Verfahren nach einem der vorstehenden Ansprüche, wobei die erste Finne weiter einen NMOS-Bereich hat, wobei das Verfahren weiter Folgendes umfasst: Bilden einer zweiten Gate-Struktur über der ersten Finne in dem NMOS-Bereich, wobei die erste Abstandsschicht und die zweite Abstandsschicht über der zweiten Gate-Struktur gebildet werden; Bilden einer strukturierten Maskenschicht, um den NMOS-Bereich vor dem Ausführen des ersten Ätzprozesses abzudecken; und Entfernen der strukturierten Maske nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials.The method of any one of the preceding claims, wherein the first fin further has an NMOS region, the method further comprising: Forming a second gate structure over the first fin in the NMOS region, wherein the first spacer layer and the second spacer layer are formed over the second gate structure; Forming a patterned mask layer to cover the NMOS region before performing the first etching process; and Removing the patterned mask after epitaxially growing the first source / drain material. Verfahren nach Anspruch 9, das weiter Folgendes umfasst: nach dem epitaktischen Aufwachsen des ersten Source-/Drain-Materials, Entfernen verbleibender Abschnitte der zweiten Abstandsschicht in dem PMOS-Bereich und in dem NMOS-Bereich; und epitaktisches Aufwachsen eines zweiten Source-/Drain-Materials über der ersten Finne in dem NMOS-Bereich.Method according to Claim 9 , further comprising: after epitaxially growing the first source / drain material, removing remaining portions of the second spacer layer in the PMOS region, and in the NMOS region; and epitaxially growing a second source / drain over the first fin in the NMOS region. Verfahren nach Anspruch 10, wobei das epitaktische Aufwachsen des zweiten Source-/Drain-Materials Folgendes umfasst: Entfernen eines Abschnitts der ersten Abstandsschicht, um eine obere Oberfläche der ersten Finne in dem NMOS-Bereich freizulegen; Vertiefen der oberen Oberfläche der ersten Finne in dem NMOS-Bereich; und epitaktisches Aufwachsen des zweiten Source-/Drain-Materials über der vertieften ersten Oberfläche der ersten Finne in dem NMOS-Bereich.Method according to Claim 10 wherein the epitaxial growth of the second source / drain material comprises: removing a portion of the first spacer layer to expose an upper surface of the first fin in the NMOS region; Deepening the upper surface of the first fin in the NMOS region; and epitaxially growing the second source / drain material over the recessed first surface of the first fin in the NMOS region. Verfahren, das Folgendes umfasst: Bilden einer Finne, die über einem Substrat vorragt, wobei die Finne einen PMOS-Bereich und einen NMOS-Bereich aufweist; Bilden einer ersten Gate-Struktur über der Finne in dem PMOS-Bereich; Bilden einer zweiten Gate-Struktur über der Finne in dem NMOS-Bereich; Bilden einer ersten Abstandsschicht über der Finne, dem ersten Gate und dem zweiten Gate; Bilden einer zweiten Abstandsschicht, die von der ersten Abstandsschicht unterschiedlich ist, über der ersten Abstandsschicht; Bilden einer strukturierten Maskenschicht, um den NMOS-Bereich abzudecken, während der PMOS-Bereich freigelegt bleibt; und nach dem Bilden der strukturierten Maskenschicht, Ausführen eines ersten Ätzprozesses zum Entfernen der zweiten Abstandsschicht von einer oberen Oberfläche und Seitenwänden der Finne in dem PMOS-Bereich; Ausführen eines zweiten Ätzprozesses zum Entfernen der ersten Abstandsschicht von der oberen Oberfläche und den Seitenwänden der Finne in dem PMOS-Bereich, wodurch die obere Oberfläche und die Seitenwände der Finne in dem PMOS-Bereich freigelegt werden; und epitaktisches Aufwachsen eines ersten Halbleitermaterials entlang der oberen Oberfläche und der Seitenwände der Finne in dem PMOS-Bereich.A method comprising: Forming a fin protruding over a substrate, the fin having a PMOS region and an NMOS region; Forming a first gate structure over the fin in the PMOS region; Forming a second gate structure over the fin in the NMOS region; Forming a first spacer layer over the fin, the first gate and the second gate; Forming a second spacer layer different from the first spacer layer over the first spacer layer; Forming a patterned mask layer to cover the NMOS region while leaving the PMOS region exposed; and after forming the patterned mask layer, performing a first etching process to remove the second spacer layer from a top surface and sidewalls of the fin in the PMOS region; Performing a second etching process to remove the first spacer layer from the top surface and the sidewalls of the fin in the PMOS region, thereby exposing the top surface and sidewalls of the fin in the PMOS region; and epitaxially growing a first semiconductor material along the top surface and sidewalls of the fin in the PMOS region. Verfahren nach Anspruch 12, wobei die erste Abstandsschicht unter Verwenden eines Materials gebildet wird, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumoxicarbid, Siliziumoxicarbonitrid und Siliziumcarbonitrid besteht, und wobei die zweite Abstandsschicht unter Verwenden eines Materials gebildet wird, das aus der Gruppe ausgewählt wird, die im Wesentlichen aus Siliziumnitrid und Siliziumcarbonitrid besteht.Method according to Claim 12 wherein the first spacer layer is formed using a material selected from the group consisting essentially of silicon oxycarbide, silicon oxycarbonitride and silicon carbonitride, and wherein the second spacer layer is formed using a material selected from the group consisting of consists essentially of silicon nitride and silicon carbonitride. Verfahren nach Anspruch 12 oder 13, wobei der erste Ätzprozess einen Trockenätzprozess umfasst, und der zweite Ätzprozess einen Nassätzprozess umfasst.Method according to Claim 12 or 13 wherein the first etching process comprises a dry etching process, and the second etching process comprises a wet etching process. Verfahren nach einem der vorstehenden Ansprüche 12 bis 14, wobei das Ausführen des ersten Ätzprozesses das Ausführen eines Plasma-Ätzprozesses unter Verwenden von Kohlenmonoxid, Tetrafluormethan, Sauerstoff oder Ozon umfasst.Method according to one of the preceding Claims 12 to 14 wherein performing the first etching process comprises performing a plasma etching process using carbon monoxide, tetrafluoromethane, oxygen or ozone. Verfahren nach einem der vorstehenden Ansprüche 12 bis 15, das weiter Folgendes umfasst: nach dem epitaktischen Aufwachsen des ersten Halbleitermaterials Entfernen der strukturierten Maskenschicht; Vertiefen einer oberen Oberfläche der Finne in dem NMOS-Bereich; und epitaktisches Aufwachsen eines zweiten Halbleitermaterials über der vertieften ersten Oberfläche der Finne in dem NMOS-Bereich.Method according to one of the preceding Claims 12 to 15 further comprising: after the epitaxial growth of the first semiconductor material, removing the patterned masking layer; Deepening an upper surface of the fin in the NMOS region; and epitaxially growing a second semiconductor material over the recessed first surface of the fin in the NMOS region. Halbleitervorrichtung, die Folgendes umfasst: eine Finne, die über einem Substrat vorragt, wobei die Finne einen ersten Abschnitt und einen zweiten Abschnitt hat, wobei der erste Abschnitt in einem PMOS-Bereich liegt und der zweite Abschnitt in einem NMOS-Bereich liegt; eine erste Gate-Struktur über dem ersten Abschnitt der Finne in dem PMOS-Bereich; eine zweite Gate-Struktur über dem zweiten Abschnitt der Finne in dem NMOS-Bereich; erste epitaktische Source-/Drain-Bereiche auf gegenüberliegenden Seiten der ersten Gate-Struktur und über dem ersten Abschnitt der Finne, wobei die ersten epitaktischen Source-/Drain-Bereiche in dem PMOS-Bereich liegen und sich entlang einer ersten oberen Oberfläche und erster Seitenwände des ersten Abschnitts der Finne erstrecken; und zweite epitaktische Source-/Drain-Bereiche auf gegenüberliegenden Seiten der zweiten Gate-Struktur und über dem zweiten Abschnitt der Finne, wobei die zweiten epitaktischen Source-/Drain-Bereiche in dem NMOS-Bereich und über einer zweiten oberen Oberfläche des zweiten Abschnitts der Finne in dem NMOS-Bereich liegen.Semiconductor device comprising: a fin protruding over a substrate, the fin having a first portion and a second portion, the first portion being in a PMOS region and the second portion being in an NMOS region; a first gate structure over the first portion of the fin in the PMOS region; a second gate structure over the second portion of the fin in the NMOS region; first epitaxial source / drain regions on opposite sides of the first gate structure and over the first portion of the fin, the first epitaxial source / drain regions being in the PMOS region and extending along a first top surface and first sidewalls extend the first portion of the fin; and second epitaxial source / drain regions on opposite sides of the second gate structure and over the second portion of the fin, the second epitaxial source / drain regions in the NMOS region and over a second upper surface of the second portion of the fin in the NMOS range. Halbleitervorrichtung nach Anspruch 17, wobei eine unterste Oberfläche der zweiten epitaktischen Source-/Drain-Bereiche die zweite obere Oberfläche des zweiten Abschnitts der Finne in dem NMOS-Bereich kontaktiert.Semiconductor device according to Claim 17 wherein a bottom surface of the second epitaxial source / drain regions contacts the second top surface of the second portion of the fin in the NMOS region. Halbleitervorrichtung nach Anspruch 17 oder 18, das weiter erste Abstandshalter auf gegenüberliegenden Seitenwänden des zweiten Abschnitts der Finne in dem NMOS-Bereich umfasst, und gegenüberliegende Seitenwände des ersten Abschnitts der Finne in dem PMOS-Bereich frei von ersten Abstandhaltern sind.Semiconductor device according to Claim 17 or 18 further comprising first spacers on opposite sidewalls of the second portion of the fin in the NMOS region, and opposite sidewalls of the first portion of the fin in the PMOS region are free of first spacers. Halbleitervorrichtung nach einem der vorstehenden Ansprüche 17 bis 19, wobei sich die erste obere Oberfläche des ersten Abschnitts der Finne weiter von dem Substrat erstreckt als die zweite obere Oberfläche des zweiten Abschnitts der Finne.Semiconductor device according to one of the preceding Claims 17 to 19 wherein the first upper surface of the first portion of the fin extends farther from the substrate than the second upper surface of the second portion of the fin.
DE102018124815.6A 2017-11-30 2018-10-09 FIN field effect transistor device and method Active DE102018124815B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592871P 2017-11-30 2017-11-30
US62/592,871 2017-11-30
US15/967,295 2018-04-30
US15/967,295 US10340384B2 (en) 2017-11-30 2018-04-30 Method of manufacturing fin field-effect transistor device

Publications (2)

Publication Number Publication Date
DE102018124815A1 true DE102018124815A1 (en) 2019-06-06
DE102018124815B4 DE102018124815B4 (en) 2023-06-22

Family

ID=66548326

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018124815.6A Active DE102018124815B4 (en) 2017-11-30 2018-10-09 FIN field effect transistor device and method

Country Status (1)

Country Link
DE (1) DE102018124815B4 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113764347A (en) * 2021-09-07 2021-12-07 上海集成电路装备材料产业创新中心有限公司 Preparation method of fin type semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8574995B2 (en) 2011-11-10 2013-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain doping method in 3D devices
US10355131B2 (en) 2016-05-17 2019-07-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113764347A (en) * 2021-09-07 2021-12-07 上海集成电路装备材料产业创新中心有限公司 Preparation method of fin type semiconductor device
CN113764347B (en) * 2021-09-07 2023-06-16 上海集成电路装备材料产业创新中心有限公司 Method for manufacturing fin type semiconductor device

Also Published As

Publication number Publication date
DE102018124815B4 (en) 2023-06-22

Similar Documents

Publication Publication Date Title
DE102017128255B4 (en) Semiconductor device and method
DE102017114427A1 (en) Process for the production of separating elements for semiconductor structures
DE102019115481A1 (en) SEMICONDUCTOR COMPONENT AND METHOD
DE102017123950A1 (en) FINFET COMPONENT AND METHOD FOR PRODUCING THEREOF
DE102018124749A1 (en) Structures and methods for noise isolation in semiconductor devices
DE102017127554B3 (en) Semiconductor fabrication process with prevention of gate height loss in planarization processes
DE102020109494B3 (en) CUT METALLGATE FILLING WITH GAP
DE102019117011B4 (en) SEMICONDUCTOR DEVICE AND PRODUCTION METHOD
DE102019102135A1 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102020104621A1 (en) SEMICONDUCTOR DEVICE WITH A CUT METAL GATE AND A MANUFACTURING PROCESS
DE102021116181A1 (en) TRANSISTOR GATE STRUCTURES AND METHODS OF FORMING SAME
DE102020108047A1 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND METHOD OF FORMING THE SAME
DE102021105733A1 (en) CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
DE102018106191A1 (en) FinFET device and method of forming the same
DE102019111297B4 (en) Semiconductor device and method
DE102017123359A1 (en) FINNEL FIELD EFFECT TRANSISTOR ELEMENT AND METHOD
DE102017126435B4 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102017127658B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102018124815B4 (en) FIN field effect transistor device and method
DE102019128469B4 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND METHOD FOR MANUFACTURING IT
DE102021115949A1 (en) CONDUCTIVE COVERING FOR EXIT WORKING LAYER AND METHODS OF FORMING IT
DE102021113053A1 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102021112360A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020128875A1 (en) TRANSISTOR GATE STRUCTURE AND METHOD OF MAKING THERE
DE102020114860A1 (en) TRANSISTOR GATES AND METHOD OF MAKING THEM

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0021336000

Ipc: H01L0021823800

R018 Grant decision by examination section/examining division