DE102018108894A1 - High-k Metal Gate (HKMG) method for forming a memory cell with a large operating window - Google Patents

High-k Metal Gate (HKMG) method for forming a memory cell with a large operating window Download PDF

Info

Publication number
DE102018108894A1
DE102018108894A1 DE102018108894.9A DE102018108894A DE102018108894A1 DE 102018108894 A1 DE102018108894 A1 DE 102018108894A1 DE 102018108894 A DE102018108894 A DE 102018108894A DE 102018108894 A1 DE102018108894 A1 DE 102018108894A1
Authority
DE
Germany
Prior art keywords
gate
dielectric layer
gate electrode
type
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018108894.9A
Other languages
German (de)
Inventor
Yun-Chi Wu
Cheng-Bo Shu
Chien Hung Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/935,277 external-priority patent/US10672783B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018108894A1 publication Critical patent/DE102018108894A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66833Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a charge trapping gate insulator, e.g. MNOS transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region

Abstract

Verschiedene Ausführungsformen der vorliegenden Anmeldung betreffen eine integrierte Schaltung (IC), welche eine Speicherzelle mit einem großen Betriebsfenster und einer hohen Löschgeschwindigkeit umfasst. In einigen Ausführungsformen umfasst die IC ein Halbleitersubstrat und eine Speicherzelle. Die Speicherzelle umfasst eine Steuer-Gate-Elektrode, eine Auswahl-Gate-Elektrode, eine Ladungseinfangschicht und eine gemeinsame Source/Drain-Zone. Die gemeinsame Source/Drain ist durch das Halbleitersubstrat definiert und ist eine des n-Typs. Die Steuer-Gate-Elektrode und die Auswahl-Gate-Elektrode liegen über dem Halbleitersubstrat und befinden sich entsprechend auf gegenüberliegenden Seiten der gemeinsamen Source/Drain. Ferner liegt die Steuer-Gate-Elektrode über der Ladungseinfangschicht und umfasst ein Metall mit einer Austrittsarbeit des p-Typs. In einigen Ausführungsformen umfasst die Auswahl-Gate-Elektrode ein Metall mit einer Austrittsarbeit des n-Typs.Various embodiments of the present application relate to an integrated circuit (IC) comprising a memory cell having a large operating window and a high erasing speed. In some embodiments, the IC includes a semiconductor substrate and a memory cell. The memory cell includes a control gate electrode, a select gate electrode, a charge trap layer, and a common source / drain region. The common source / drain is defined by the semiconductor substrate and is one of the n-type. The control gate and select gate electrode overlay the semiconductor substrate and are respectively located on opposite sides of the common source / drain. Further, the control gate electrode overlies the charge trapping layer and comprises a metal having a p-type work function. In some embodiments, the select gate electrode comprises a metal having a n-type work function.

Description

VERWEIS AUF VERWANDTE ANMELDUNGREFERENCE TO RELATED APPLICATION

Die vorliegende Anmeldung beansprucht die Priorität der Vorläufigen US-Anmeldung Nr. 62/552,149 , eingereicht am 30. August 2017, deren Inhalte durch Verweis in ihrer Gesamtheit hierin einbezogen werden.The present application claims the priority of the provisional U.S. Application No. 62 / 552,149 , filed on August 30, 2017, the contents of which are incorporated herein by reference in their entirety.

HINTERGRUNDBACKGROUND

Die integrierte Schaltungen (Integrated Circuits, ICs) herstellende Industrie hat in den letzten Jahrzehnten ein exponentielles Wachstum durchlaufen. In der Entwicklung der ICs hat die funktionelle Dichte (d.h. die Anzahl verbundener Vorrichtungen je Chipfläche) im Allgemeinen zugenommen, während die Geometriegröße (d.h. die kleinste Komponente, die hergestellt werden kann) abgenommen hat. Einige Fortschritte in der Entwicklung der ICs umfassen die Technologie eingebetteter Speicher und die High-k-Metall-Gate(HKMG)-Technologie. Die Technologie eingebetteter Speicher ist die Integration von Speichervorrichtungen mit Logikvorrichtungen auf demselben Halbleiterchip. Die HKMG-Technologie ist die Herstellung von Halbleitervorrichtungen unter Verwendung von Metall-Gate-Elektroden und High-k-Gate-Dielektrikumsschichten.The integrated circuits (ICs) manufacturing industry has experienced exponential growth in recent decades. In the development of the ICs, the functional density (i.e., the number of connected devices per die area) has generally increased while the geometry size (i.e., the smallest component that can be fabricated) has decreased. Some advances in the development of ICs include embedded memory technology and high-k metal gate (HKMG) technology. Embedded memory technology is the integration of memory devices with logic devices on the same semiconductor chip. HKMG technology is the fabrication of semiconductor devices using metal gate electrodes and high-k gate dielectric layers.

Figurenlistelist of figures

Erscheinungsformen der vorliegenden Offenbarung sind am besten zu verstehen aus der folgenden detaillierten Beschreibung in Verbindung mit den begleitenden Figuren. Es sei angemerkt, dass gemäß der üblichen Praxis in der Technik verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zur Verdeutlichung der Beschreibung beliebig vergrößert oder verkleinert sein.

  • 1 veranschaulicht eine Querschnittsansicht einiger Ausführungsformen einer integrierten Schaltung (IC), welche eine Speicherzelle mit einem großen Betriebsfenster und einer hohen Löschgeschwindigkeit umfasst.
  • 2A und 2B veranschaulichen Querschnittsansichten verschiedener Ausführungsformen einer IC, welche die Elemente der 1 und einige andere Elemente umfasst.
  • 3A und 3B veranschaulichen Querschnittsansichten verschiedener Ausführungsformen einer IC, welche die Speicherzelle der 2A und 2B umfasst und ferner eine zusätzliche Halbleitervorrichtung (z.B. eine Hochspannungsvorrichtung oder eine Logikvorrichtung) umfasst.
  • 4 bis 7, 8A, 8B, 9 bis 12, 13A bis 13S und 14A bis 14I veranschaulichen eine Serie von Querschnittsansichten einiger Ausführungsformen eines Verfahrens zum Bilden einer Speicherzelle mit einem großen Betriebsfenster und einer hohen Löschgeschwindigkeit.
  • 15 veranschaulicht einen Ablaufplan einiger Ausführungsformen des Verfahrens der 4 bis 7, 8A, 8B, 9 bis 12, 13A bis 13S und 14A bis 14I.
Aspects of the present disclosure are best understood from the following detailed description taken in conjunction with the accompanying drawings. It should be noted that in accordance with common practice in the art, various elements are not drawn to scale. In fact, the dimensions of the various elements may be arbitrarily increased or decreased to clarify the description.
  • 1 FIG. 12 illustrates a cross-sectional view of some embodiments of an integrated circuit (IC) that includes a memory cell having a large operating window and a high erase speed.
  • 2A and 2 B 12 illustrate cross-sectional views of various embodiments of an IC incorporating the elements of FIGS 1 and some other elements.
  • 3A and 3B 13 illustrate cross-sectional views of various embodiments of an IC incorporating the memory cell of FIG 2A and 2 B and further comprising an additional semiconductor device (eg, a high voltage device or a logic device).
  • 4 to 7 . 8A . 8B . 9 to 12 . 13A to 13S and 14A to 14I illustrate a series of cross-sectional views of some embodiments of a method of forming a memory cell having a large operating window and a high erasing speed.
  • 15 FIG. 12 illustrates a flowchart of some embodiments of the method of FIG 4 to 7 . 8A . 8B . 9 to 12 . 13A to 13S and 14A to 14I ,

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

In der folgenden Offenbarung werden viele verschiedene Ausführungsformen oder Beispiele für die Realisierung verschiedener Merkmale der Erfindung vorgestellt. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und zweite Merkmal in direktem Kontakt gebildet werden, und kann auch Ausführungsformen umfassen, bei welchen zwischen dem ersten und zweiten Merkmal zusätzliche Merkmale gebildet werden, so dass das erste und zweite Merkmal nicht in direktem Kontakt stehen. Außerdem können in der vorliegenden Offenbarung in den verschiedenen Beispielen Bezugszahlen und/oder -buchstaben wiederholt werden. Diese Wiederholung dient dem Zweck der Vereinfachung und Verdeutlichung und bestimmt als solche keine Beziehung zwischen den beschriebenen verschiedenen Ausführungsformen und/oder Konfigurationen.In the following disclosure, many different embodiments or examples for realizing various features of the invention are presented. Hereinafter, specific examples of components and arrangements will be described to simplify the present disclosure. Of course these are just examples and should not be limiting. For example, the formation of a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features exist between the first and second features are formed so that the first and second feature are not in direct contact. In addition, reference numerals and / or letters may be repeated in the various examples in the present disclosure. This repetition is for the purpose of simplicity and clarity and as such does not determine any relationship between the various embodiments and / or configurations described.

Ferner können hierin zur Vereinfachung der Beschreibung Begriffe der räumlichen Beziehung wie „unterhalb“, „unter“, „untere“, „über“, „obere“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben, wie in den Figuren veranschaulicht. Die Begriffe der räumlichen Beziehung sollen zusätzlich zu der Orientierung, die in den Figuren abgebildet sind, andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements oder der Vorrichtung umfassen. Das Bauelement oder die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht sein oder andere Orientierungen aufweisen) und die hierin verwendeten Deskriptoren der räumlichen Beziehung können gleichermaßen entsprechend interpretiert werden. Überdies die Begriffe „erste“, „zweite“, „dritte“, „vierte“ und dergleichen lediglich allgemeine Bezeichnungen und können daher in verschiedenen Ausführungsformen vertauscht sein. Beispielsweise kann ein Element (z.B. eine Öffnung), obwohl es in einigen Ausführungsformen als ein „erstes“ Element bezeichnet ist, in anderen Ausführungsformen als ein „zweites“ Element bezeichnet sein.Further, to simplify the description, terms of spatial relationship such as "below,""below,""lower,""above,""upper," and the like may be used herein to refer to the relationship of one element or feature to another element (s). or feature (s) as illustrated in the figures. The terms of spatial relationship, in addition to the orientation depicted in the figures, are intended to encompass other orientations of the device or device in use or in service. The device or device may be otherwise oriented (rotated 90 degrees or having other orientations) and the spatial relationship descriptors used herein may equally be interpreted accordingly. Moreover, the terms "first,""second,""third,""fourth," and the like are merely general terms and therefore may be interchanged in various embodiments. For example, an element (eg an opening), although it is in In some embodiments, referred to as a "first" element, in other embodiments may be referred to as a "second" element.

In einigen Fällen umfasst eine integrierte Schaltung (IC) ein Halbleitersubstrat und eine Speicherzelle. Die Speicherzelle befindet sich auf dem Halbleitersubstrat und kann beispielsweise eine Zwei-Transistor(2T)-Silizium-Oxid-Nitrid-Oxid-Silizium(SONOS)-Speicherzelle sein. In dem Halbleitersubstrat grenzen eine Steuer-Gate-Wanne des p-Typs und eine Auswahl-Gate-Wanne des p-Typs aneinander an. Eine gemeinsame Source/Drain des n-Typs befindet sich zwischen den Steuer- und Auswahl-Gate-Wannen des p-Typs in dem Halbleitersubstrat. Eine Polysilizium-Auswahl-Gate-Elektrode liegt über der Auswahl-Gate-Wanne des p-Typs und befindet sich auf einer ersten Seite der gemeinsamen Source/Drain des n-Typs. Eine Ladungseinfangschicht und eine Polysilizium-Steuer-Gate-Elektrode des n-Typs sind auf der Steuer-Gate-Wanne gestapelt und befinden sich auf einer zweiten Seite der gemeinsamen Source/Drain des n-Typs, die der ersten Seite gegenüberliegt. Ferner liegen die Ladungseinfangschicht und die Polysilizium-Steuer-Gate-Elektrode des n-Typs über einem selektiv leitfähigen Kanal in dem Halbleitersubstrat. Der selektiv leitfähige Kanal erstreckt sich von der gemeinsamen Source/Drain zu einer individuellen Source/Drain auf einer der gemeinsamen Source/Drain gegenüberliegenden Seite der Polysilizium-Steuer-Gate-Elektrode des n-Typs.In some cases, an integrated circuit (IC) includes a semiconductor substrate and a memory cell. The memory cell is located on the semiconductor substrate and may be, for example, a two-transistor (2T) silicon-oxide-nitride-oxide-silicon (SONOS) memory cell. In the semiconductor substrate, a p-type control gate well and a p-type select gate well adjoin one another. An n-type common source / drain is located between the p-type control and select gate wells in the semiconductor substrate. A polysilicon select gate electrode overlies the p-type select gate well and is located on a first side of the n-type common source / drain. A charge trapping layer and an n-type polysilicon control gate are stacked on the control gate well and located on a second side of the n-type common source / drain facing the first side. Further, the n-type charge trapping layer and polysilicon control gate electrode overlie a selectively conductive channel in the semiconductor substrate. The selectively conductive channel extends from the common source / drain to an individual source / drain on a common source / drain opposite side of the n-type polysilicon control gate.

Während der Verwendung der Speicherzelle werden die verschiedenen leitfähigen Komponenten (z.B. die Polysilizium-Steuer-Gate-Elektrode des n-Typs und die gemeinsame Source/Drain) der Speicherzelle selektiv vorgespannt, um die in der Ladungseinfangschicht gespeicherte Ladungsmenge zu variieren. In einem gelöschten Zustand ist in der Ladungseinfangschicht eine niedrige Ladungsmenge gespeichert. In einem programmierten Zustand ist in der Ladungseinfangschicht eine hohe Ladungsmenge gespeichert. Die niedrige Ladungsmenge repräsentiert einen ersten Datenwert und die hohe Ladungsmenge repräsentiert einen zweiten Datenwert, so dass die Ladungseinfangschicht ein Daten-Bit speichert. Außerdem leitet während der Verwendung der Speicherzelle der selektiv leitfähige Kanal selektiv in Abhängigkeit davon, ob die Polysilizium-Steuer-Gate-Elektrode des n-Typs mit einer Spannung vorgespannt wird, die eine Schwellenspannung übersteigt. Die Ladung in der Ladungseinfangschicht bildet ein elektrisches Feld ab, das von der Polysilizium-Steuer-Gate-Elektrode des n-Typs erzeugt wird, so dass sich die Schwellenspannung in Abhängigkeit von der Ladungsmenge ändert, die von der Ladungseinfangschicht gespeichert wird. Deswegen kann der Datenzustand der Ladungseinfangschicht ausgelesen werden durch Vorspannen der Polysilizium-Steuer-Gate-Elektrode des n-Typs mit einer Spannung zwischen der Schwellenspannung im programmierten Zustand und der Schwellenspannung im gelöschten Zustand.During use of the memory cell, the various conductive components (e.g., the n-type polysilicon control gate and common source / drain) of the memory cell are selectively biased to vary the amount of charge stored in the charge trapping layer. In an erased state, a low amount of charge is stored in the charge trapping layer. In a programmed state, a high amount of charge is stored in the charge trapping layer. The low charge amount represents a first data value and the high charge amount represents a second data value, so that the charge trap layer stores one data bit. In addition, during use of the memory cell, the selectively conducting channel selectively conducts depending on whether the n-type polysilicon control gate electrode is biased at a voltage exceeding a threshold voltage. The charge in the charge trapping layer forms an electric field generated by the n-type polysilicon control gate electrode so that the threshold voltage changes depending on the amount of charge stored by the charge trapping layer. Therefore, the data state of the charge trapping layer can be read out by biasing the n-type polysilicon control gate electrode with a voltage between the threshold voltage in the programmed state and the threshold voltage in the erased state.

Der Spannungsbereich von der Schwellenspannung im programmierten Zustand bis zur Schwellenspannung im gelöschten Zustand ist als das Betriebsfenster bekannt. Je größer das Betriebsfenster, desto flexibler ist die Speicherzelle für Prozessschwankungen und Rauschen beim Lesen des Datenzustands der Speicherzelle. Wenn das Betriebsfenster klein ist, kann beispielsweise ein Rauschen eine ausreichende Amplitude aufweisen, um die Spannung, mit welcher die Polysilizium-Steuer-Gate-Elektrode des n-Typs während einer Leseoperation vorgespannt wird, nach außerhalb des Betriebsfensters zu erhöhen oder zu verringern, wodurch die Leseoperation ein falsches Ergebnis liefern kann. Als ein anderes Beispiel kann, bei einer Massenfertigung der Speicherzelle eine Prozessschwankung für jedes Exemplar der Speicherzelle bewirken, dass das Betriebsfenster variiert. Wenn das Betriebsfenster klein ist, steigt die Wahrscheinlichkeit, dass Speicherzellenexemplare Betriebsfenster aufweisen, die nicht die Spezifikationsgrenzen überlappen. Dies kann wiederum zu niedrigen Ausbeuten bei der Massenfertigung und hohen Herstellungskosten führen.The voltage range from the threshold voltage in the programmed state to the threshold voltage in the erased state is known as the operating window. The larger the operating window, the more flexible the memory cell is for process variations and noise in reading the data state of the memory cell. For example, when the operating window is small, noise may be of sufficient amplitude to increase or decrease the voltage at which the n-type polysilicon control gate is biased during a read operation to outside the operating window the reading operation can give a wrong result. As another example, in mass production of the memory cell, a process variation for each instance of the memory cell may cause the operating window to vary. If the operating window is small, the likelihood that memory cell instances have operating windows that do not overlap the specification limits increases. This in turn can lead to low yields in mass production and high production costs.

Ein erstes Problem bei der IC ist, dass die Polysilizium-Steuer-Gate-Elektrode des n-Typs eine niedrige Austrittsarbeit aufweist. Die niedrige Austrittsarbeit kann dazu führen, dass die Speicherzelle ein kleines Betriebsfenster, eine leichte Elektronen-Gate-Rückinjektion, eine langsame Löschgeschwindigkeit, eine hohe Löschungssättigung oder eine beliebige Kombination der Vorstehenden aufweist. Um nämlich eine hohe Löschgeschwindigkeit zu erreichen, wird typischerweise eine hohe Löschungsspannung verwendet. Die niedrige Austrittsarbeit führt jedoch zu einer Elektronen-Gate-Rückinjektion, wenn die hohe Löschungsspannung verwendet wird. Aufgrund der Elektronen-Gate-Rückinjektion tunneln Elektronen von der Polysilizium-Steuer-Gate-Elektrode des n-Typs zu der Ladungseinfangschicht, während die Elektronen gleichzeitig aus der Ladungseinfangschicht entfernt werden. Schließlich erreichen die Elektronen, die in die Ladungseinfangschicht eintreten, und die Elektronen, die die Ladungseinfangschicht verlassen, einen Gleichgewichtszustand, der als Löschungssättigungsniveau bezeichnet wird. Das Löschungssättigungsniveau ist jedoch hoch, wodurch die Speicherzelle nicht vollständig gelöscht werden kann. Da die Speicherzelle nicht vollständig gelöscht wird, ist die Verschiebung der Schwellenspannung vom programmierten Zustand zum gelöschten Zustand gering, was zu einem kleinen Betriebsfenster führt. Ferner führt, da Elektronen von der Polysilizium-Steuer-Gate-Elektrode des n-Typs zu der Ladungseinfangschicht tunneln, während gleichzeitig Elektronen aus der Ladungseinfangschicht entfernt werden, die Elektronen-Gate-Rückinjektion zu einer niedrigen Löschgeschwindigkeit, auch wenn eine hohe Löschungsspannung verwendet wird. Ein zweites Problem bei der IC ist, dass die Verkleinerung von Halbleitervorrichtungen auf der Basis von Polysilizium-Gate-Elektroden Grenzen erreicht.A first problem with the IC is that the n-type polysilicon control gate has a low work function. The low work function may result in the memory cell having a small operating window, a light gate back electron injection, a slow erase rate, a high erase saturation, or any combination of the foregoing. Namely, to achieve a high erasing speed, a high erasing voltage is typically used. However, the low work function results in electron-gate back injection when the high erase voltage is used. Due to the electron-gate back injection, electrons tunnel from the n-type polysilicon control gate to the charge trapping layer while simultaneously removing the electrons from the charge trapping layer. Finally, the electrons entering the charge trapping layer and the electrons leaving the charge trapping layer reach an equilibrium state called the erase saturation level. However, the erase saturation level is high, whereby the memory cell can not be completely erased. Since the memory cell is not completely erased, the shift of the threshold voltage from the programmed state to the erased state is small, resulting in a small operation window. Further, as electrons tunnel from the n-type polysilicon control gate to the charge trapping layer, while removing electrons from the charge trapping layer at the same time, the electron gate re-injection at a low erase rate, even if a high erase voltage is used. A second problem with the IC is that miniaturization of polysilicon gate electrode based semiconductor devices reaches its limits.

Eine mögliche Lösung für das erste Problem ist es, statt der Polysilizium-Steuer-Gate-Elektrode des n-Typs eine Polysilizium-Steuer-Gate-Elektrode des p-Typs zu verwenden, da die Polysilizium-Steuer-Gate-Elektrode des p-Typs eine hohe Austrittsarbeit aufweist. Jedoch wird durch diese Lösung nicht das zweite Problem gelöst. Ferner ist eine Polysilizium-Steuer-Gate-Elektrode des p-Typs nicht einfach in Verfahren zum Bilden der IC zu integrieren. Beispielsweise kann ein Verfahren zum Bilden der IC Bilden der Polysilizium-Steuer-Gate-Elektrode des p-Typs und anschließend Bilden von Source/Drains des n-Typs in der Steuer-Gate-Wanne des p-Typs umfassen. Das Bilden der Source/Drains des n-Typs kann zu einer gegensinnigen Dotierung der Polysilizium-Steuer-Gate-Elektrode des p-Typs führen, so dass die Polysilizium-Steuer-Gate-Elektrode des p-Typs eine mittlere Austrittsarbeit aufweisen kann. Als ein anderes Beispiel Dotierstoffe des p-Typs, die während der Bildung der Polysilizium-Steuer-Gate-Elektrode des p-Typs erzeugt werden, zu der Steuer-Gate-Wanne des p-Typs wandern und ein Dotierungsprofil der Steuer-Gate-Wanne des p-Typs ändern, wodurch Leistungsparameter der Speicherzelle geändert werden. Eine andere mögliche Lösung für das erste Problem ist es, die Polysilizium-Steuer-Gate-Elektrode des p-Typs statt der Polysilizium-Steuer-Gate-Elektrode des n-Typs zu verwenden und außerdem eine Steuer-Gate-Wanne des n-Typs statt der Steuer-Gate-Wanne des p-Typs zu verwenden. p-Kanal-Speicherzellen werden jedoch nicht häufig verwendet, wodurch p-Kanal-Speicherzellen nicht einfach in existierende ICs zu integrieren sind.One possible solution to the first problem is to use a p-type polysilicon control gate instead of the n-type polysilicon control gate since the polysilicon gate of the p-type control gate has a p-type polysilicon gate. Type has a high work function. However, this solution does not solve the second problem. Further, a p-type polysilicon control gate is not easily integrated into methods of forming the IC. For example, a method of forming the IC may include forming the p-type polysilicon control gate and then forming n-type source / drains in the p-type control gate well. Forming the n-type source / drains may result in opposing doping of the p-type polysilicon control gate, such that the p-type polysilicon control gate may have an average work function. As another example, p-type dopants generated during the formation of the p-type polysilicon control gate, to the p-type control gate well, and a doping profile of the control gate well of the p-type, thereby changing performance parameters of the memory cell. Another possible solution to the first problem is to use the p-type polysilicon control gate instead of the n-type polysilicon control gate and also an n-type control gate well instead of using the p-type control gate well. However, p-channel memory cells are not widely used, whereby p-channel memory cells are not easy to integrate into existing ICs.

Eine mögliche Lösung für das zweite Problem ist es, die High-k-Metall-Gate(HKMG)-Technologie mit einer Metall-Steuer-Gate-Elektrode zu nutzen, die eine Austrittsarbeit des n-Typs aufweist. Jedoch weist die Metall-Steuer-Gate-Elektrode wie die Polysilizium-Steuer-Gate-Elektrode des n-Typs eine niedrige Austrittsarbeit auf. Wie oben angegeben, kann die niedrige Austrittsarbeit dazu führen, dass die Speicherzelle ein kleines Betriebsfenster, eine leichte Elektronen-Gate-Rückinjektion, eine langsame Löschgeschwindigkeit, eine hohe Löschungssättigung oder eine beliebige Kombination der Vorstehenden aufweist.One possible solution to the second problem is to utilize the high-k metal gate (HKMG) technology with a metal control gate electrode having an n-type work function. However, like the n-type polysilicon control gate electrode, the metal control gate electrode has a low work function. As noted above, the low work function may result in the memory cell having a small operating window, a light gate back electron injection, a slow erase rate, a high erase saturation, or any combination of the foregoing.

Verschiedene Ausführungsformen der vorliegenden Anmeldung betreffen eine Speicherzelle mit einem großen Betriebsfenster und einer hohen Löschgeschwindigkeit sowie ein Verfahren zum Bilden der Speicherzelle unter Nutzung der HKMG-Technologie. In einigen Ausführungsformen befindet sich eine Speicherzelle auf einem Halbleitersubstrat und umfasst eine Steuer-Gate-Elektrode, eine Auswahl-Gate-Elektrode, eine Ladungseinfangschicht und eine gemeinsame Source/Drain. Die gemeinsame Source/Drain befindet sich in dem Halbleitersubstrat und weist ein Dotierungsprofil des n-Typs auf. Die Ladungseinfangschicht und die Steuer-Gate-Elektrode sind über dem Halbleitersubstrat gestapelt und befinden sich auf einer ersten Seite der gemeinsamen Source/Drain. Ferner liegt die Steuer-Gate-Elektrode über der Ladungseinfangschicht und ist oder umfasst ein erstes Metall mit einer Austrittsarbeit des p-Typs. Die Auswahl-Gate-Elektrode befindet sich über dem Halbleitersubstrat und auf einer zweiten Seite der gemeinsamen Source/Drain, die der ersten Seite gegenüberliegt. Ferner ist oder umfasst in einigen Ausführungsformen die Auswahl-Gate-Elektrode ein zweites Metall mit einer Austrittsarbeit des n-Typs.Various embodiments of the present application relate to a memory cell having a large operating window and a high erasing speed, and a method of forming the memory cell using the HKMG technology. In some embodiments, a memory cell is located on a semiconductor substrate and includes a control gate electrode, a select gate electrode, a charge trapping layer, and a common source / drain. The common source / drain is located in the semiconductor substrate and has an n-type doping profile. The charge trap layer and the control gate electrode are stacked over the semiconductor substrate and are located on a first side of the common source / drain. Further, the control gate electrode overlies the charge trapping layer and is or comprises a first metal having a p-type work function. The select gate electrode is over the semiconductor substrate and on a second side of the common source / drain opposite the first side. Further, in some embodiments, the select gate electrode is or includes a second metal having a n-type work function.

Durch Verwendung von Metall mit einer Austrittsarbeit des p-Typs für die Steuer-Gate-Elektrode weist die Steuer-Gate-Elektrode eine hohe Austrittsarbeit auf. Die hohe Austrittsarbeit führt zu einer schwierigen Elektronen-Gate-Rückinjektion, was zu einer hohen Löschgeschwindigkeit und einem niedrigen Löschungssättigungsniveau führt. Außerdem führt das niedrige Löschungssättigungsniveau zu einem großen Betriebsfenster. Das Betriebsfenster ist der Spannungsbereich von der Steuer-Gate-Schwellenspannung in einem programmierten Zustand bis zur Steuer-Gate-Schwellenspannung in einem gelöschten Zustand und ein großes Betriebsfenster macht die Speicherzelle flexibler für Prozessschwankungen und Rauschen während Leseoperationen. Die Speicherzelle kann auch mit minimalen Kosten (z.B. ohne zusätzliche Retikel und/oder Photomasken) in Verfahren zum Bilden der IC integriert werden. Des Weiteren kann Metall der Steuer- und Auswahl-Gate-Elektrode zusammen mit High-k-Gate-Dielektrikumsschichten ermöglichen, dass die Speicherzelle für hochentwickelte Prozessknoten, z.B. 28-Nanometer(nm)-, 20-nm- und kleinere Prozessknoten, verkleinert wird.By using metal with a p-type work function for the control gate electrode, the control gate electrode has a high work function. The high work function results in a difficult electron gate re-injection, resulting in a high quench rate and a low quench saturation level. In addition, the low erase saturation level results in a large operating window. The operating window is the voltage range from the control gate threshold voltage in a programmed state to the control gate threshold voltage in an erased state, and a large operating window makes the memory cell more flexible for process variations and noise during read operations. The memory cell may also be integrated into methods of forming the IC with minimal cost (e.g., without additional reticles and / or photomasks). Furthermore, metal of the control and select gate together with high-k gate dielectric layers may allow the memory cell to be used for sophisticated process nodes, e.g. 28nm (nm), 20nm and smaller process nodes are downsized.

Bezug nehmend auf 1, wird eine Querschnittsansicht 100 einiger Ausführungsformen einer IC bereitgestellt, welche eine Speicherzelle 102 mit einem großen Betriebsfenster und einer hohen Löschgeschwindigkeit umfasst. Die Speicherzelle 102 kann beispielsweise eine 2T-Metall-Oxid-Nitrid-Oxid-Silizium(MONOS)-Speicherzelle oder irgendeine andere geeignete Speicherzelle sein. Wie dargestellt, umfasst ein Halbleitersubstrat 104 eine Steuer-Gate-Wanne 106, eine Auswahl-Gate-Wanne 108, eine gemeinsame Source/Drain 110c, ein Paar individueller Speicher-Source/Drains 110i und ein Paar selektiv leitfähiger Speicherkanäle 112. Das Halbleitersubstrat 104 kann zum Beispiel ein massives monokristallines Siliziumsubstrat, ein Silizium-auf-Isolator(SOI)-Substrat, irgendein anderes geeignetes Halbleitersubstrat(e) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Wie hierin verwendet, kann es sich bei einem Begriff (z.B. Substrat) mit angehängtem „(e)“ beispielsweise um Singular oder Plural handeln.Referring to 1 , becomes a cross-sectional view 100 of some embodiments of an IC, which is a memory cell 102 with a large operating window and a high erasing speed. The memory cell 102 For example, it may be a 2T metal-oxide-nitride-oxide-silicon (MONOS) memory cell or any other suitable memory cell. As illustrated, includes a semiconductor substrate 104 a control gate pan 106 , a pick-gate pan 108 , a common source / drain 110c , a pair of individual storage source / drains 110i and a pair of selectively conductive memory channels 112 , The semiconductor substrate 104 For example, a solid monocrystalline silicon substrate, a silicon on insulator (SOI) substrate, any other suitable semiconductor substrate (s), or any combination of the foregoing may be or include. As used herein, a term (eg, substrate) appended with "(e)" may be, for example, singular or plural.

Die Steuer-Gate-Wanne 106 und die Auswahl-Gate-Wanne 108 weisen einen ersten Dotierungstyp auf und grenzen in dem Halbleitersubstrat 104 aneinander an. In einigen Ausführungsformen weisen die Steuer- und Auswahl-Gate-Wannen 106, 108 unterschiedliche Dotierungsprofile (z.B. eine unterschiedliche Dotierungskonzentration) auf. Die gemeinsame Speicher-Source/Drain 110c liegt über den Steuer- und Auswahl-Gate-Wannen 106, 108, lateral zwischen den Steuer- und Auswahl-Gate-Wannen 106, 108. Ferner weist die gemeinsame Source/Drain 110c einen zweiten Dotierungstyp auf, der dem ersten Dotierungstyp entgegengesetzt ist. Die individuellen Speicher-Source/Drains 110i liegen entsprechend über den Steuer- und Auswahl-Gate-Wannen 106, 108 und befinden sich entsprechend auf gegenüberliegenden Seiten der gemeinsamen Source/Drain 110c. Ferner weisen die individuellen Speicher-Source/Drains 110i den zweiten Dotierungstyp auf. Bei dem ersten und zweiten Dotierungstyp kann es sich zum Beispiel um den p-Typ bzw. den n-Typ handeln, oder umgekehrt. Die selektiv leitfähigen Speicherkanäle 112 befinden sich entsprechend in den Steuer- und Auswahl-Gate-Wannen 106, 108 und erstrecken sich jeweils von der gemeinsamen Source/Drain 110c zu einer entsprechenden der individuellen Speicher-Source/Drains 110i. Beim Betrieb der IC wechseln die selektiv leitfähigen Speicherkanäle 112 reversibel zwischen Zuständen niedrigen Widerstands und Zuständen hohen Widerstands.The control gate pan 106 and the selection gate pan 108 have a first doping type and boundaries in the semiconductor substrate 104 to each other. In some embodiments, the control and select gate wells 106 . 108 different doping profiles (eg a different doping concentration) on. The shared memory source / drain 110c is above the control and select gate wells 106 . 108 , laterally between the control and select gate wells 106 . 108 , Furthermore, the common source / drain 110c a second doping type opposite to the first doping type. The individual storage source / drains 110i are correspondingly above the control and select gate wells 106 . 108 and are respectively on opposite sides of the common source / drain 110c , Further, the individual memory source / drains 110i the second doping type. The first and second doping types may be, for example, p-type and n-type, respectively, or vice versa. The selectively conductive memory channels 112 are located respectively in the control and select gate wells 106 . 108 and each extend from the common source / drain 110c to a corresponding one of the individual storage source / drains 110i , When operating the IC, the selectively conductive memory channels change 112 reversible between low resistance states and high resistance states.

Eine Steuer-Gate-Elektrode 114, eine Ladungseinfangschicht 116 und eine Steuer-Gate-Dielektrikumsschicht 118 sind auf der Steuer-Gate-Wanne 106 gestapelt, lateral zwischen der gemeinsamen Source/Drain 110c und einer entsprechenden der individuellen Speicher-Source/Drains 110i. Die Ladungseinfangschicht 116 und die Steuer-Gate-Dielektrikumsschicht 118 liegen unter der Steuer-Gate-Elektrode 114 und isolieren die Steuer-Gate-Elektrode 114 elektrisch von der Steuer-Gate-Wanne 106. Ferner liegt die Steuer-Gate-Dielektrikumsschicht 118 über der Ladungseinfangschicht 116. Die Ladungseinfangschicht 116 kann beispielsweise ein Oxid-Nitrid-Oxid(ONO)-Film oder irgend eine andere geeignete Ladungseinfangschicht sein. In einigen Ausführungsformen umfasst die Ladungseinfangschicht 116 eine untere Oxidschicht 116l, eine mittlere Nitridschicht 116m, welche über der unteren Oxidschicht 116l liegt, und eine obere Oxidschicht 116u, welche über der mittleren Nitridschicht 116m liegt. Die Steuer-Gate-Dielektrikumsschicht 118 kann beispielsweise Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika), Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Wie hierin verwendet, kann ein High-k-Dielektrikum beispielsweise ein Dielektrikum mit einer Dielektrizitätskonstante κ von mehr als 3, 9, 5, 10, 15 oder 20 sein oder umfassen.A control gate electrode 114 , a charge trapping layer 116 and a control gate dielectric layer 118 are on the control gate pan 106 stacked, laterally between the common source / drain 110c and a corresponding one of the individual memory source / drains 110i , The charge trapping layer 116 and the control gate dielectric layer 118 lie under the control gate electrode 114 and isolate the control gate electrode 114 electrically from the control gate pan 106 , Further, the control gate dielectric layer is located 118 over the charge trapping layer 116 , The charge trapping layer 116 For example, it may be an oxide-nitride-oxide (ONO) film or any other suitable charge trapping layer. In some embodiments, the charge trapping layer comprises 116 a lower oxide layer 116l , a middle nitride layer 116m which over the lower oxide layer 116l lies, and an upper oxide layer 116u which over the middle nitride layer 116m lies. The control gate dielectric layer 118 For example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics), silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. For example, as used herein, a high-k dielectric may be or include a dielectric having a dielectric constant κ of greater than 3, 9, 5, 10, 15, or 20.

In einigen Ausführungsformen, in welchen die gemeinsamen und individuellen Source/Drains 110c, 110i solche des p-Typs sind (z.B. die Speicherzelle 102 eine p-Kanal-Speicherzelle ist), ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit des n-Typs. Wie hierin verwendet, kann es sich bei einer Austrittsarbeit des n-Typs beispielsweise um Folgendes handeln: 1) eine Austrittsarbeit innerhalb etwa 0,1 Elektronenvolt (eV), etwa 0,2 eV oder etwa 0,4 eV einer Austrittsarbeit für polykristallines Silizium des n-Typs; 2) eine Austrittsarbeit von weniger als etwa 4,0 eV, etwa 4,2 eV oder etwa 4,4 eV; eine Austrittsarbeit von etwa 3,5 eV bis 4,4 eV, etwa 4,0 eV bis 4,4 eV oder etwa 3,8 eV bis 4,5 eV; 4) irgendeine andere geeignete Austrittsarbeit des n-Typs oder 5) eine beliebige Kombination der Vorstehenden. Das polykristalline Silizium des n-Typs kann zum Beispiel eine Dotierungskonzentration von etwa 1 × 1019 cm-3 bis 1 × 1020 cm-3, etwa 5 × 1019 cm-3 bis 8 × 1019 cm-3 oder etwa 8 × 1019 cm-3 bis 8 × 1020 cm-3 aufweisen. Es sind jedoch auch andere Dotierungskonzentrationen anwendbar. Wie hierin verwendet, kann ein Metall mit einer Austrittsarbeit des n-Typs beispielsweise Hafnium, Zirkonium, Titan, Tantal, Aluminium, irgendein anderes geeignetes Metall (andere geeignete Metalle) mit Austrittsarbeit des n-Typs oder eine beliebige Kombination der Vorstehenden sein oder umfassen.In some embodiments, in which the common and individual source / drains 110c . 110i those of the p-type are (eg the memory cell 102 a p-channel memory cell) is or includes the control gate electrode 114 a metal with an n-type work function. For example, as used herein, an n-type work function may be: 1) a work function within about 0.1 electron volts (eV), about 0.2 eV, or about 0.4 eV of a polycrystalline silicon work function n-type; 2) a work function of less than about 4.0 eV, about 4.2 eV, or about 4.4 eV; a work function of about 3.5 eV to 4.4 eV, about 4.0 eV to 4.4 eV, or about 3.8 eV to 4.5 eV; 4) any other suitable n-type work function; or 5) any combination of the foregoing. For example, the n-type polycrystalline silicon may have a doping concentration of about 1 × 10 19 cm -3 to 1 × 10 20 cm -3 , about 5 × 10 19 cm -3 to 8 × 10 19 cm -3 or about 8 × 10 19 cm -3 to 8 × 10 20 cm -3 . However, other doping concentrations are also applicable. As used herein, an n-type work function metal may be or include, for example, hafnium, zirconium, titanium, tantalum, aluminum, any other suitable n-type work function metal (s), or any combination of the foregoing.

In einigen Ausführungsformen, bei denen die gemeinsame und individuellen Source/Drains 110c, 110i solche des n-Typs sind (z.B. die Speicherzelle 102 eine n-Kanal-Speicherzelle ist), ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit des p-Typs. Wie hierin verwendet, kann es sich bei einer Austrittsarbeit des p-Typs beispielsweise um Folgendes handeln: 1) eine Austrittsarbeit innerhalb etwa 0,1 eV, 0,2 eV oder 0,4 eV einer Austrittsarbeit für polykristallines Silizium des p-Typs; 2) eine Austrittsarbeit von mehr als etwa 4,8 eV, etwa 5,0 eV oder etwa 5,2 eV; eine Austrittsarbeit von etwa 4,8 eV bis 5,2 eV, etwa 5,0 eV bis 5,4 eV oder etwa 4,6 eV bis 5,6 eV; 4) irgendeine andere geeignete Austrittsarbeit des p-Typs oder 5) eine beliebige Kombination der Vorstehenden. Das polykristalline Silizium des p-Typs kann zum Beispiel eine Dotierungskonzentration von etwa 1 × 1019 cm-3 bis 1 × 1020 cm-3, etwa 5 × 1019 cm-3 bis 8 × 1019 cm-3 oder etwa 8 × 1019 cm-3 bis 8 × 1020 cm-3 aufweisen. Es sind jedoch auch andere Dotierungskonzentrationen anwendbar. Wie hierin verwendet, kann ein Metall mit einer Austrittsarbeit des p-Typs beispielsweise Ruthenium, Palladium, Platin, Kobalt, Nickel, Titanaluminiumnitrid, Wolframcarbonitrid, irgendein anderes geeignetes Metall (andere geeignete Metalle) mit Austrittsarbeit des p-Typs oder eine beliebige Kombination der Vorstehenden sein oder umfassen.In some embodiments, where the common and individual source / drains 110c . 110i those of the n-type are (eg the memory cell 102 is an n-channel memory cell), is or includes the control gate electrode 114 a metal with a p-type work function. For example, as used herein, a p-type work function may be: 1) a work function within about 0.1 eV, 0.2 eV, or 0.4 eV of a p-type polycrystalline silicon work function; 2) a work function greater than about 4.8 eV, about 5.0 eV, or about 5.2 eV; a work function of about 4.8 eV to 5.2 eV, about 5.0 eV to 5.4 eV, or about 4.6 eV to 5.6 eV; 4) any other suitable p-type work function, or 5) any combination of the foregoing. For example, the p-type polycrystalline silicon may have a doping concentration of about 1 × 10 19 cm -3 to 1 × 10 20 cm -3 , about 5 × 10 19 cm -3 to 8 × 10 19 cm -3, or about 8 × 10 19 cm -3 to 8 × 10 20 cm -3 . However, other doping concentrations are also applicable. As used herein, a p-type work function metal may include, for example, ruthenium, palladium, platinum, cobalt, nickel, titanium aluminum nitride, tungsten carbonitride, any other suitable metal (other suitable metals) having p-type work function, or any combination of the foregoing be or include.

Während der Verwendung der Speicherzelle 102 werden die verschiedenen leitfähigen Komponenten (z.B. die Steuer-Gate-Elektrode 114) der Speicherzelle 102 selektiv vorgespannt, um die in der Ladungseinfangschicht 116 gespeicherte Ladungsmenge zu variieren. In einem gelöschten Zustand speichert die Ladungseinfangschicht 116 eine niedrige Ladungsmenge. In einem programmierten Zustand speichert die Ladungseinfangschicht 116 eine hohe Ladungsmenge. Die niedrige Ladungsmenge repräsentiert einen ersten Datenwert und die hohe Ladungsmenge repräsentiert einen zweiten Datenwert, so dass die Ladungseinfangschicht 116 ein Daten-Bit speichert. Außerdem leitet während der Verwendung der Speicherzelle 102 einer der selektiv leitfähigen Speicherkanäle 112, die unter der Steuer-Gate-Elektrode 114 liegen, selektiv in Abhängigkeit davon, ob die Steuer-Gate-Elektrode 114 mit einer Spannung vorgespannt wird, die eine Schwellenspannung übersteigt. Die Ladung in der Ladungseinfangschicht 116 bildet ein elektrisches Feld ab, das von der Steuer-Gate-Elektrode 114 erzeugt wird, so dass sich die Schwellenspannung in Abhängigkeit von der Ladungsmenge ändert, die von der Ladungseinfangschicht 116 gespeichert wird. Deswegen kann der Datenzustand der Ladungseinfangschicht 116 ausgelesen werden durch Vorspannen der Steuer-Gate-Elektrode 114 mit einer Spannung zwischen der Schwellenspannung im programmierten Zustand und der Schwellenspannung im gelöschten Zustand. Wie oben angegeben, ist dieser Spannungsbereich als das Betriebsfenster bekannt.While using the memory cell 102 become the different conductive components (eg the control gate electrode 114 ) of the memory cell 102 selectively biased to those in the charge trapping layer 116 to vary stored charge amount. In an erased state, the charge trapping layer stores 116 a low amount of charge. In a programmed state, the charge trapping layer stores 116 a high amount of charge. The low charge amount represents a first data value and the high charge amount represents a second data value, such that the charge trapping layer 116 stores a data bit. It also conducts during use of the memory cell 102 one of the selectively conductive memory channels 112 that under the control gate electrode 114 lie selectively depending on whether the control gate electrode 114 is biased with a voltage exceeding a threshold voltage. The charge in the charge trapping layer 116 forms an electric field from the control gate electrode 114 is generated so that the threshold voltage changes depending on the charge amount of the charge trapping layer 116 is stored. Therefore, the data state of the charge trapping layer can be 116 can be read by biasing the control gate electrode 114 with a voltage between the threshold voltage in the programmed state and the threshold voltage in the erased state. As stated above, this voltage range is known as the operating window.

Durch Verwendung eines Metalls mit einer Austrittsarbeit des p-Typs für die Steuer-Gate-Elektrode 114 weist die Steuer-Gate-Elektrode 114 eine hohe Austrittsarbeit auf, was zu einer schwierigen Elektronen-Gate-Rückinjektion während des Löschens der Ladungseinfangschicht 116 führt. Elektronen-Gate-Rückinjektion ist das Tunneln von Elektronen von der Steuer-Gate-Elektrode 114 zu der Ladungseinfangschicht 116, unter dem Einfluss eines starken elektrischen Feldes (z.B. von einer hohen Löschungsspannung). Da die Elektronen-Gate-Rückinjektion schwierig ist, ist das Löschungssättigungsniveau niedrig. Das Löschungssättigungsniveau ist das Niveau, bei welchem Elektronen, die aufgrund von Elektronen-Gate-Rückinjektion in die Ladungseinfangschicht 116 eintreten, und Elektronen, die aufgrund des Löschens die Ladungseinfangschicht 116 verlassen, einen Gleichgewichtszustand erreichen (d.h. ausbalanciert sind). Aufgrund des niedrigen Löschungssättigungsniveaus kann die Ladungseinfangschicht 116 vollständig oder nahezu vollständig gelöscht werden. Ferner ist der Unterschied zwischen der Steuer-Gate-Schwellenspannung im gelöschten Zustand und der Steuer-Gate-Schwellenspannung im programmierten Zustand hoch, wodurch das Betriebsfenster groß ist. Da das Betriebsfenster groß ist, kann die Speicherzelle 102 beispielsweise für Prozessschwankungen und Rauschen während Leseoperationen flexibel sein. Außerdem kann aufgrund der hohen Austrittsarbeit der Steuer-Gate-Elektrode 114 eine hohe Löschungsspannung angewendet werden, ohne einer einfachen Elektronen-Gate-Rückinjektion, einem hohen Löschungssättigungsniveau und einem kleinen Betriebsfenster ausgesetzt zu sein. Entsprechend kann die Löschungsgeschwindigkeit hoch sein.By using a metal with a p-type work function for the control gate electrode 114 has the control gate electrode 114 high work function, resulting in difficult electron gate re-injection during quenching of the charge trapping layer 116 leads. Electron gate back injection is the tunneling of electrons from the control gate electrode 114 to the charge trapping layer 116 , under the influence of a strong electric field (eg from a high erase voltage). Since electron-gate back injection is difficult, the erase saturation level is low. The erase saturation level is the level at which electrons due to electron-gate back injection into the charge trapping layer 116 and electrons which due to erasure enter the charge trapping layer 116 leave, reach a state of equilibrium (ie are balanced). Due to the low erosion saturation level, the charge trapping layer can 116 completely or almost completely erased. Further, the difference between the control gate threshold voltage in the erased state and the control gate threshold voltage in the programmed state is high, whereby the operation window is large. Since the operating window is large, the memory cell 102 For example, be flexible for process variations and noise during read operations. In addition, due to the high work function of the control gate electrode 114 a high erase voltage can be applied without being subjected to a simple electron gate re-injection, a high erase saturation level, and a small operating window. Accordingly, the erasure speed can be high.

Eine Auswahl-Gate-Elektrode 120 und eine Auswahl-Gate-Dielektrikumsschicht 122 sind auf der Auswahl-Gate-Wanne 108 gestapelt, lateral zwischen der gemeinsamen Source/Drain 110c und einer entsprechenden der individuellen Speicher-Source/Drains 110i. Die Auswahl-Gate-Dielektrikumsschicht 122 liegt unter der Auswahl-Gate-Elektrode 120 und isoliert die Auswahl-Gate-Elektrode 120 elektrisch von der Auswahl-Gate-Wanne 108. Die Auswahl-Gate-Dielektrikumsschicht 122 kann beispielsweise Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika), Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die Auswahl-Gate-Elektrode 120 kann beispielsweise dotiertes Polysilizium, ein Metall, irgendein anderes geeignetes leitfähiges Material (andere geeignete leitfähige Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.A selection gate electrode 120 and a select gate dielectric layer 122 are on the selection gate pan 108 stacked, laterally between the common source / drain 110c and a corresponding one of the individual memory source / drains 110i , The select gate dielectric layer 122 is below the selection gate electrode 120 and isolates the select gate 120 electrically from the selection gate pan 108 , The select gate dielectric layer 122 For example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics), silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The selection gate electrode 120 For example, it may be or include doped polysilicon, a metal, any other suitable conductive material (other suitable conductive materials), or any combination of the foregoing.

In einigen Ausführungsformen ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit des p-Typs, die Auswahl-Gate-Elektrode 120 ist oder umfasst ein Metall mit einer Austrittsarbeit des n-Typs und die gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i sind solche des n-Typs. In anderen Ausführungsformen ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit des n-Typs, die Auswahl-Gate-Elektrode 120 ist oder umfasst ein Metall mit einer Austrittsarbeit des p-Typs und die gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i sind solche des p-Typs. In wiederum anderen Ausführungsformen ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit eines ersten Dotierungstyps (z.B. n-Typ oder p-Typ), die Auswahl-Gate-Elektrode 120 ist oder umfasst ein Metall mit einer Austrittsarbeit des ersten Dotierungstyps und die gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i sind welche eines zweiten Dotierungstyps (z.B. p-Typ oder n-Typ), der dem ersten Dotierungstyp entgegengesetzt ist.In some embodiments, the control gate electrode is or includes 114 a p-type work function metal, the select gate electrode 120 is or includes an n-type work function metal and the common and individual storage source / drains 110c . 110i are those of the n-type. In other embodiments, the control gate electrode is or includes 114 an n-type work function metal, the select gate electrode 120 is or includes a metal having a p-type work function and the common and individual memory source / drains 110c . 110i are those of the p-type. In yet other embodiments, the control gate electrode is or includes 114 a metal having a work function of a first doping type (eg n-type or p-type), the selection gate electrode 120 is or includes one Metal having a work function of the first doping type and the common and individual memory source / drains 110c . 110i are those of a second doping type (eg, p-type or n-type) that is opposite to the first doping type.

Durch Verwendung von Metall für die Steuer- und Auswahl-Gate-Elektroden 114, 120 und von High-k-Dielektrika für die Steuer- und Auswahl-Gate-Dielektrikumsschichten 118, 122 (d.h. durch Nutzung der HKMG-Technologie) kann die Speicherzelle 102 für hochentwickelte Prozessknoten, beispielsweise 28-nm-, 20-nm- und kleinere Prozessknoten, verkleinert werden. Ferner gibt es durch Verwendung von Metall statt dotiertem Polysilizium für die Steuer- und Auswahl-Gate-Elektroden 114, 120 kein Dotierungsverfahren zum Bilden der Steuer- und Auswahl-Gate-Elektroden 114, 120, so dass die Leistungsparameter der Speicherzelle 102 bei der Massenfertigung gleichmäßiger sein können. Wie oben angegeben, könnte ein Dotierungsverfahren, das durchgeführt wird, um Polysilizium-Steuer-und-Auswahl-Gate-Elektroden zu bilden, das Dotierungsprofil der Steuer- und Auswahl-Gate-Wannen ändern, wodurch sich Leistungsparameter einer Speicherzelle verschieben könnten. Außerdem können durch Verwendung von Metall statt dotiertem Polysilizium für die Steuer- und Auswahl-Gate-Elektroden 114, 120 die Austrittsarbeiten der Steuer- und Auswahl-Gate-Elektroden 114, 120 besser gesteuert werden. Wie oben angegeben, könnte durch ein Dotierungsverfahren, das durchgeführt wird, um Source/Drains zu bilden, Polysilizium-Steuer-Gate-Elektroden und Polysilizium-Auswahl-Gate-Elektroden entgegengesetzt dotiert werden, wodurch die Austrittsarbeiten der Polysilizium-Steuer-Gate-Elektroden und Polysilizium-Auswahl-Gate-Elektroden geändert werden.By using metal for the control and select gate electrodes 114 . 120 and high-k dielectrics for the control and select gate dielectric layers 118 . 122 (ie by using the HKMG technology), the memory cell 102 for advanced process nodes, such as 28nm, 20nm, and smaller process nodes. Further, by using metal instead of doped polysilicon for the control and select gate electrodes 114 . 120 no doping method for forming the control and selection gate electrodes 114 . 120 , so that the performance parameters of the memory cell 102 be more even in mass production. As noted above, a doping process performed to form polysilicon control and select gate electrodes could alter the doping profile of the control and select gate wells, thereby potentially shifting power parameters of a memory cell. Additionally, by using metal instead of doped polysilicon for the control and select gate electrodes 114 . 120 the work functions of the control and select gate electrodes 114 . 120 be better controlled. As noted above, by a doping process performed to form source / drains, polysilicon control gate electrodes and polysilicon select gate electrodes could be oppositely doped, thereby increasing the work functions of the polysilicon control gate electrodes and polysilicon select gate electrodes are changed.

In einigen Ausführungsformen ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit des p-Typs, die Auswahl-Gate-Elektrode 120 ist oder umfasst Polysilizium des n-Typs und die gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i sind solche des n-Typs. In anderen Ausführungsformen ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit des n-Typs, die Auswahl-Gate-Elektrode 120 ist oder umfasst Polysilizium des p-Typs und die gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i sind solche des p-Typs. In wiederum anderen Ausführungsformen ist oder umfasst die Steuer-Gate-Elektrode 114 ein Metall mit einer Austrittsarbeit eines ersten Dotierungstyps (z.B. n-Typ oder p-Typ), die Auswahl-Gate-Elektrode 120 ist oder umfasst Polysilizium mit dem ersten Dotierungstyp und die gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i sind welche eines zweiten Dotierungstyps (z.B. p-Typ oder n-Typ), der dem ersten Dotierungstyp entgegengesetzt ist.In some embodiments, the control gate electrode is or includes 114 a p-type work function metal, the select gate electrode 120 is or includes n-type polysilicon and the common and individual memory source / drains 110c . 110i are those of the n-type. In other embodiments, the control gate electrode is or includes 114 an n-type work function metal, the select gate electrode 120 is or includes p-type polysilicon and the common and individual memory source / drains 110c . 110i are those of the p-type. In yet other embodiments, the control gate electrode is or includes 114 a metal having a work function of a first doping type (eg n-type or p-type), the selection gate electrode 120 is or includes polysilicon with the first doping type and the common and individual memory source / drains 110c . 110i are those of a second doping type (eg, p-type or n-type) that is opposite to the first doping type.

In einigen Ausführungsformen beträgt eine Differenz zwischen einer Austrittsarbeit der Steuer-Gate-Elektrode 114 und einer Austrittsarbeit der Auswahl-Gate-Elektrode 120 etwa 0,8 eV bis 1,2 eV, 0,9 eV bis 1,1 eV oder etwa 0,5 eV bis 1,5 eV. Es sind jedoch andere geeignete Austrittsarbeitsdifferenzen anwendbar. In einigen Ausführungsformen (wo z.B. die Speicherzelle 102 eine n-Kanal-Speicherzelle ist) ist eine Austrittsarbeit der Steuer-Gate-Elektrode 114 höher als eine Austrittsarbeit der Auswahl-Gate-Elektrode 120. In einigen Ausführungsformen (wo z.B. die Speicherzelle 102 eine p-Kanal-Speicherzelle ist) ist eine Austrittsarbeit der Steuer-Gate-Elektrode 114 niedriger als eine Austrittsarbeit der Auswahl-Gate-Elektrode 120.In some embodiments, a difference between a work function of the control gate electrode 114 and a work function of the select gate electrode 120 about 0.8 eV to 1.2 eV, 0.9 eV to 1.1 eV, or about 0.5 eV to 1.5 eV. However, other suitable workfunction differences are applicable. In some embodiments (where, for example, the memory cell 102 is an n-channel memory cell) is a work function of the control gate electrode 114 higher than a work function of the select gate electrode 120 , In some embodiments (where, for example, the memory cell 102 a p-channel memory cell) is a work function of the control gate electrode 114 lower than a work function of the selection gate electrode 120 ,

Obwohl 1 so dargestellt und beschrieben ist, als ob die Steuer- und Auswahl-Gate-Wannen 106, 108 getrennt sind, versteht es sich, dass die Steuer- und Auswahl-Gate-Wannen 106, 108 in anderen Ausführungsformen integriert sein können (d.h. ein und dieselbe sein können). Ferner können die Steuer- und Auswahl-Gate-Wannen 106, 108 in wiederum anderen Ausführungsformen weggelassen werden, so dass sich die selektiv leitfähigen Speicherkanäle 112 in einem Hauptkörper des Halbleitersubstrats 104 befinden.Even though 1 is shown and described as if the control and selection gate wells 106 . 108 it is understood that the control and selection gate wells 106 . 108 may be integrated (ie, one and the same) in other embodiments. Furthermore, the control and selection gate wells can 106 . 108 be omitted in yet other embodiments, so that the selectively conductive memory channels 112 in a main body of the semiconductor substrate 104 are located.

Bezug nehmend auf 2A, wird dort eine Querschnittsansicht 200A einiger Ausführungsformen einer IC bereitgestellt, bei welchen die IC die Elemente der 1 und einige zusätzliche (hierin nachstehend beschriebene) Elemente umfasst. Ferner sind die Elemente der 1 Gegenstand von Modifikationen (z.B. Änderungen der Geometrie, der Position usw.), um die zusätzlichen Elemente unterzubringen. Beispielsweise können die einzelnen Speicher-Source/Drains 110i einen lateralen Abstand von den Steuer- und Auswahl-Gate-Elektroden 114, 120 aufweisen, um Source/Drain-Erweiterungen 204 unterzubringen. Die IC der 2A kann zum Beispiel gemäß High-k-first-Ausführungsformen des nachstehend beschriebenen Verfahrens gebildet werden.Referring to 2A , there will be a cross-sectional view 200A some embodiments of an IC, in which the IC, the elements of 1 and some additional elements (described hereinafter). Furthermore, the elements of the 1 Subject to modifications (eg, geometry, position, etc. changes) to accommodate the additional elements. For example, the individual memory source / drains 110i a lateral distance from the control and selection gate electrodes 114 . 120 exhibit to source / drain extensions 204 accommodate. The IC of 2A may be formed, for example, according to high-k-first embodiments of the method described below.

Wie dargestellt, umfasst das Halbleitersubstrat 104 ferner eine massive Halbleiterzone 104b, welche unter der Steuer-Gate-Wanne 106, der Auswahl-Gate-Wanne 108, der gemeinsamen Source/Drain 110c und den individuellen Speicher-Source/Drains 110i liegt. In anderen Ausführungsformen ist die massive Halbleiterzone 104b dotiert. Beispielsweise kann die massive Halbleiterzone 104b ein Dotierungsprofil des p-Typs oder ein Dotierungsprofil des n-Typs aufweisen.As illustrated, the semiconductor substrate comprises 104 Furthermore, a massive semiconductor zone 104b which is under the control gate pan 106 , the selection gate pan 108 , the common source / drain 110c and the individual storage source / drains 110i lies. In other embodiments, the massive semiconductor zone 104b doped. For example, the massive semiconductor zone 104b have a p-type doping profile or an n-type doping profile.

In einigen Ausführungsformen umfasst das Halbleitersubstrat 104 eine tiefe Wanne, welche unter den Steuer- und Auswahl-Gate-Wannen 106, 108 liegt. In einigen Ausführungsformen weist die tiefe Wanne 202 einen Dotierungstyp auf, der dem der Steuer- und Auswahl-Gate-Wannen 106, 108 entgegengesetzt ist. Beispielsweise können die Steuer- und Auswahl-Gate-Wannen 106, 108 solche des p-Typs sein, während die tiefe Wanne 202 eine des n-Typs sein kann, oder umgekehrt. In einigen Ausführungsformen umfasst das Halbleitersubstrat 104 ferner mehrere Source/Drain-Erweiterungen 204. Zur Vereinfachung der Darstellung sind nur einige der Source/Drain-Erweiterungen 204 mit 204 gekennzeichnet. Die Source/Drain-Erweiterungen 204 liegen über den Steuer- und Auswahl-Gate-Wannen 106, 108 und erstrecken sich jeweils lateral von einer entsprechenden Source/Drain. Beispielsweise können die gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i durch die Source/Drain-Erweiterungen 204 erweitert werden. Die Source/Drain-Erweiterungen 204 weisen jeweils denselben Dotierungstyp auf, jedoch eine niedrigere Dotierungskonzentration als die entsprechende Source/Drain.In some embodiments, the semiconductor substrate comprises 104 a deep tub, which under the Control and selection gate tubs 106 . 108 lies. In some embodiments, the deep well 202 a doping type similar to that of the control and selection gate wells 106 . 108 is opposite. For example, the control and selection gate wells 106 . 108 those of the p-type, while the deep tub 202 may be one of the n-type, or vice versa. In some embodiments, the semiconductor substrate comprises 104 Furthermore, several source / drain extensions 204 , To simplify the illustration, only some of the source / drain extensions are 204 marked 204. The source / drain extensions 204 lie above the control and selection gate pans 106 . 108 and each extend laterally from a respective source / drain. For example, the common and individual memory source / drains 110c . 110i through the source / drain extensions 204 be extended. The source / drain extensions 204 each have the same doping type, but a lower doping concentration than the corresponding source / drain.

Eine Isolationsstruktur 206 erstreckt sich in eine Oberseite des Halbleitersubstrats 104 und erstreckt sich lateral entlang Grenzen der Steuer- und Auswahl-Gate-Wannen 106, 108, so dass sie die Steuer- und Auswahl-Gate-Wannen 106, 108 umschließt. In einigen Ausführungsformen weist die Isolationsstruktur 206 ein ebenes oberes Layout auf, welches ringförmig ist oder irgendeine andere geeignete Form einer geschlossenen Strecke aufweist. Die Isolationsstruktur 206 kann beispielsweise eine Struktur einer flachen Grabenisolierung (Shallow Trench Isolation, STI), eine Struktur einer tiefen Grabenisolierung (Deep Trench Isolation, DTI) oder irgendeine andere geeignete Isolationsstruktur sein oder umfassen.An isolation structure 206 extends into an upper surface of the semiconductor substrate 104 and extends laterally along boundaries of the control and selection gate wells 106 . 108 so that they have the control and selection gate tubs 106 . 108 encloses. In some embodiments, the isolation structure 206 a flat top layout which is annular or has any other suitable closed-loop shape. The isolation structure 206 For example, it may be or include a shallow trench isolation (STI) structure, a deep trench isolation (DTI) structure, or any other suitable isolation structure.

Die Steuer-Gate-Elektrode 114 liegt über der Steuer-Gate-Wanne 106 und der Ladungseinfangschicht 116 und ist durch eine oder mehrere Steuer-Gate-Dielektrikumsschichten von der Ladungseinfangschicht 116 elektrisch isoliert. Beispielsweise können zwischen der Steuer-Gate-Elektrode 114 und der Ladungseinfangschicht 116 eine untere Steuer-Gate-Dielektrikumsschicht 118l, eine mittlere Steuer-Gate-Dielektrikumsschicht 118m und eine obere Steuer-Gate-Dielektrikumsschicht 118u gestapelt sein. Die untere und die mittlere Steuer-Gate-Dielektrikumsschicht 118l, 118m können zum Beispiel Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die obere Steuer-Gate-Dielektrikumsschicht 118u kann zum Beispiel Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.The control gate electrode 114 lies above the control gate pan 106 and the charge trapping layer 116 and is through one or more control gate dielectric layers of the charge trapping layer 116 electrically isolated. For example, between the control gate electrode 114 and the charge trapping layer 116 a lower control gate dielectric layer 118l , a middle control gate dielectric layer 118m and an upper control gate dielectric layer 118u be stacked. The lower and middle control gate dielectric layers 118l . 118m For example, they may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The upper control gate dielectric layer 118u For example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics) or any combination of the foregoing may be or include.

Die Auswahl-Gate-Elektrode 120 liegt über der Auswahl-Gate-Wanne 108 und ist durch eine oder mehrere Auswahl-Gate-Dielektrikumsschichten von der Auswahl-Gate-Wanne 108 elektrisch isoliert. Beispielsweise können zwischen der Auswahl-Gate-Elektrode 120 und der Auswahl-Gate-Wanne 108 eine untere Auswahl-Gate-Dielektrikumsschicht 122l und eine obere Auswahl-Gate-Dielektrikumsschicht 122u gestapelt sein. Die untere Auswahl-Gate-Dielektrikumsschicht 122l kann zum Beispiel Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die obere Auswahl-Gate-Dielektrikumsschicht 122u kann zum Beispiel Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.The selection gate electrode 120 lies above the selection gate pan 108 and is through one or more select gate dielectric layers from the select gate well 108 electrically isolated. For example, between the selection gate electrode 120 and the selection gate pan 108 a bottom select gate dielectric layer 122l and an upper select gate dielectric layer 122U be stacked. The bottom select gate dielectric layer 122l For example, it may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The top select gate dielectric layer 122U For example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics) or any combination of the foregoing may be or include.

Gate-Abstandhalter 208 kleiden Seitenwände der Steuer- und Auswahl-Gate-Elektroden 114, 120 aus. In einigen Ausführungsformen weist jeder der Gate-Abstandhalter 208 ein Paar Segmente auf, jeweils auf gegenüberliegenden Seitenwänden einer entsprechenden Gate-Elektrode (z.B. der Steuer-Gate-Elektrode 114 oder der Auswahl-Gate-Elektrode 120). Die Gate-Abstandhalter 208 können beispielsweise Siliziumnitrid, Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.Gate spacers 208 clad sidewalls of the control and select gate electrodes 114 . 120 out. In some embodiments, each of the gate spacers 208 a pair of segments, each on opposite sidewalls of a respective gate electrode (eg, the control gate electrode 114 or the selection gate electrode 120 ). The gate spacers 208 For example, silicon nitride, silicon oxide, any other suitable dielectric (other suitable dielectrics) or any combination of the foregoing may be or include.

Zusätzliche Abstandhalter 210 kleiden Seitenwände der Gate-Abstandhalter 208 und Seitenwände der Ladungseinfangschicht 116 aus. In einigen Ausführungsformen kleiden die zusätzlichen Abstandhalter 210 außerdem Seitenwände der unteren Auswahl-Gate-Dielektrikumsschicht 122l, Seitenwände der unteren Steuer-Gate-Dielektrikumsschicht 118l und Seitenwände der mittleren Steuer-Gate-Dielektrikumsschicht 118m aus. In einigen Ausführungsformen weist jeder der zusätzlichen Abstandhalter 210 ein Paar Segmente auf, jeweils auf gegenüberliegenden Seitenwänden eines entsprechenden der Gate-Abstandhalter 208. Die zusätzlichen Abstandhalter 210 können beispielsweise Siliziumoxid, Siliziumnitrid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.Additional spacers 210 clad sidewalls of the gate spacers 208 and sidewalls of the charge trapping layer 116 out. In some embodiments, the additional spacers clothe 210 also sidewalls of the bottom select gate dielectric layer 122l Side walls of the lower control gate dielectric layer 118l and sidewalls of the middle control gate dielectric layer 118m out. In some embodiments, each of the additional spacers 210 a pair of segments, respectively on opposite sidewalls of a corresponding one of the gate spacers 208 , The additional spacers 210 For example, silicon oxide, silicon nitride, any other suitable dielectric (other suitable dielectrics) or any combination of the foregoing may be or include.

Eine Back-End-of-Line(BEOL)-Verbindungsstruktur 212 bedeckt die Speicherzelle 102 und umfasst eine Zwischenschichtdielektrikums(Interlayer Dielectric, ILD)-Schicht 214 und mehrere Durchkontaktierungen 216. Die ILD-Schicht 214 kann beispielsweise Siliziumoxid, Siliziumnitrid, ein Low-k-Dielektrikum, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Wie hierin verwendet, kann ein Low-k-Dielektrikum beispielsweise ein Dielektrikum mit einer Dielektrizitätskonstante κ von weniger als etwa 3,9, 3, 2 oder 1 sein oder umfassen. Die Durchkontaktierungen 216 erstrecken sich durch die ILD-Schicht 214 zu der Steuer-Gate-Elektrode 114, der Auswahl-Gate-Elektrode 120, den individuellen Speicher-Source/Drains 110i oder einer beliebigen Kombination der Vorstehenden. Die Durchkontaktierungen 216 können beispielsweise Wolfram, Kupfer, Aluminiumkupfer, Aluminium, irgendein anderes geeignetes leitfähiges Material (andere geeignete leitfähige Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.A back-end-of-line (BEOL) interconnect 212 covers the memory cell 102 and includes an Interlayer Dielectric (ILD) layer 214 and multiple vias 216 , The ILD layer 214 For example, it may be or include silicon oxide, silicon nitride, a low-k dielectric, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. As used herein, a low-k Dielectric, for example, a dielectric having a dielectric constant κ of less than about 3.9, 3, 2 or 1 or include. The vias 216 extend through the ILD layer 214 to the control gate electrode 114 , the selection gate electrode 120 , the individual storage source / drains 110i or any combination of the foregoing. The vias 216 For example, tungsten, copper, aluminum copper, aluminum, any other suitable conductive material (other suitable conductive materials), or any combination of the above may be or include.

In einigen Ausführungsformen sind Silizid-Kontaktflecken 218 entsprechend auf den gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i angeordnet. Die Silizid-Kontaktflecken 218 verringern den Kontaktwiderstand zwischen den gemeinsamen und individuellen Speicher-Source/Drains 110c, 110i und entsprechenden der Durchkontaktierungen 216. Die Silizid-Kontaktflecken 218 können beispielsweise Nickelsilizid, irgendein anderes geeignetes Silizid (andere geeignete Silizide) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.In some embodiments, silicide pads are 218 according to the common and individual memory source / drains 110c . 110i arranged. The silicide pads 218 reduce the contact resistance between the common and individual memory source / drains 110c . 110i and corresponding of the vias 216 , The silicide pads 218 For example, nickel silicide, any other suitable silicide (other suitable silicides), or any combination of the foregoing may be or include.

Bezug nehmend auf 2B, ist dort eine Querschnittsansicht 200B einiger alternativer Ausführungsformen der IC der 2A dargestellt, bei welchen die oberen Steuer- und Auswahl-Gate-Dielektrikumsschichten 118u, 122u entsprechend eine Unterseite der Steuer-Gate-Elektrode 114 und eine Unterseite der Auswahl-Gate-Elektrode 120 umhüllen. Die IC der 2B kann zum Beispiel gemäß High-k-last-Ausführungsformen des nachstehend beschriebenen Verfahrens gebildet werden.Referring to 2 B , there is a cross-sectional view 200B some alternative embodiments of the IC 2A in which the upper control and select gate dielectric layers 118u . 122U corresponding to a bottom of the control gate electrode 114 and a bottom of the selection gate electrode 120 envelop. The IC of 2 B For example, it may be formed according to high-k-last embodiments of the method described below.

Bezug nehmend auf 3A, ist dort eine Querschnittsansicht 300A einiger Ausführungsformen einer IC dargestellt, bei welchen die IC die Speicherzelle 102 der 2A und eine oder mehrere zusätzliche Halbleitervorrichtungen umfasst, welche mit der Speicherzelle 102 integriert sind. Die eine oder die mehreren Halbleitervorrichtungen können beispielsweise eine Eingabe/Ausgabe(E/A)-Logikvorrichtung 302, eine Hochspannungs(High Voltage, HV)-Vorrichtung 304 und eine Kern-Logikvorrichtung 306, irgendeine andere geeignete Halbleitervorrichtung (andere geeignete Halbleitervorrichtungen) oder eine beliebige Kombination der Vorstehenden umfassen. Die IC der 3A kann zum Beispiel gemäß High-k-first-Ausführungsformen des nachstehend beschriebenen Verfahrens gebildet werden.Referring to 3A , there is a cross-sectional view 300A of some embodiments of an IC in which the IC is the memory cell 102 of the 2A and one or more additional semiconductor devices associated with the memory cell 102 are integrated. The one or more semiconductor devices may include, for example, an input / output (I / O) logic device 302 , a high voltage (HV) device 304 and a core logic device 306 , any other suitable semiconductor device (other suitable semiconductor devices) or any combination of the foregoing. The IC of 3A may be formed, for example, according to high-k-first embodiments of the method described below.

Die E/A-Logikvorrichtung 302 unterstützt bei E/A-Operationen für die IC. Beispielsweise können die E/A-Logikvorrichtung 302 und (nicht dargestellte) andere E/A-Logikvorrichtungen zusammen E/A-Schaltungen zur Dateneingabe in die und/oder Datenausgabe aus der IC realisieren. Die HV-Vorrichtung 304 ist eine Vorrichtung, welche bei hohen Spannungen im Vergleich zu der E/A-Logikvorrichtung 302 und/oder der Kern-Logikvorrichtung 306 arbeitet. Die hohen Spannungen können beispielsweise etwa 20 bis 50 Volt, 10 bis 100 Volt, 30 bis 70 Volt oder irgendwelche anderen geeigneten hohen Spannungen sein. In einigen Ausführungsformen steuert die HV-Vorrichtung 304 Bitleitungen und/oder Wortleitungen in einer Speicherzellenmatrix an, welche die Speicherzelle 102 umfassen kann. Die Kern-Logikvorrichtung 306 unterstützt bei Kernfunktionen für die IC. Beispielsweise können die Kern-Logikvorrichtung 306 und andere (nicht dargestellte) Kern-Logikvorrichtungen zusammen Kernschaltungen für die IC realisieren. Solche Kernschaltungen können beispielsweise Reihen-Decoder-Schaltungen, Spalten-Decoder-Schaltungen, Bildsignalverarbeitungs(Image Signal Processing, ISP)-Schaltungen, Steuerschaltungen, irgendwelche anderen geeigneten Kernschaltungen oder eine beliebige Kombination der Vorstehenden umfassen. Die E/A-Logikvorrichtung 302, die HV-Vorrichtung 304 und die Kern-Logikvorrichtung 306 können beispielsweise Metall-Oxid-Halbleiter(Metal-Oxide-Semiconductor, MOS)-Vorrichtung(en), MOS-Feldeffekttransistor(en) (MOSFET(s)), Feldeffekttransistor(en) mit isoliertem Gate (Isolated Gate FET(s), IGFET(s)), irgendeine andere geeignete Halbleitervorrichtung (andere geeignete Halbleitervorrichtungen) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.The I / O logic device 302 supports I / O operations for the IC. For example, the I / O logic device 302 and other I / O logic devices (not shown) together realize I / O circuits for data input to and / or output from the IC. The HV device 304 is a device that operates at high voltages compared to the I / O logic device 302 and / or the core logic device 306 is working. For example, the high voltages may be about 20 to 50 volts, 10 to 100 volts, 30 to 70 volts, or any other suitable high voltages. In some embodiments, the HV device controls 304 Bit lines and / or word lines in a memory cell array, which the memory cell 102 may include. The core logic device 306 supports core functions for the IC. For example, the core logic device 306 and other core logic devices (not shown) together realize core circuits for the IC. Such core circuits may include, for example, row decoder circuits, column decoder circuits, image signal processing (ISP) circuits, control circuits, any other suitable core circuits, or any combination of the foregoing. The I / O logic device 302 , the HV device 304 and the core logic device 306 For example, metal-oxide-semiconductor (MOS) device (s), MOS field effect transistor (s) (MOSFET (s)), insulated gate field effect transistor (s), (insulated gate FET (s), IGFET (s)), any other suitable semiconductor device (other suitable semiconductor devices), or any combination of the foregoing.

In einigen Ausführungsformen, bei welchen die IC die E/A-Logikvorrichtung 302 umfasst, umfasst das Halbleitersubstrat 104 eine E/A-Wanne 308, ein Paar E/A-Source/Drains 310 und einen selektiv leitfähigen E/A-Kanal 312. Zur Vereinfachung der Darstellung ist nur eine der E/A-Source/Drains 310 mit 310 gekennzeichnet. Die E/A-Wanne 308 liegt unter den E/A-Source/Drains 310 und weist einen ersten Dotierungstyp auf. In einigen Ausführungsformen liegt die E/A-Wanne 308 neben der tiefen Wanne 202. In anderen Ausführungsformen liegt die E/A-Wanne 308 über der tiefen Wanne 202. In einigen Ausführungsformen erstreckt sich die Isolationsstruktur 206 lateral entlang einer Grenze der E/A-Wanne 308, um die E/A-Wanne 308 zu umschließen (z.B. vollständig zu umschließen). Die E/A-Source/Drains 310 befinden sich entsprechend auf gegenüberliegenden Seiten der E/A-Wanne 308 und weisen einen der E/A-Wanne 308 entgegengesetzten Dotierungstyp auf. Der selektiv leitfähige E/A-Kanal 312 befindet sich in der E/A-Wanne 308 und erstreckt sich von einer der E/A-Source/Drains 310 zu einer anderen der E/A-Source/Drains 310.In some embodiments, where the IC is the I / O logic device 302 includes, comprises the semiconductor substrate 104 an I / O tub 308 , a pair of I / O source / drains 310 and a selectively conductive I / O channel 312 , To simplify the illustration, this is just one of the I / O source / drains 310 With 310 characterized. The I / O pan 308 is below the I / O source / drains 310 and has a first doping type. In some embodiments, the I / O tray is located 308 next to the deep tub 202 , In other embodiments, the I / O tray is located 308 over the deep tub 202 , In some embodiments, the isolation structure extends 206 lateral along a boundary of the I / O pan 308 to the I / O pan 308 to enclose (eg completely enclose). The I / O source / drains 310 are located on opposite sides of the I / O tray 308 and have one of the I / O troughs 308 opposite doping on. The selectively conductive I / O channel 312 is located in the I / O tray 308 and extends from one of the I / O source / drains 310 to another of the I / O source / drains 310 ,

Eine E/A-Gate-Elektrode 314 und eine oder mehrere E/A-Gate-Dielektrikumsschichten sind auf dem selektiv leitfähigen E/A-Kanal 312 gestapelt, lateral zwischen den E/A-Source/Drains 310. Die E/A-Gate-Elektrode 314 kann beispielsweise dotiertes Polysilizium, ein Metall, irgendein anderes geeignetes leitfähiges Material (andere geeignete leitfähige Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen sind die E/A-Source/Drains 310 solche des n-Typs und die E/A-Gate-Elektrode 314 ist oder umfasst ein Metall mit einer Austrittsarbeit des n-Typs. In einigen Ausführungsformen sind die E/A-Source/Drains 310 solche des p-Typs und die E/A-Gate-Elektrode 314 ist oder umfasst ein Metall mit einer Austrittsarbeit des p-Typs.An I / O gate electrode 314 and one or more I / O gate dielectric layers are on the selectively conductive I / O channel 312 stacked, lateral between the I / O source / drains 310 , The I / O gate electrode 314 For example, it may be or include doped polysilicon, a metal, any other suitable conductive material (other suitable conductive materials), or any combination of the foregoing. In some embodiments, the I / O source / drains 310 those of the n-type and the I / O gate electrode 314 is or comprises a metal having a n-type work function. In some embodiments, the I / O source / drains 310 those of the p-type and the I / O gate electrode 314 is or comprises a metal having a p-type work function.

Die E/A-Gate-Dielektrikumsschicht(en) liegt/hegen unter der E/A-Gate-Elektrode 314, um die E/A-Gate-Elektrode 314 elektrisch von dem selektiv leitfähigen E/A-Kanal 312 zu isolieren. Beispielsweise können eine untere E/A-Gate-Dielektrikumsschicht 316l und eine obere E/A-Gate-Dielektrikumsschicht 316u zwischen der E/A-Gate-Elektrode 314 und dem selektiv leitfähigen E/A-Kanal 312 gestapelt sein. Die untere E/A-Gate-Dielektrikumsschicht 316l kann beispielsweise Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die obere E/A-Gate-Dielektrikumsschicht 316u kann beispielsweise Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen weist/weisen die E/A-Gate-Dielektrikumsschicht(en) eine E/A-Dielektrikums-Dicke Ti auf, welche geringer als eine Steuer-Gate-Dielektrikums-Dicke Tc1 ist und/oder welche etwa gleich groß ist wie eine Auswahl-Gate-Dielektrikums-Dicke Ts . Die Steuer-Gate-Dielektrikums-Dicke Tc1 kann beispielsweise durch die Ladungseinfangschicht 116 und die Steuer-Gate-Dielektrikumsschicht(en) definiert sein. Die Auswahl-Gate-Dielektrikums-Dicke Ts kann beispielsweise durch die Auswahl-Gate-Dielektrikumsschicht(en) definiert sein.The I / O gate dielectric layer (s) is under the I / O gate electrode 314 to the I / O gate electrode 314 electrically from the selectively conductive I / O channel 312 to isolate. For example, a bottom I / O gate dielectric layer 316l and an upper gate I / O dielectric layer 316u between the I / O gate electrode 314 and the selectively conductive I / O channel 312 be stacked. The lower I / O gate dielectric layer 316l For example, it may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The top I / O gate dielectric layer 316u may be or include, for example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics), or any combination of the foregoing. In some embodiments, the I / O gate dielectric layer (s) has an I / O dielectric thickness T i which is less than a control gate dielectric thickness T c1 is and / or which is about the same size as a select gate dielectric thickness T s , The control gate dielectric thickness T c1 for example, by the charge trapping layer 116 and the control gate dielectric layer (s) may be defined. The selection gate dielectric thickness T s For example, it may be defined by the select gate dielectric layer (s).

In einigen Ausführungsformen, bei denen die IC die HV-Vorrichtung 304 umfasst, umfasst das Halbleitersubstrat 104 eine HV-Wanne 318, ein Paar HV-Source/Drains 320 und einen selektiv leitfähigen HV-Kanal 322. Zur Vereinfachung der Darstellung ist nur eine der HV-Source/Drains 320 mit 320 gekennzeichnet. Die HV-Wanne 318 liegt unter den HV-Source/Drains 320 und liegt über der tiefen Wanne 202. In einigen Ausführungsformen erstreckt sich die Isolationsstruktur 206 lateral entlang einer Grenze der HV-Wanne 318, um die HV-Wanne 318 zu umschließen (z.B. vollständig zu umschließen). Die HV-Source/Drains 320 befinden sich entsprechend auf gegenüberliegenden Seiten der HV-Wanne 318 und weisen einen der HV-Wanne 318 entgegengesetzten Dotierungstyp auf. Der selektiv leitfähige HV-Kanal 322 befindet sich in der HV-Wanne 318 und erstreckt sich von einer der HV-Source/Drains 320 zu einer anderen der HV-Source/Drains 320.In some embodiments, where the IC is the HV device 304 includes, comprises the semiconductor substrate 104 a HV-tub 318 , a pair of HV source / drains 320 and a selectively conductive HV channel 322 , For ease of illustration, only one of the HV source / drains is 320 With 320 characterized. The HV tub 318 is under the HV Source / Drains 320 and lies above the deep tub 202 , In some embodiments, the isolation structure extends 206 lateral along a boundary of the HV tank 318 to the HV-tub 318 to enclose (eg completely enclose). The HV Source / Drains 320 are located on opposite sides of the HV-tub 318 and have one of the HV tub 318 opposite doping on. The selectively conductive HV channel 322 is located in the HV-tub 318 and extends from one of the HV source / drains 320 to another of the HV source / drains 320 ,

Eine HV-Gate-Elektrode 324 und eine oder mehrere HV-Gate-Dielektrikumsschichten sind auf dem selektiv leitfähigen HV-Kanal 322 gestapelt, lateral zwischen den HV-Source/Drains 320. Die HV-Gate-Elektrode 324 kann beispielsweise dotiertes Polysilizium, ein Metall, irgendein anderes geeignetes leitfähiges Material (andere geeignete leitfähige Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen sind die HV-Source/Drains 320 solche des n-Typs und die HV-Gate-Elektrode 324 ist oder umfasst ein Metall mit einer Austrittsarbeit des n-Typs. In einigen anderen Ausführungsformen sind die HV-Source/Drains 320 solche des p-Typs und die HV-Gate-Elektrode 324 ist oder umfasst ein Metall mit einer Austrittsarbeit des p-Typs.An HV gate electrode 324 and one or more HV gate dielectric layers are on the selectively conducting HV channel 322 stacked, laterally between the HV source / drains 320 , The HV gate electrode 324 For example, it may be or include doped polysilicon, a metal, any other suitable conductive material (other suitable conductive materials), or any combination of the foregoing. In some embodiments, the HV source / drains 320 those of the n-type and the HV gate electrode 324 is or comprises a metal having a n-type work function. In some other embodiments, the HV source / drains 320 those of the p-type and the HV gate electrode 324 is or comprises a metal having a p-type work function.

Die HV-Gate-Dielektrikumsschicht(en) liegt/hegen unter der HV-Gate-Elektrode 324, um die HV-Gate-Elektrode 324 elektrisch von dem selektiv leitfähigen HV-Kanal 322 zu isolieren. Beispielsweise können eine untere HV-Gate-Dielektrikumsschicht 326l, eine mittlere HV-Gate-Dielektrikumsschicht 326m und eine obere HV-Gate-Dielektrikumsschicht 326u zwischen der HV-Gate-Elektrode 324 und dem selektiv leitfähigen HV-Kanal 322 gestapelt sein. Die untere und mittlere HV-Gate-Dielektrikumsschicht 326l, 326m können beispielsweise Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die obere HV-Gate-Dielektrikumsschicht 326u kann beispielsweise Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen weist/weisen die HV-Gate-Dielektrikumsschicht(en) eine HV-Dielektrikums-Dicke Th auf, welche höher als die Steuer-Gate-Dielektrikums-Dicke Tc1 und/oder die Auswahl-Gate-Dielektrikums-Dicke Ts ist. In einigen Ausführungsformen ist die HV-Dielektrikums-Dicke Th außerdem höher als die E/A-Dielektrikums-Dicke Ti . Es versteht sich, dass die HV-Dielektrikums-Dicke Th höher als die anderen Dielektrikums-Dicken (z.B. die E/A-Dielektrikums-Dicke Ti ) ist, da die HV-Vorrichtung 304 bei höheren Spannungen arbeitet.The HV gate dielectric layer (s) is under the HV gate electrode 324 to the HV gate electrode 324 electrically from the selectively conductive HV channel 322 to isolate. For example, a lower HV gate dielectric layer 326l , a middle HV gate dielectric layer 326m and an upper HV gate dielectric layer 326u between the HV gate electrode 324 and the selectively conductive HV channel 322 be stacked. The lower and middle HV gate dielectric layer 326l . 326m For example, they may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The upper HV gate dielectric layer 326u For example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics), or any combination of the foregoing may be or include. In some embodiments, the HV gate dielectric layer (s) have an HV dielectric thickness T h which is higher than the control gate dielectric thickness T c1 and / or the selection gate dielectric thickness T s is. In some embodiments, the HV dielectric thickness is T h also higher than the I / O dielectric thickness T i , It is understood that the HV dielectric thickness T h higher than the other dielectric thicknesses (eg, the I / O dielectric thickness T i ) is because the HV device 304 works at higher voltages.

In einigen Ausführungsformen, bei denen die IC die Kern-Logikvorrichtung 306 umfasst, umfasst das Halbleitersubstrat 104 eine Kern-Wanne 328, ein Paar Kern-Source/Drains 330 und einen selektiv leitfähigen Kern-Kanal 332. Zur Vereinfachung der Darstellung ist nur eine der Kern-Source/Drains 330 mit 330 gekennzeichnet. Die Kern-Wanne 328 liegt unter den Kern-Source/Drains 330. In einigen Ausführungsformen liegt die Kern-Wanne 328 neben der tiefen Wanne 202. In anderen Ausführungsformen liegt die Kern-Wanne 328 über der tiefen Wanne 202. In einigen Ausführungsformen erstreckt sich die Isolationsstruktur 206 lateral entlang einer Grenze der Kern-Wanne 328, um die Kern-Wanne 328 zu umschließen (z.B. vollständig zu umschließen). Die Kern-Source/Drains 330 befinden sich entsprechend auf gegenüberliegenden Seiten der Kern-Wanne 328 und weisen einen der Kern-Wanne 328 entgegengesetzten Dotierungstyp auf. Der selektiv leitfähige Kern-Kanal 332 befindet sich in der Kern-Wanne 328 und erstreckt sich von einer der Kern-Source/Drains 330 zu einer anderen der Kern-Source/Drains 330.In some embodiments, the IC is the core logic device 306 includes, comprises the semiconductor substrate 104 a core pan 328 , a couple of core source / drains 330 and a selectively conductive core channel 332 , To simplify the illustration, only one of the core source / drains is 330 With 330 characterized. The core sink 328 is below the core source / drains 330 , In some Embodiments is the core pan 328 next to the deep tub 202 , In other embodiments, the core tray is located 328 over the deep tub 202 , In some embodiments, the isolation structure extends 206 lateral along a boundary of the core tub 328 to the core pan 328 to enclose (eg completely enclose). The core source / drains 330 are located respectively on opposite sides of the core pan 328 and have one of the core tub 328 opposite doping on. The selectively conductive core channel 332 is located in the core tub 328 and extends from one of the core source / drains 330 to another of the core source / drains 330 ,

Eine Kern-Gate-Elektrode 334 und eine Kern-Gate-Dielektrikumsschicht sind auf dem selektiv leitfähigen Kern-Kanal 332 gestapelt, lateral zwischen den Kern-Source/Drains 330. Die Kern-Gate-Elektrode 334 liegt über der Kern-Gate-Dielektrikumsschicht 336 und kann beispielsweise dotiertes Polysilizium, ein Metall, irgendein anderes geeignetes leitfähiges Material (andere geeignete leitfähige Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen sind die Kern-Source/Drains 330 solche des n-Typs und die Kern-Gate-Elektrode 334 ist oder umfasst ein Metall mit einer Austrittsarbeit des n-Typs. In einigen anderen Ausführungsformen sind die Kern-Source/Drains 330 solche des p-Typs und die Kern-Gate-Elektrode 334 ist oder umfasst ein Metall mit einer Austrittsarbeit des p-Typs. Die Kern-Gate-Elektrode 334 kann beispielsweise Hafniumoxid, Siliziumnitrid, Aluminiumoxid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen weist die Kern-Gate-Dielektrikumsschicht 336 eine Kern-Dielektrikums-Dicke Tc2 auf, welche geringer als die Steuer-Gate-Dielektrikums-Dicke Tc1 und/oder die Auswahl-Gate-Dielektrikums-Dicke Ts ist. In einigen Ausführungsformen ist die Kern-Dielektrikums-Dicke Tc außerdem geringer als die E/A-Dielektrikums-Dicke Ti und/oder die HV-Dielektrikums-Dicke Th .A core-gate electrode 334 and a core-gate dielectric layer are on the selectively conductive core channel 332 stacked, laterally between the core source / drains 330 , The core gate electrode 334 lies above the core-gate dielectric layer 336 and may be or include, for example, doped polysilicon, a metal, any other suitable conductive material (other suitable conductive materials), or any combination of the foregoing. In some embodiments, the core sources are drains 330 those of the n-type and the core-gate electrode 334 is or comprises a metal having a n-type work function. In some other embodiments, the core sources are drains 330 those of the p-type and the core-gate electrode 334 is or comprises a metal having a p-type work function. The core gate electrode 334 For example, hafnium oxide, silicon nitride, alumina, any other suitable high-k dielectric (other suitable high-k dielectrics) or any combination of the foregoing may be or include. In some embodiments, the core-gate dielectric layer 336 a core dielectric thickness T c2 which is less than the control gate dielectric thickness T c1 and / or the selection gate dielectric thickness T s is. In some embodiments, the core dielectric thickness is T c also lower than the I / O dielectric thickness T i and / or the HV dielectric thickness T h ,

In einigen Ausführungsformen erweitern die Source/Drain-Erweiterungen 204 die E/A-Source/Drains 310, die HV-Source/Drains 320, die Kern-Source/Drains 330 oder eine beliebige Kombination der Vorstehenden. Zur Vereinfachung der Darstellung sind nur einige der Source/Drain-Erweiterungen 204 mit 204 gekennzeichnet. In einigen Ausführungsformen kleiden die Gate-Abstandhalter 208 Seitenwände der E/A-Gate-Elektrode 314, Seitenwände der HV-Gate-Elektrode 324, Seitenwände der Kern-Gate-Elektrode 334 oder eine beliebige Kombination der Vorstehenden aus. In einigen Ausführungsformen kleiden die zusätzlichen Abstandhalter 210 Seitenwände der Gate-Abstandhalter 208 auf der E/A-Wanne 308, der E/A-Wanne 318, der Kern-Wanne 328 oder einer beliebige Kombination der Vorstehenden aus.In some embodiments, the source / drain extensions expand 204 the I / O source / drains 310 , the HV Source / Drains 320 , the core source / drains 330 or any combination of the foregoing. To simplify the illustration, only some of the source / drain extensions are 204 With 204 characterized. In some embodiments, the gate spacers clothe 208 Side walls of the I / O gate electrode 314 Side walls of the HV gate electrode 324 Side walls of the core gate electrode 334 or any combination of the above. In some embodiments, the additional spacers clothe 210 Side walls of the gate spacers 208 on the I / O tray 308 , the I / O tub 318 , the core-sink 328 or any combination of the above.

Die BEOL-Verbindungsstruktur 212 bedeckt die Speicherzelle 102 und die zusätzliche(n) Halbleitervorrichtung(en) (z.B. die E/A-Logikvorrichtung 302). Die BEOL-Verbindungsstruktur 212 umfasst die ILD-Schicht 214 und die mehreren Durchkontaktierungen 216. Zur Vereinfachung der Darstellung sind nur einige der Durchkontaktierungen 216 mit 216 gekennzeichnet. Die Durchkontaktierungen 216 erstrecken sich durch die ILD-Schicht 214 zu der Auswahl-Gate-Elektrode 120, der Steuer-Gate-Elektrode 114, den individuellen Speicher-Source/Drains 110i oder einer beliebigen Kombination der Vorstehenden. In einigen Ausführungsformen erstrecken sich die Durchkontaktierungen 216 außerdem durch die ILD-Schicht 214 zu der E/A-Gate-Elektrode 314, den E/A-Source/Drains 310, der HV-Gate-Elektrode 324, den HV-Source/Drains 320, der Kern-Gate-Elektrode 334, den Kern-Source/Drains 330 oder einer beliebigen Kombination der Vorstehenden.The BEOL connection structure 212 covers the memory cell 102 and the additional semiconductor device (s) (eg, the I / O logic device 302 ). The BEOL connection structure 212 includes the ILD layer 214 and the multiple vias 216 , To simplify the illustration, only some of the vias are 216 With 216 characterized. The vias 216 extend through the ILD layer 214 to the selection gate electrode 120 , the control gate electrode 114 , the individual storage source / drains 110i or any combination of the foregoing. In some embodiments, the vias extend 216 also through the ILD layer 214 to the I / O gate electrode 314 , the I / O source / drains 310 , the HV gate electrode 324 , the HV source / drains 320 , the core gate electrode 334 , the core source / drains 330 or any combination of the foregoing.

In einigen Ausführungsformen befinden sich die Silizid-Kontaktflecken 218 entsprechend auf der gemeinsamen Speicher-Source/Drain 110c und den individuellen Speicher-Source/Drains 110i. Ferner befinden sich in einigen Ausführungsformen die Silizid-Kontaktflecken 218 entsprechend auf den E/A-Source/Drains 310, den HV-Source/Drains 320, den Kern-Source/Drains 330 oder einer beliebigen Kombination der Vorstehenden. Zur Vereinfachung der Darstellung sind nur einige der Silizid-Kontaktflecken 218 mit 218 gekennzeichnet.In some embodiments, the silicide pads are 218 according to the common memory source / drain 110c and the individual storage source / drains 110i , Further, in some embodiments, the silicide pads are located 218 corresponding to the I / O source / drains 310 , the HV source / drains 320 , the core source / drains 330 or any combination of the foregoing. For ease of illustration, only some of the silicide pads are 218 With 218 characterized.

Bezug nehmend auf 3B, ist dort eine Querschnittsansicht 300B einiger alternativer Ausführungsformen der IC der 3A dargestellt, bei welchen die oberen Steuer- und Auswahl-Gate-Dielektrikumsschichten 118u, 122u entsprechend eine Unterseite der Steuer-Gate-Elektrode 114 und eine Unterseite der Auswahl-Gate-Elektrode 120 umhüllen. Ferner umhüllen die obere E/A-Gate-Dielektrikumsschicht 316u, die obere HV-Gate-Dielektrikumsschicht 326u und die Kern-Gate-Dielektrikumsschicht 336 entsprechend eine Unterseite der E/A-Gate-Elektrode 314, eine Unterseite der HV-Gate-Elektrode 324 und eine Unterseite der Kern-Gate-Elektrode 334. Die IC der 2A kann zum Beispiel gemäß High-k-last-Ausführungsformen des nachstehend beschriebenen Verfahrens gebildet werden.Referring to 3B , there is a cross-sectional view 300B some alternative embodiments of the IC 3A in which the upper control and select gate dielectric layers 118u . 122U corresponding to a bottom of the control gate electrode 114 and a bottom of the selection gate electrode 120 envelop. Further, the upper I / O gate dielectric layer envelops 316U , the upper HV gate dielectric layer 326u and the core-gate dielectric layer 336 corresponding to a bottom of the I / O gate electrode 314 , a bottom of the HV gate electrode 324 and a bottom of the core-gate electrode 334 , The IC of 2A For example, it may be formed according to high-k-last embodiments of the method described below.

In einigen Ausführungsformen liegt eine Rest-Dielektrikumsschicht 338 unter den Gate-Abstandhaltern und zusätzlichen Abstandhaltern 208, 210 auf der Kern-Wanne 328. In einigen Ausführungsformen weist die Rest-Dielektrikumsschicht 338 ein Paar Segmente entsprechend auf gegenüberliegenden Seitenwänden der Kern-Gate-Dielektrikumsschicht 336 auf. Die Rest-Dielektrikumsschicht 338 kann beispielsweise Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.In some embodiments, there is a residual dielectric layer 338 under the gate spacers and additional spacers 208 . 210 on the core pan 328 , In some embodiments, the residual dielectric layer comprises 338 a pair of segments corresponding to opposite sidewalls of the core-gate dielectric 336 on. The residual dielectric layer 338 For example, it may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing.

Bezug nehmend auf 4 bis 7, 8A, 8B, 9 bis 12, 13A bis 13S und 14A bis 14I, ist dort eine Serie von Querschnittsansichten 400 bis 700, 800A, 800B, 900 bis 1200, 1300A bis 1300S, 1400A bis 1400I verschiedener Ausführungsformen eines Verfahrens zum Bilden der ICs der 3A und 3B dargestellt. 13A bis 13S sind Alternativen zu 14A bis 14I, so dass das Verfahren von den Schritten der 12 übergehen kann zu: 1) den Schritten der 13A bis 13S oder 2) den Schritten der 14A bis 14I.Referring to 4 to 7 . 8A . 8B . 9 to 12 . 13A to 13S and 14A to 14I , there is a series of cross-sectional views 400 to 700 . 800A . 800B . 900 to 1200 . 1300A to 1300S . 1400A to 1400i various embodiments of a method for forming the ICs of 3A and 3B shown. 13A to 13S are alternatives to 14A to 14I so that the procedure from the steps of 12 can go to: 1) the steps of 13A to 13S or 2 ) the steps of 14A to 14I ,

In 13A bis 13S wird vor dem Entfernen der Opfer-Gates in 13O eine obere Auswahl-Gate-Dielektrikumsschicht 122u (siehe zum Beispiel 13C) gebildet. Im Gegensatz dazu wird in 14A bis 14I die obere Auswahl-Gate-Dielektrikumsschicht 122u nach dem Entfernen der Opfer-Gates in 14E gebildet. Deswegen entsprechen in Ausführungsformen, bei welchen die obere Auswahl-Gate-Dielektrikumsschicht 122u ein High-k-Dielektrikum umfasst, 13A bis 13S High-k-„first“-Ausführungsformen des Verfahrens, während 14A bis 14I High-k-„last“-Ausführungsformen des Verfahrens entsprechen. Außerdem wird bei 13A bis 13S eine zweite Gate-Dielektrikumsschicht 1202 in 12 von einer Kern-Halbleiterzone 104c entfernt, bevor in 13C die Opfer-Gates gebildet werden. Im Gegensatz dazu wird bei 14A bis 14I die zweite Gate-Dielektrikumsschicht 1202 in 12 teilweise während der Bildung der Silizid-Abstandhalter 219 in 14C und teilweise während des Entfernens der Opfer-Gates in 14E von der Kern-Halbleiterzone 104c entfernt. 13A bis 13S können beispielsweise angewendet werden, um die Struktur der 3A zu bilden, während 14A bis 14I beispielsweise angewendet werden können, um die Struktur der 3B zu bilden.In 13A to 13S gets in before removing the victim gates 13O an upper select gate dielectric layer 122U (see for example 13C) educated. In contrast, in 14A to 14I the top select gate dielectric layer 122U after removing the victim gates in 14E educated. Therefore, in embodiments where the top select gate dielectric layer is equivalent 122U includes a high-k dielectric, 13A to 13S High-k "first" embodiments of the method while 14A to 14I High-k "last" embodiments of the method. In addition, at 13A to 13S a second gate dielectric layer 1202 in 12 from a core semiconductor zone 104c removed before in 13C the victim gates are formed. In contrast, at 14A to 14I the second gate dielectric layer 1202 in 12 partly during the formation of silicide spacers 219 in 14C and partially while removing the victim gates in 14E from the core semiconductor zone 104c away. 13A to 13S for example, can be applied to the structure of 3A to form while 14A to 14I for example, can be applied to the structure of 3B to build.

Wie durch die Querschnittsansicht 400 der 4 veranschaulicht, wird ein Halbleitersubstrat 104 bereitgestellt. In einigen Ausführungsformen ist oder umfasst das Halbleitersubstrat 104 ein massives monokristallines Siliziumsubstrat, ein SOI-Substrat, irgendein anderes geeignetes Halbleitersubstrat (andere geeignete Halbleitersubstrate) oder eine beliebige Kombination der Vorstehenden.As through the cross-sectional view 400 of the 4 illustrates, a semiconductor substrate 104 provided. In some embodiments, the semiconductor substrate is or includes 104 a solid monocrystalline silicon substrate, an SOI substrate, any other suitable semiconductor substrate (other suitable semiconductor substrates), or any combination of the foregoing.

Wie ebenso durch die Querschnittsansicht 400 der 4 veranschaulicht, wird in dem Halbleitersubstrat 104 eine Isolationsstruktur 206 gebildet, um eine Kern-Halbleiterzone 104c, eine HV-Halbleiterzone 104h, eine Speicher-Halbleiterzone 104m und eine E/A-Halbleiterzone 104i abzugrenzen und elektrisch zu trennen. Die Isolationsstruktur 206 kann beispielsweise eine STI-Struktur, eine DTI-Struktur, irgendeine andere geeignete Isolationsstruktur (andere geeignete Isolationsstrukturen) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Isolationsstruktur 206 Strukturieren des Halbleitersubstrats 104, um einen Graben mit einem Layout der Isolationsstruktur 206 zu definieren, und anschließendes Füllen des Grabens mit einem Dielektrikumsmaterial. Das Strukturieren kann beispielsweise durch Photolithographie, irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) oder eine beliebige Kombination der Vorstehenden erfolgen. Wie hierin verwendet, kann es sich bei einem Begriff (z.B. Prozess) mit angehängtem „(e)“ beispielsweise um Singular oder Plural handeln.As well as the cross-sectional view 400 of the 4 is illustrated in the semiconductor substrate 104 an isolation structure 206 formed around a core semiconductor zone 104c , an HV semiconductor zone 104h , a memory semiconductor zone 104m and an I / O semiconductor zone 104i demarcate and disconnect electrically. The isolation structure 206 For example, it may be or include an STI structure, a DTI structure, any other suitable isolation structure (other suitable isolation structures), or any combination of the foregoing. In some embodiments, a method of forming the isolation structure comprises 206 Patterning of the semiconductor substrate 104 to a ditch with a layout of the isolation structure 206 and then filling the trench with a dielectric material. The patterning can be done, for example, by photolithography, any other suitable patterning method (other suitable patterning methods), or any combination of the foregoing. As used herein, a term (eg, process) appended with "(e)" may be, for example, singular or plural.

Wie ebenso durch die Querschnittsansicht 400 der 4 veranschaulicht, wird auf dem Halbleitersubstrat 104 und der Isolationsstruktur 206 eine Opfer-Dielektrikumsschicht 402 gebildet. Die Opfer-Dielektrikumsschicht 402 kann beispielsweise Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die Opfer-Dielektrikumsschicht 402 kann beispielsweise durch thermische Oxidation, chemische Abscheidung aus der Gasphase (Chemical Vapor Deposition, CVD), physikalische Abscheidung aus der Gasphase (Physical Vapor Deposition, PVD), Atomschichtabscheidung (Atomic Layer Deposition, ALD), Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden.As well as the cross-sectional view 400 of the 4 is illustrated on the semiconductor substrate 104 and the isolation structure 206 a sacrificial dielectric layer 402 educated. The sacrificial dielectric layer 402 For example, it may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The sacrificial dielectric layer 402 For example, by thermal oxidation, chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), sputtering, any other suitable deposition process (other suitable techniques) Deposition method) or any combination of the above.

Wie durch die Querschnittsansicht 500 der 5 veranschaulicht, wird in den HV- und Speicher-Halbleiterzonen 104h, 104m eine tiefe Wanne 202 gebildet, welche über einer massiven Halbleiterzone 104b des Halbleitersubstrats 104 liegt. In einigen Ausführungsformen weisen die tiefe Wanne 202 und die massive Halbleiterzone 104b entgegengesetzte Dotierungstypen auf. In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der tiefen Wanne 202 selektives Dotieren des Halbleitersubstrats 104. Das selektive Dotieren kann beispielsweise durch Ionenimplantation mit einer angeordneten Maske, irgendein anderes geeignetes selektives Dotierungsverfahren (andere geeignete selektive Dotierungsverfahren) oder eine beliebige Kombination der Vorstehenden durchgeführt werden. Die Maske wird mit einem Layout der tiefen Wanne 202 strukturiert und kann beispielsweise eine Photoresistmaske, irgendeine andere geeignete Maske (andere geeignete Masken) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Es sei angemerkt, dass die Ionenimplantation zum Beispiel durchgeführt werden kann, während die Opfer-Dielektrikumsschicht 402 angeordnet ist, indem eine Implantationsenergie ausgewählt wird, die hoch genug ist, damit Ionen der Ionenimplantation durch die Opfer-Dielektrikumsschicht 402 gelangen.As through the cross-sectional view 500 of the 5 is illustrated in the HV and memory semiconductor zones 104h . 104m a deep tub 202 formed over a massive semiconductor zone 104b of the semiconductor substrate 104 lies. In some embodiments, the deep well 202 and the massive semiconductor zone 104b opposite doping types on. In some embodiments, a method of forming the deep well comprises 202 selectively doping the semiconductor substrate 104 , The selective doping may be performed, for example, by ion implantation with an arrayed mask, any other suitable selective doping method (other suitable selective doping methods), or any combination of the foregoing. The mask comes with a layout of the deep tub 202 structured and may, for example, a photoresist mask, any other suitable mask (others suitable masks) or any combination of the above. It should be noted that the ion implantation may be performed, for example, while the sacrificial dielectric layer 402 is selected by selecting an implantation energy high enough to allow ions of ion implantation through the sacrificial dielectric layer 402 reach.

Wie durch die Querschnittsansicht 600 der 6 veranschaulicht, werden mehrere Wannen in dem Halbleitersubstrat 104 gebildet. Die Wannen umfassen eine E/A-Wanne 308, eine E/A-Wanne 308, eine Auswahl-Gate-Wanne 108 und eine Kern-Wanne 328, welche entsprechend in der E/A-Halbleiterzone 104i, der HV-Halbleiterzone 104h, der Speicher-Halbleiterzone 104m und der Kern-Halbleiterzone 104c ausgebildet sind. Die Auswahl-Gate-Wanne 108 und die HV-Wanne 318 liegen über der tiefen Wanne 202. Ferner befindet sich die Auswahl-Gate-Wanne 108 auf einer ersten Seite der Speicher-Halbleiterzone 104m und weist lateral einen Abstand von einer zweiten Seite der Speicher-Halbleiterzone 104m auf, die der ersten Seite gegenüberliegt. In einigen Ausführungsformen weist die E/A-Wanne 308 einen ersten Dotierungstyp auf, während die HV-Wanne 318, die Auswahl-Gate-Wanne 108 und die Kern-Wanne 328 einen zweiten Dotierungstyp aufweisen, der der ersten Dotierung entgegengesetzt ist. Bei dem ersten und zweiten Dotierungstyp kann es sich zum Beispiel um den n-Typ bzw. den p-Typ handeln, oder umgekehrt. In einigen Ausführungsformen ist der erste Dotierungstyp derselbe wie der der tiefen Wanne 202.As through the cross-sectional view 600 of the 6 illustrates, multiple wells in the semiconductor substrate 104 educated. The tubs include an I / O tub 308 , an I / O tub 308 , a pick-gate pan 108 and a core sink 328 which are correspondingly in the I / O semiconductor zone 104i , the HV semiconductor zone 104h , the memory semiconductor zone 104m and the core semiconductor zone 104c are formed. The pick gate pan 108 and the HV tub 318 lie over the deep tub 202 , Further, there is the selection gate well 108 on a first side of the memory semiconductor zone 104m and laterally spaced from a second side of the memory semiconductor zone 104m on, which is opposite the first page. In some embodiments, the I / O tray 308 a first doping type while the HV tub 318 , the selection gate pan 108 and the core-sink 328 have a second doping type, which is opposite to the first doping. The first and second doping types may be, for example, the n-type and the p-type, respectively, or vice versa. In some embodiments, the first doping type is the same as that of the deep well 202 ,

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Wannen eine Serie von selektiven Dotierungsverfahren. Beispielsweise können durch ein erstes selektives Dotierungsverfahren eine oder mehrere Wannen des n-Typs (z.B. die E/A-Wanne 308) gebildet werden und durch ein zweites selektives Dotierungsverfahren können anschließend eine oder mehrere Wannen des p-Typs (z.B. die Auswahl-Gate-Wanne 108, die HV-Wanne 318 und die Kern-Wanne 328) gebildet werden. Jedes der selektiven Dotierungsverfahren kann beispielsweise durch Ionenimplantation mit einer angeordneten Maske, irgendein anderes geeignetes selektives Dotierungsverfahren (andere geeignete selektive Dotierungsverfahren) oder eine beliebige Kombination der Vorstehenden durchgeführt werden. Die Maske wird mit einem Layout der zu bildenden Wanne(n) strukturiert und kann beispielsweise eine Photoresistmaske, irgendeine andere geeignete Maske (andere geeignete Masken) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Es sei angemerkt, dass die Ionenimplantation zum Beispiel durchgeführt werden kann, während die Opfer-Dielektrikumsschicht 402 angeordnet ist, indem eine Implantationsenergie ausgewählt wird, die hoch genug ist, damit Ionen der Ionenimplantation durch die Opfer-Dielektrikumsschicht 402 gelangen.In some embodiments, a method of forming the wells includes a series of selective doping methods. For example, by a first selective doping process, one or more n-type wells (eg, the I / O well 308 ) and, by a second selective doping process, one or more p-type wells (eg, the select gate well 108 , the HV tub 318 and the core-sink 328 ) are formed. Any of the selective doping methods may be performed, for example, by ion implantation with an arrayed mask, any other suitable selective doping method (other suitable selective doping methods), or any combination of the foregoing. The mask is patterned with a layout of the well (s) to be formed, and may be, for example, a photoresist mask, any other suitable mask (s), or any combination of the foregoing. It should be noted that the ion implantation may be performed, for example, while the sacrificial dielectric layer 402 is selected by selecting an implantation energy high enough to allow ions of ion implantation through the sacrificial dielectric layer 402 reach.

Wie durch die Querschnittsansicht 700 der 7 veranschaulicht, wird in der Speicher-Halbleiterzone 104m neben der Auswahl-Gate-Wanne 108 eine Steuer-Gate-Wanne 106 gebildet. Ferner liegt die Steuer-Gate-Wanne 106 über der tiefen Wanne 202. Die Steuer-Gate-Wanne 106 weist denselben Dotierungstyp wie die Auswahl-Gate-Wanne 108 auf und weist in einigen Ausführungsformen ein anderes Dotierungsprofil auf als die Auswahl-Gate-Wanne 108. Beispielsweise können die Auswahl- und Steuer-Gate-Wanne 108, 106 beide solche des p-Typs sein und die Steuer-Gate-Wanne 106 kann eine andere Dotierungskonzentration aufweisen als die Auswahl-Gate-Wanne 108. Die Steuer-Gate-Wanne 106 kann beispielsweise durch Ionenimplantation mit einer angeordneten Maske, irgendein anderes geeignetes selektives Dotierungsverfahren (andere geeignete selektive Dotierungsverfahren) oder eine beliebige Kombination der Vorstehenden durchgeführt werden. Die Maske wird mit einem Layout der Steuer-Gate-Wanne 106 strukturiert und kann beispielsweise eine Photoresistmaske, irgendeine andere geeignete Maske (andere geeignete Masken) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Es sei angemerkt, dass die Ionenimplantation zum Beispiel durchgeführt werden kann, während die Opfer-Dielektrikumsschicht 402 angeordnet ist, indem eine Implantationsenergie ausgewählt wird, die hoch genug ist, damit Ionen der Ionenimplantation durch die Opfer-Dielektrikumsschicht 402 gelangen.As through the cross-sectional view 700 of the 7 is illustrated in the memory semiconductor zone 104m next to the selection gate pan 108 a control gate pan 106 educated. Further, the control gate well is located 106 over the deep tub 202 , The control gate pan 106 has the same doping type as the select gate well 108 and, in some embodiments, has a different doping profile than the selection gate well 108 , For example, the selection and control gate pan 108 . 106 both of the p-type and the control gate pan 106 may have a different doping concentration than the selection gate well 108 , The control gate pan 106 For example, it may be performed by ion implantation with an arrayed mask, any other suitable selective doping method (other suitable selective doping methods), or any combination of the foregoing. The mask comes with a layout of the control gate pan 106 and may be, for example, a photoresist mask, any other suitable mask (s), or any combination of the foregoing. It should be noted that the ion implantation may be performed, for example, while the sacrificial dielectric layer 402 is selected by selecting an implantation energy high enough to allow ions of ion implantation through the sacrificial dielectric layer 402 reach.

Wie ebenfalls durch die Querschnittsansicht 700 der 7 veranschaulicht, wird die Opfer-Dielektrikumsschicht 402 so strukturiert, dass die Opfer-Dielektrikumsschicht 402 von der Steuer-Gate-Wanne 106 entfernt wird, während die Opfer-Dielektrikumsschicht 402 auf der Auswahl-Gate-Wanne 108, der E/A-Wanne 308, der HV-Wanne 318 und der Kern-Wanne 328 belassen wird. Das Strukturieren kann beispielsweise durch Photolithographie, irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) oder eine beliebige Kombination der Vorstehenden erfolgen. In einigen Ausführungsformen umfasst das Strukturieren ein Ätzen in die Opfer-Dielektrikumsschicht 402 durch eine Maske (z.B. eine Photoresistmaske), die bei dem(den) selektiven Dotierungsverfahren verwendet wird, das (die) durchgeführt wird(werden), um die Steuer-Gate-Wanne 106 zu bilden. Ferner kann das Strukturieren beispielsweise vor oder nach dem Bilden der Steuer-Gate-Wanne 106 durchgeführt werden.As also by the cross-sectional view 700 of the 7 illustrates the sacrificial dielectric layer 402 structured so that the sacrificial dielectric layer 402 from the control gate pan 106 is removed while the sacrificial dielectric layer 402 on the selection gate pan 108 , the I / O tub 308 , the HV tub 318 and the core pan 328 is left. The patterning can be done, for example, by photolithography, any other suitable patterning method (other suitable patterning methods), or any combination of the foregoing. In some embodiments, the patterning includes etching into the sacrificial dielectric layer 402 by a mask (eg, a photoresist mask) used in the selective doping process (s) that is performed around the control gate well 106 to build. Further, the patterning may be, for example, before or after forming the control gate well 106 be performed.

Wie durch die Querschnittsansicht 800A der 8A veranschaulicht, wird eine Ladungseinfangschicht 116 gebildet, welche die Struktur der 7 bedeckt. Die Ladungseinfangschicht 116 kann zum Beispiel ein ONO-Film oder irgendeine andere geeignete Ladungseinfangschicht sein. In einigen Ausführungsformen, wie durch die Querschnittsansicht 800B der 8B veranschaulicht, umfasst die Ladungseinfangschicht 116 eine untere Oxidschicht 116l, eine mittlere Nitridschicht 116m und eine obere Oxidschicht 116u. Die untere Oxidschicht 116l kann beispielsweise eine Dicke Tl von etwa 1 bis 3 Nanometer, etwa 1,5 bis 2,5 Nanometer oder etwa 1 bis 5 Nanometer aufweisen. Es sind jedoch auch andere Werte für die Dicke Tl anwendbar. Die mittlere Oxidschicht 116m kann beispielsweise eine Dicke Tm von etwa 2 bis 14 Nanometer, etwa 6 bis 10 Nanometer oder etwa 7,5 bis 8,5 Nanometer aufweisen. Es sind jedoch auch andere Werte für die Dicke Tm anwendbar. Die obere Oxidschicht 116u kann beispielsweise eine Dicke Tu von etwa 2 bis 8 Nanometer, etwa 3 bis 5 Nanometer oder etwa 3,5 bis 5,5 Nanometer aufweisen. Es sind jedoch auch andere Werte für die Dicke Tu anwendbar. Die Querschnittsansicht 800B der 8B kann beispielsweise eine vergrößerte Ansicht der Ladungseinfangschicht 116 sein, in einer vergrößerten Ansicht des Kreises mit der Bezeichnung „8B“ in 8A. Die Ladungseinfangschicht 116 kann beispielsweise durch thermische Oxidation, CVD, PVD, ALD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden.As through the cross-sectional view 800A of the 8A illustrates a charge trapping layer 116 formed the structure of the 7 covered. The charge trapping layer 116 can to Example, an ONO film or any other suitable charge trapping layer. In some embodiments, as by the cross-sectional view 800B of the 8B includes the charge trapping layer 116 a lower oxide layer 116l , a middle nitride layer 116m and an upper oxide layer 116u , The lower oxide layer 116l For example, a thickness T l from about 1 to 3 nanometers, about 1.5 to 2.5 nanometers, or about 1 to 5 nanometers. However, there are other values for the thickness T l applicable. The middle oxide layer 116m For example, a thickness T m from about 2 to 14 nanometers, about 6 to 10 nanometers, or about 7.5 to 8.5 nanometers. However, there are other values for the thickness T m applicable. The upper oxide layer 116u For example, a thickness T u from about 2 to 8 nanometers, about 3 to 5 nanometers, or about 3.5 to 5.5 nanometers. However, there are other values for the thickness T u applicable. The cross-sectional view 800B of the 8B For example, see an enlarged view of the charge trapping layer 116 be in an enlarged view of the circle labeled " 8B " in 8A , The charge trapping layer 116 For example, it may be formed by thermal oxidation, CVD, PVD, ALD, sputtering, any other suitable deposition method (other suitable deposition methods), or any combination of the foregoing.

Wie durch die Querschnittsansicht 900 der 9 veranschaulicht, werden die Opfer-Dielektrikumsschicht 402 und die Ladungseinfangschicht 116 so strukturiert, dass die Opfer-Dielektrikumsschicht 402 und die Ladungseinfangschicht 116 von der HV-Wanne 318 entfernt werden. Bei der Strukturierung werden die Opfer-Dielektrikumsschicht 402 und die Ladungseinfangschicht 116 auf der E/A-Wanne 308, der Auswahl-Gate-Wanne 108 und der Kern-Wanne 328 belassen und außerdem wird die Ladungseinfangschicht 116 auf der Steuer-Gate-Wanne 106 belassen. Das Strukturieren kann beispielsweise durch Photolithographie, irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) oder eine beliebige Kombination der Vorstehenden erfolgen.As through the cross-sectional view 900 of the 9 illustrates the sacrificial dielectric layer 402 and the charge trapping layer 116 structured so that the sacrificial dielectric layer 402 and the charge trapping layer 116 from the HV tub 318 be removed. In structuring, the sacrificial dielectric layer becomes 402 and the charge trapping layer 116 on the I / O tray 308 , the selection gate pan 108 and the core pan 328 and, moreover, the charge trapping layer becomes 116 on the control gate pan 106 leave. The patterning can be done, for example, by photolithography, any other suitable patterning method (other suitable patterning methods), or any combination of the foregoing.

Wie durch die Querschnittsansicht 1000 der 10 veranschaulicht, wird eine erste Gate-Dielektrikumsschicht 1002 gebildet, welche die Struktur der 9 bedeckt. Die erste Gate-Dielektrikumsschicht 1002 kann beispielsweise Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die erste Gate-Dielektrikumsschicht 1002 kann beispielsweise durch thermische Oxidation, CVD, PVD, ALD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. In einigen Ausführungsformen weist die erste Gate-Dielektrikumsschicht 1002 eine erste Dicke T1 auf der HV-Wanne 318 und eine zweite Dicke T2 auf der E/A-Wanne 308, der Auswahl-Gate-Wanne 108, der Steuer-Gate-Wanne 106 und der Kern-Wanne 328 auf, wobei die zweite Dicke T2 geringer ist als die erste Dicke T1 . Dies kann geschehen, wenn die erste Gate-Dielektrikumsschicht 1002 durch thermische Oxidation gebildet wird und die Ladungseinfangschicht 116 ein ONO-Film ist, da sich Oxid der thermischen Oxidation auf dem Halbleitermaterial des Halbleitersubstrats 104 schneller bildet als auf Oxid der Ladungseinfangschicht 116.As through the cross-sectional view 1000 of the 10 illustrates a first gate dielectric layer 1002 formed the structure of the 9 covered. The first gate dielectric layer 1002 For example, it may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The first gate dielectric layer 1002 For example, it may be formed by thermal oxidation, CVD, PVD, ALD, sputtering, any other suitable deposition method (other suitable deposition methods), or any combination of the foregoing. In some embodiments, the first gate dielectric layer 1002 a first thickness T 1 on the HV tub 318 and a second thickness T 2 on the I / O tray 308 , the selection gate pan 108 , the control gate sink 106 and the core pan 328 on, with the second thickness T 2 less than the first thickness T 1 , This can happen when the first gate dielectric layer 1002 formed by thermal oxidation and the charge trapping layer 116 An ONO film is because oxide of thermal oxidation on the semiconductor material of the semiconductor substrate 104 forms faster than on oxide of the charge trapping layer 116 ,

Wie durch die Querschnittsansicht 1100 der 11 veranschaulicht, werden die erste Gate-Dielektrikumsschicht 1002 und die Ladungseinfangschicht 116 so strukturiert, dass die erste Gate-Dielektrikumsschicht 1002 und die Ladungseinfangschicht 116 von der E/A-Wanne 308, der Auswahl-Gate-Wanne 108 und der Kern-Wanne 328 entfernt werden. Bei der Strukturierung wird die erste Gate-Dielektrikumsschicht 1002 auf der HV-Wanne 318 und der Steuer-Gate-Wanne 106 belassen. Das Strukturieren kann beispielsweise durch Photolithographie, irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) oder eine beliebige Kombination der Vorstehenden erfolgen.As through the cross-sectional view 1100 of the 11 illustrates the first gate dielectric layer 1002 and the charge trapping layer 116 structured so that the first gate dielectric layer 1002 and the charge trapping layer 116 from the I / O tub 308 , the selection gate pan 108 and the core pan 328 be removed. In structuring, the first gate dielectric layer becomes 1002 on the HV tub 318 and the control gate pan 106 leave. The patterning can be done, for example, by photolithography, any other suitable patterning method (other suitable patterning methods), or any combination of the foregoing.

Wie durch die Querschnittsansicht 1200 der 12 veranschaulicht, wird eine zweite Gate-Dielektrikumsschicht 1202 gebildet, welche die Struktur der 11 bedeckt. Die zweite Gate-Dielektrikumsschicht 1202 kann beispielsweise Siliziumoxid, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die zweite Gate-Dielektrikumsschicht 1202 kann beispielsweise durch thermische Oxidation, CVD, PVD, ALD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. In einigen Ausführungsformen weist die zweite Gate-Dielektrikumsschicht 1202 eine erste Dicke T1 auf der E/A-Wanne 308, der Auswahl-Gate-Wanne 108 und der Kern-Wanne 328 und eine zweite Dicke T2 auf der HV-Wanne 318 und der Steuer-Gate-Wanne 106 auf, wobei die zweite Dicke T2 geringer ist als die erste Dicke T1 . Dies kann geschehen, wenn die zweite Gate-Dielektrikumsschicht 1202 durch thermische Oxidation gebildet wird und die erste Gate-Dielektrikumsschicht 1002 ein Oxid ist, da sich Oxid der thermischen Oxidation auf dem Halbleitermaterial des Halbleitersubstrats 104 schneller bildet als auf Oxid der ersten Gate-Dielektrikumsschicht 1002.As through the cross-sectional view 1200 of the 12 illustrates a second gate dielectric layer 1202 formed the structure of the 11 covered. The second gate dielectric layer 1202 For example, it may be or include silicon oxide, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. The second gate dielectric layer 1202 For example, it may be formed by thermal oxidation, CVD, PVD, ALD, sputtering, any other suitable deposition method (other suitable deposition methods), or any combination of the foregoing. In some embodiments, the second gate dielectric layer 1202 a first thickness T 1 on the I / O tray 308 , the selection gate pan 108 and the core pan 328 and a second thickness T 2 on the HV tub 318 and the control gate pan 106 on, with the second thickness T 2 less than the first thickness T 1 , This can be done when the second gate dielectric layer 1202 formed by thermal oxidation and the first gate dielectric layer 1002 is an oxide, since oxide of the thermal oxidation on the semiconductor material of the semiconductor substrate 104 forms faster than on oxide of the first gate dielectric layer 1002 ,

Wie durch die Querschnittsansicht 1300A der 13A veranschaulicht, wird die zweite Gate-Dielektrikumsschicht 1202 so strukturiert, dass die zweite Gate-Dielektrikumsschicht 1202 von der Kern-Wanne 328 entfernt wird. Ferner wird bei der Strukturierung die zweite Gate-Dielektrikumsschicht 1202 auf der HV-Wanne 318, der Steuer-Gate-Wanne 106, der Auswahl-Gate-Wanne 108 und der E/A-Wanne 308 belassen. Das Strukturieren kann beispielsweise durch Photolithographie, irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) oder eine beliebige Kombination der Vorstehenden erfolgen.As through the cross-sectional view 1300A of the 13A illustrates, the second gate dielectric 1202 structured such that the second gate dielectric layer 1202 from the core pan 328 Will get removed. Furthermore, in structuring, the second gate dielectric layer becomes 1202 on the HV tub 318 , the control gate sink 106 , the selection gate pan 108 and the I / O pan 308 leave. The patterning can be done, for example, by photolithography, any other suitable patterning method (other suitable patterning methods), or any combination of the foregoing.

Wie durch die Querschnittsansicht 1300B der 13B veranschaulicht, werden eine dritte Gate-Dielektrikumsschicht 1302, eine Opfer-Gate-Schicht 1304 und eine Gate-Hartmaskenschicht 1306 gebildet, gestapelt auf der Struktur der 13A. Die Opfer-Gate-Schicht 1304 liegt über der dritten Gate-Dielektrikumsschicht 1302 und die Gate-Hartmaskenschicht 1306 liegt über der Opfer-Gate-Schicht 1304. Die dritte Gate-Dielektrikumsschicht 1302 kann beispielsweise Hafniumoxid, Siliziumnitrid, Aluminiumoxid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen weist die dritte Gate-Dielektrikumsschicht 1302 eine Dicke T von etwa 1 bis 3 Nanometer, etwa 1,5 bis 2,5 Nanometer oder etwa 1 bis 5 Nanometer auf. Es sind jedoch auch andere Werte für die Dicke T anwendbar. Die Opfer-Gate-Schicht 1304 kann beispielsweise n-Typ- oder p-Typ-dotiertes Polysilizium, undotiertes Polysilizium irgendein anderes geeignetes Material (andere geeignete Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die Gate-Hartmaskenschicht 1306 kann beispielsweise Siliziumnitrid, Siliziumoxid, irgendein anderes geeignetes Material (andere geeignete Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der dritten Gate-Dielektrikumsschicht 1302, der Opfer-Gate-Schicht 1304 und der Gate-Hartmaskenschicht 1306 thermische Oxidation, CVD, PVD, ALD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden.As through the cross-sectional view 1300B of the 13B illustrates a third gate dielectric layer 1302 , a sacrificial gate layer 1304 and a gate hard mask layer 1306 formed, stacked on the structure of 13A , The sacrificial gate layer 1304 is above the third gate dielectric layer 1302 and the gate hardmask layer 1306 lies above the sacrificial gate layer 1304 , The third gate dielectric layer 1302 For example, hafnium oxide, silicon nitride, alumina, any other suitable high-k dielectric (other suitable high-k dielectrics) or any combination of the foregoing may be or include. In some embodiments, the third gate dielectric layer 1302 a thickness T of about 1 to 3 nanometers, about 1.5 to 2.5 nanometers, or about 1 to 5 nanometers. However, other values for the thickness T are applicable. The sacrificial gate layer 1304 For example, n-type or p-type doped polysilicon, undoped polysilicon may be or include any other suitable material (other suitable materials) or any combination of the foregoing. The gate hard mask layer 1306 For example, it may be or include silicon nitride, silicon oxide, any other suitable material (other suitable materials), or any combination of the foregoing. In some embodiments, a method of forming the third gate dielectric layer comprises 1302 , the victim gate layer 1304 and the gate hardmask layer 1306 thermal oxidation, CVD, PVD, ALD, sputtering, any other suitable deposition method (other suitable deposition methods) or any combination of the above.

Wie durch die Querschnittsansicht 1300C der 13C veranschaulicht, werden die dritte Gate-Dielektrikumsschicht 1302 (siehe 13B), die Opfer-Gate-Schicht 1304 (siehe 13B) und die Gate-Hartmaskenschicht 1306 (siehe 13B) strukturiert. Durch das Strukturieren werden eine Kern-Gate-Dielektrikumsschicht 336, ein Kern-Opfer-Gate 1308 und eine Kern-Gate-Hartmaske 1310 gebildet, gestapelt auf der Kern-Wanne 328. Durch das Strukturieren werden eine obere HV-Gate-Dielektrikumsschicht 326u, ein HV-Opfer-Gate 1312 und eine HV-Gate-Hartmaske 1314 gebildet, gestapelt auf der HV-Wanne 318. Durch das Strukturieren werden eine obere Steuer-Gate-Dielektrikumsschicht 118u, ein Steuer-Opfer-Gate 1316 und eine Steuer-Gate-Hartmaske 1318 gebildet, gestapelt auf der Steuer-Gate-Wanne 106. Durch das Strukturieren werden eine obere Auswahl-Gate-Dielektrikumsschicht 122u, ein Auswahl-Opfer-Gate 1320 und eine Opfer-Gate-Hartmaske 1322 gebildet, gestapelt auf der Auswahl-Gate-Wanne 108. Durch das Strukturieren werden eine obere E/A-Gate-Dielektrikumsschicht 316u, ein E/A-Opfer-Gate 1324 und eine E/A-Gate-Hartmaske 1326 gebildet, gestapelt auf der E/A-Wanne 308. Die Kern-Gate-Dielektrikumsschicht 336, die obere HV-Gate-Dielektrikumsschicht 326u, die obere Steuer-Gate-Dielektrikumsschicht 118u, die obere Auswahl-Gate-Dielektrikumsschicht 122u und die obere E/A-Gate-Dielektrikumsschicht 316u, werden aus der dritten Gate-Dielektrikumsschicht 1302 gebildet. Das Kern-Opfer-Gate 1308, das HV-Opfer-Gate 1312, das Steuer-Opfer-Gate 1316, das Auswahl-Ofer-Gate 1320 und das E/A-Opfer-Gate 1324 (zusammenfassend die Opfer-Gates) werden aus der Opfer-Gate-Schicht 1304 gebildet. Die Kern-Gate-Hartmaske 1310, die HV-Gate-Hartmaske 1314, die Steuer-Gate-Hartmaske 1318, die Opfer-Gate-Hartmaske 1322 und die E/A-Gate-Hartmaske 1326 (zusammenfassend die Gate-Hartmasken) werden aus der Gate-Hartmaskenschicht 1306 gebildet.As through the cross-sectional view 1300C of the 13C illustrates the third gate dielectric layer 1302 (please refer 13B) , the sacrificial gate layer 1304 (please refer 13B) and the gate hardmask layer 1306 (please refer 13B) structured. The patterning becomes a core-gate dielectric layer 336 , a core sacrificial gate 1308 and a core-gate hardmask 1310 formed, stacked on the core pan 328 , The patterning becomes an upper HV gate dielectric layer 326u , a HV Victim Gate 1312 and an HV gate hardmask 1314 formed, stacked on the HV sink 318 , The patterning becomes an upper control gate dielectric layer 118u , a tax victim gate 1316 and a control gate hardmask 1318 formed, stacked on the control gate sink 106 , The patterning becomes an upper select gate dielectric layer 122U , a pick-and-shoot-gate 1320 and a sacrificial gate hard mask 1322 formed, stacked on the pick gate pan 108 , The patterning becomes an upper gate I / O dielectric layer 316U , an I / O victim gate 1324 and an I / O gate hardmask 1326 formed, stacked on the I / O pan 308 , The core-gate dielectric layer 336 , the upper HV gate dielectric layer 326u , the upper control gate dielectric layer 118u , the top select gate dielectric layer 122U and the top I / O gate dielectric layer 316U , are made from the third gate dielectric layer 1302 educated. The Core Victim Gate 1308 , the HV Victim Gate 1312 , the tax victim gate 1316 , the Selection Ofer Gate 1320 and the I / O Victim Gate 1324 (collectively, the sacrificial gates) are taken from the sacrificial gate layer 1304 educated. The core-gate hardmask 1310 , the HV gate hard mask 1314 , the control gate hardmask 1318 , the sacrificial gate hard mask 1322 and the I / O Gate Hardmask 1326 (collectively, the gate hard masks) become out of the gate hardmask layer 1306 educated.

In einigen Ausführungsformen umfasst ein Verfahren zum Strukturieren der dritten Gate-Dielektrikumsschicht 1302, der Opfer-Gate-Schicht 1304 und der Gate-Hartmaskenschicht 1306 Strukturieren der Gate-Hartmaskenschicht 1306 zum Bilden der Gate-Hartmasken. Das Strukturieren kann beispielsweise durch Photolithographie, irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) oder eine beliebige Kombination der Vorstehenden erfolgen. Anschließend umfasst das Verfahren Durchführen eines Ätzverfahrens in die Opfer-Gate-Schicht 1304 und die dritte Gate-Dielektrikumsschicht 1302 mit den angeordneten Gate-Hartmasken, um eine Struktur der Gate-Hartmasken auf die Opfer-Gate-Schicht 1304 und die dritte Gate-Dielektrikumsschicht 1302 zu übertragen. In einigen Ausführungsformen dienen das Halbleitersubstrat 104 und/oder die zweite Gate-Dielektrikumsschicht 1202 als ein Ätzstopp für das Ätzverfahren.In some embodiments, a method of patterning the third gate dielectric layer includes 1302 , the victim gate layer 1304 and the gate hardmask layer 1306 Structuring the gate hardmask layer 1306 for forming the gate hard masks. The patterning can be done, for example, by photolithography, any other suitable patterning method (other suitable patterning methods), or any combination of the foregoing. Subsequently, the method comprises performing an etching process in the sacrificial gate layer 1304 and the third gate dielectric layer 1302 with the gate hard masks arranged to form a structure of the gate hard masks on the sacrificial gate layer 1304 and the third gate dielectric layer 1302 transferred to. In some embodiments, the semiconductor substrate is used 104 and / or the second gate dielectric layer 1202 as an etch stop for the etching process.

Wie durch die Querschnittsansicht 1300D der 13D veranschaulicht, werden mehrere Gate-Abstandhalter 208 an Seitenwänden des Kern-, des HV-, des Steuer-, des Auswahl- und des E/A-Opfer-Gates 1308, 1312, 1316, 1320, 1324 gebildet. Die Gate-Abstandhalter 208 können beispielsweise Siliziumnitrid, Siliziumoxynitrid, irgendein anderes geeignetes Material (andere geeignete Materialien) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Gate-Abstandhalter 208 Abscheiden einer Gate-Abstandhalterschicht, welche die Struktur der 13C bedeckt und auskleidet, und anschließend Durchführen eines Zurückätzens in die Gate-Abstandhalterschicht. Durch das Zurückätzen werden horizontale Segmente der Gate-Abstandhalterschicht entfernt, ohne vertikale Segmente der Gate-Abstandhalterschicht zu entfernen. Nach Beendigung des Zurückätzens entsprechen die zurückbleibenden vertikalen Segmente des Gate-Abstandhalters den Gate-Abstandhaltern 208.As through the cross-sectional view 1300D of the 13D Illustrated are multiple gate spacers 208 on sidewalls of the Core, HV, Control, Selection and I / O Victims Gate 1308 . 1312 . 1316 . 1320 . 1324 educated. The gate spacers 208 For example, silicon nitride, silicon oxynitride, any other suitable material (s), or any combination of the foregoing may be or include. In some embodiments includes a method of forming the gate spacers 208 Depositing a gate spacer layer, which has the structure of 13C and then performing etching back into the gate spacer layer. The etch back removes horizontal segments of the gate spacer layer without removing vertical segments of the gate spacer layer. Upon completion of the etch back, the remaining vertical segments of the gate spacer correspond to the gate spacers 208 ,

Wie durch die Querschnittsansicht 1300E der 13E veranschaulicht, werden in dem Halbleitersubstrat 104 erste Source/Drain-Erweiterungen 204a gebildet. Zur Vereinfachung der Darstellung sind nur einige der ersten Source/Drain-Erweiterungen 204a mit 204a gekennzeichnet. Die ersten Source/Drain-Erweiterungen 204a umfassen ein erstes Paar Source/Drain-Erweiterungen über der Kern-Wanne 328, ein zweites Paar Source/Drain-Erweiterungen über der HV-Wanne 318 und ein drittes Paar Source/Drain-Erweiterungen über der E/A-Wanne 308. Ferner weisen die ersten Source/Drain-Erweiterungen 204a entsprechenden Wannen entgegengesetzte Dotierungstypen auf. Beispielsweise weisen die Source/Drain-Erweiterungen des ersten Paars einen der Kern-Wanne 328 entgegengesetzten Dotierungstyp auf.As through the cross-sectional view 1300E of the 13E are illustrated in the semiconductor substrate 104 first source / drain extensions 204a educated. For ease of illustration, only a few of the first source / drain extensions 204a With 204a characterized. The first source / drain extensions 204a include a first pair of source / drain extensions over the core well 328 , a second pair of source / drain extensions over the HV well 318 and a third pair of source / drain extensions over the I / O tray 308 , Furthermore, the first source / drain extensions 204a corresponding troughs opposite doping types. For example, the source / drain extensions of the first pair have one of the core wells 328 opposite doping on.

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der ersten Source/Drain-Erweiterungen 204a eine Serie selektiver Dotierungsverfahren. Beispielsweise können durch ein erstes selektives Dotierungsverfahren Source/Drain-Erweiterungen des n-Typs gebildet werden und durch ein zweites selektives Dotierungsverfahren können anschließend Source/Drain-Erweiterungen des p-Typs gebildet werden. Die Source/Drain-Erweiterungen in der Kern- und HV-Wanne 328, 318 können beispielsweise solche des n-Typs sein, während die Source/Drain-Erweiterungen in der E/A-Wanne beispielsweise solche des p-Typs sein können, oder umgekehrt. Jedes der selektiven Dotierungsverfahren kann beispielsweise durch Ionenimplantation mit einer angeordneten Maske, irgendein anderes geeignetes selektives Dotierungsverfahren (andere geeignete selektive Dotierungsverfahren) oder eine beliebige Kombination der Vorstehenden durchgeführt werden. Die Maske wird mit einem Layout der zu bildenden Source/Drain-Erweiterungen strukturiert und kann beispielsweise eine Photoresistmaske, irgendeine andere geeignete Maske (andere geeignete Masken) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Es sei angemerkt, dass die Ionenimplantation beispielsweise durchgeführt werden kann, während die erste und die zweite Gate-Dielektrikumsschicht 1002, 1202 angeordnet sind, indem eine Implantationsenergie ausgewählt wird, die hoch genug ist, damit Ionen der Ionenimplantation durch die erste und zweite Gate-Dielektrikumsschicht 1002, 1202 gelangen.In some embodiments, a method of forming the first source / drain extensions comprises 204a a series of selective doping methods. For example, n-type source / drain extensions can be formed by a first selective doping process, and then p-type source / drain extensions can be formed by a second selective doping process. The source / drain extensions in the core and HV tub 328 . 318 For example, they may be of the n-type, while the source / drain extensions in the I / O well may be, for example, p-type, or vice versa. Any of the selective doping methods may be performed, for example, by ion implantation with an arrayed mask, any other suitable selective doping method (other suitable selective doping methods), or any combination of the foregoing. The mask is patterned with a layout of the source / drain extensions to be formed, and may be, for example, a photoresist mask, any other suitable mask (s), or any combination of the foregoing. It should be noted that the ion implantation may be performed, for example, while the first and second gate dielectric layers 1002 . 1202 by selecting an implantation energy high enough to allow ions of ion implantation through the first and second gate dielectric layers 1002 . 1202 reach.

Wie durch die Querschnittsansicht 1300F der 13F veranschaulicht, werden die erste Gate-Dielektrikumsschicht 1002, die zweite Gate-Dielektrikumsschicht 1202 und die Ladungseinfangschicht 116 strukturiert. Bei der Strukturierung wird die Ladungseinfangschicht 116 direkt unter der oberen Steuer-Gate-Dielektrikumsschicht 118u und den Gate-Abstandhaltern 208 positioniert. Durch die Strukturierung werden eine mittlere Steuer-Gate-Dielektrikumsschicht 118m und eine untere Steuer-Gate-Dielektrikumsschicht 118l gebildet, gestapelt zwischen der oberen Steuer-Gate-Dielektrikumsschicht 118l und der Ladungseinfangschicht 116. Durch die Strukturierung wird eine untere Auswahl-Gate-Dielektrikumsschicht 122l gebildet, welche unter der oberen Auswahl-Gate-Dielektrikumsschicht 122u liegt. Die mittlere Steuer-Gate-Dielektrikumsschicht 118m und die untere Auswahl-Gate-Dielektrikumsschicht 122l werden aus der zweiten Gate-Dielektrikumsschicht 1202 gebildet und die untere Steuer-Gate-Dielektrikumsschicht 118l wird aus der ersten Gate-Dielektrikumsschicht 1002 gebildet. Das Strukturieren kann beispielsweise durch Photolithographie, irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) oder eine beliebige Kombination der Vorstehenden erfolgen. Beispielsweise kann eine Photoresistmaske gebildet werden, welche die Kern-, HV- und E/A-Wanne 328, 318, 308 bedeckt, während die Auswahl- und Steuer-Gate-Wanne 108, 106 unbedeckt bleiben. Dann kann ein Ätzverfahren in die erste Gate-Dielektrikumsschicht 1002, die zweite Gate-Dielektrikumsschicht 1202 und die Ladungseinfangschicht 116 durchgeführt werden, während die Photoresistmaske angeordnet ist, und anschließend kann die Photoresistmaske entfernt werden. Während des Ätzens dient die Photoresistmaske als eine Maske zusammen mit der Steuer-Gate-Hartmaske 1318, der Auswahl-Gate-Hartmaske 1322 und den Gate-Abstandhaltern 208.As through the cross-sectional view 1300F of the 13F illustrates the first gate dielectric layer 1002 , the second gate dielectric layer 1202 and the charge trapping layer 116 structured. In structuring, the charge trapping layer becomes 116 directly under the upper control gate dielectric layer 118u and the gate spacers 208 positioned. The patterning becomes a central control gate dielectric layer 118m and a lower control gate dielectric layer 118l formed stacked between the upper control gate dielectric layer 118l and the charge trapping layer 116 , The patterning becomes a bottom select gate dielectric layer 122l formed below the top select gate dielectric layer 122U lies. The middle control gate dielectric layer 118m and the lower select gate dielectric layer 122l are made of the second gate dielectric layer 1202 formed and the lower control gate dielectric layer 118l becomes from the first gate dielectric layer 1002 educated. The patterning can be done, for example, by photolithography, any other suitable patterning method (other suitable patterning methods), or any combination of the foregoing. For example, a photoresist mask may be formed comprising the core, HV, and I / O wells 328, 318 . 308 covered while the selection and control gate pan 108 . 106 remain uncovered. Then, an etching process may be introduced into the first gate dielectric layer 1002 , the second gate dielectric layer 1202 and the charge trapping layer 116 can be performed while the photoresist mask is disposed, and then the photoresist mask can be removed. During the etching, the photoresist mask serves as a mask together with the control gate hardmask 1318 , the selection gate hardmask 1322 and the gate spacers 208 ,

Wie durch die Querschnittsansicht 1300G der 13G veranschaulicht, werden in dem Halbleitersubstrat 104 zweite Source/Drain-Erweiterungen 204b gebildet. Die zweiten Source/Drain-Erweiterungen 204a umfassen eine gemeinsame Source/Drain-Erweiterung und ein Paar individueller Source/Drain-Erweiterungen. Die gemeinsame Source/Drain-Erweiterung liegt sowohl über der Auswahl-Gate-Wanne 108 als auch über der Steuer-Gate-Wanne 106, zwischen dem Auswahl-Opfer-Gate 1320 und dem Steuer-Opfer-Gate 1316. Die individuellen Source/Drain-Erweiterungen liegen entsprechend über der Auswahl-Gate-Wanne 108 und über der Steuer-Gate-Wanne 106, so dass das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 und die gemeinsame Source/Drain-Erweiterung zwischen den individuellen Source/Drain-Erweiterungen angeordnet sind. Die zweiten Source/Drain-Erweiterungen 204b weisen einen der Steuer- und Auswahl-Gate-Wanne 106, 108 entgegengesetzte Dotierungstyp auf.As through the cross-sectional view 1300G of the 13G are illustrated in the semiconductor substrate 104 second source / drain extensions 204b educated. The second source / drain extensions 204a include a common source / drain extension and a pair of individual source / drain extensions. The common source / drain extension is over both the select gate well 108 as well as above the control gate pan 106 , between the Pick Victims Gate 1320 and the Tax Victim Gate 1316 , The individual source / drain extensions are correspondingly above the select gate well 108 and above the control gate pan 106 so that the control and selection victim gate 1316 . 1320 and the common source / drain extension between the individual source / drain extensions are arranged. The second source / drain extensions 204b have one of the control and select gate wells 106 . 108 opposite doping type on.

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der zweiten Source/Drain-Erweiterungen 204b ein selektives Dotierungsverfahren. Das selektive Dotierungsverfahren kann beispielsweise durch Ionenimplantation mit einer angeordneten Maske, irgendein anderes geeignetes selektives Dotierungsverfahren (andere geeignete selektive Dotierungsverfahren) oder eine beliebige Kombination der Vorstehenden durchgeführt werden. Die Maske wird mit einem Layout der zweiten Source/Drain-Erweiterungen 240b strukturiert und kann beispielsweise eine Photoresistmaske, irgendeine andere geeignete Maske (andere geeignete Masken) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen werden die Strukturierung der 13F und das selektive Dotierungsverfahren der 13G mit derselben angeordneten Photoresistmaske durchgeführt.In some embodiments, a method of forming the second source / drain extensions 204b a selective doping process. The selective doping process can be performed, for example, by ion implantation with an arrayed mask, any other suitable selective doping method (other suitable selective doping methods), or any combination of the foregoing. The mask comes with a layout of the second source / drain extensions 240b and may be, for example, a photoresist mask, any other suitable mask (s), or any combination of the foregoing. In some embodiments, the structuring of the 13F and the selective doping method of 13G performed with the same arranged photoresist mask.

Wie durch die Querschnittsansicht 1300H der 13H veranschaulicht, werden an Seitenwänden der Gate-Abstandhalter 208 mehrere zusätzliche Abstandhalter 210 gebildet. Die zusätzlichen Abstandhalter 210 können beispielsweise Siliziumnitrid, Siliziumoxynitrid, irgendein anderes geeignetes Dielektrikumsmaterial (andere geeignete Dielektrikumsmaterialen) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der zusätzlichen Abstandhalter 210 Abscheiden einer Haupt-Abstandhalterschicht, welche die Struktur der 13G bedeckt und auskleidet, und anschließend Durchführen eines Zurückätzens in die Haupt-Abstandhalterschicht. Durch das Zurückätzen werden horizontale Segmente der Haupt-Abstandhalterschicht entfernt, ohne vertikale Segmente der Haupt-Abstandhalterschicht zu entfernen. Die zurückbleibenden vertikalen Segmente der Haupt-Abstandhalterschicht entsprechen den zusätzlichen Abstandhaltern 210.As through the cross-sectional view 1300H of the 13H illustrates, on sidewalls, the gate spacers 208 several additional spacers 210 educated. The additional spacers 210 For example, silicon nitride, silicon oxynitride, any other suitable dielectric material (other suitable dielectric materials), or any combination of the foregoing may be or include. In some embodiments, a method of forming the additional spacers 210 Depositing a main spacer layer, which has the structure of 13G and then performing etching back into the main spacer layer. The etchback removes horizontal segments of the main spacer layer without removing vertical segments of the main spacer layer. The remaining vertical segments of the main spacer layer correspond to the additional spacers 210 ,

Wie durch die Querschnittsansicht 1300I der 13I veranschaulicht, werden in dem Halbleitersubstrat 104 Source/Drains gebildet. Die Source/Drains umfassen ein Paar Kern-Source/Drains 330, ein Paar HV-Source/Drains 320, ein Paar E/A-Source/Drains 310, eine gemeinsame Speicher-Source/Drain 110c und ein Paar individueller Speicher-Source/Drains 110i. Die Kern-Source/Drains 330, die HV-Source/Drains 320 und die E/A-Source/Drains 310 werden entsprechend auf der Kern-Wanne 328, der HV-Wanne 318 und der E/A-Wanne 308 gebildet. Die Kern-Source/Drains 330, die HV-Source/Drains 320 und die E/A-Source/Drains 310 grenzen jeweils an eine entsprechende der ersten Source/Drain-Erweiterungen 204a und weisen denselben Dotierungstyp wie die entsprechende Source/Drain-Erweiterung auf, jedoch eine höhere Dotierungskonzentration. Die gemeinsame Speicher-Source/Drain 110c befindet sich auf der Auswahl- und der Steuer-Gate-Wanne 108, 106 zwischen dem Auswahl- und dem Steuer-Opfer-Gate 1316, 1320. Die individuellen Speicher-Source/Drains 110i befinden sich entsprechend auf der Auswahl- und der Steuer-Gate-Wanne 108, 106, so dass sich das Auswahl- und das Steuer-Opfer-Gate 1316, 1320 und die gemeinsame Speicher-Source/Drain 110c zwischen den individuellen Speicher-Source/Drains 110i befinden. Die gemeinsame und die individuellen Speicher-Source/Drains 110c, 110i grenzen jeweils an eine entsprechende der zweiten Source/Drain-Erweiterungen 204b und weisen denselben Dotierungstyp wie die entsprechende Source/Drain-Erweiterung auf, jedoch eine höhere Dotierungskonzentration.As through the cross-sectional view 1300I of the 13I are illustrated in the semiconductor substrate 104 Source / Drains formed. The source / drains include a pair of core source / drains 330 , a pair of HV source / drains 320 , a pair of I / O source / drains 310 , a shared memory source / drain 110c and a pair of individual storage source / drains 110i , The core source / drains 330 , the HV Source / Drains 320 and the I / O source / drains 310 be appropriately on the core pan 328 , the HV tub 318 and the I / O pan 308 educated. The core source / drains 330 , the HV Source / Drains 320 and the I / O source / drains 310 each adjacent to a corresponding one of the first source / drain extensions 204a and have the same doping type as the corresponding source / drain extension, but a higher doping concentration. The shared memory source / drain 110c is located on the selection and control gate wells 108 . 106 between the selection and the tax victim gate 1316 . 1320 , The individual storage source / drains 110i are located respectively on the selection and control gate wells 108 . 106 so that the selection and the tax victim gate 1316 . 1320 and the shared memory source / drain 110c between the individual storage source / drains 110i are located. The common and the individual storage source / drains 110c . 110i each adjacent to a corresponding one of the second source / drain extensions 204b and have the same doping type as the corresponding source / drain extension, but a higher doping concentration.

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Source/Drains eine Serie von selektiven Dotierungsverfahren. Beispielsweise können durch ein erstes selektives Dotierungsverfahren Source/Drains des n-Typs gebildet werden und durch ein zweites selektives Dotierungsverfahren können anschließend Source/Drains des p-Typs gebildet werden. Die Kern-Source/Drains 330, die HV-Source/Drains 320, die gemeinsame Speicher-Source/Drain 110c und die individuellen Speicher-Source/Drains 110i können beispielsweise solche des n-Typs sein, während die E/A-Source/Drains 310 solche des p-Typs sein können. Jedes der selektiven Dotierungsverfahren kann beispielsweise durch Ionenimplantation mit einer angeordneten Maske, irgendein anderes geeignetes selektives Dotierungsverfahren (andere geeignete selektive Dotierungsverfahren) oder eine beliebige Kombination der Vorstehenden durchgeführt werden. Die Maske wird mit einem Layout der zu bildenden Source/Drains strukturiert und kann beispielsweise eine Photoresistmaske, irgendeine andere geeignete Maske (andere geeignete Masken) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Es sei angemerkt, dass die Ionenimplantation zum Beispiel durchgeführt werden kann, während die erste und die zweite Gate-Dielektrikumsschicht 1002, 1202 angeordnet sind, indem eine Implantationsenergie ausgewählt wird, die hoch genug ist, damit Ionen der Ionenimplantation durch die Gate-Dielektrikumsschichten 1002, 1202 gelangen.In some embodiments, a method of forming the source / drains comprises a series of selective doping methods. For example, n-type source / drains may be formed by a first selective doping process, and then p-type source / drains may be formed by a second selective doping process. The core source / drains 330 , the HV Source / Drains 320 , the common memory source / drain 110c and the individual storage source / drains 110i For example, these may be of the n-type while the I / O source / drains 310 may be those of the p-type. Any of the selective doping methods may be performed, for example, by ion implantation with an arrayed mask, any other suitable selective doping method (other suitable selective doping methods), or any combination of the foregoing. The mask is patterned with a layout of the source / drains to be formed, and may be, for example, a photoresist mask, any other suitable mask (s), or any combination of the foregoing. It should be noted that the ion implantation may be performed, for example, while the first and second gate dielectric layers 1002 . 1202 by selecting an implantation energy high enough to allow ions of ion implantation through the gate dielectric layers 1002 . 1202 reach.

Wie durch die Querschnittsansicht 1300J der 13J veranschaulicht, werden auf den Kern-Source/Drains 330, den HV-Source/Drains 320, den E/A-Source/Drains 310, der gemeinsamen Speicher-Source/Drain 110c und den individuellen Speicher-Source/Drains 110i (zusammenfassend den Source/Drains) Silizid-Kontaktflecken 218 gebildet. Die Silizid-Kontaktflecken 218 können beispielsweise Nickelsilizid, irgendein anderes geeignetes Silizid (andere geeignete Silizide) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausfiihrungsformen umfasst ein Verfahren zum Bilden der Silizid-Kontaktflecken 218: 1) Bilden einer schützenden Dielektrikumsschicht, welche die Struktur der 13I bedeckt und auskleidet; 2) Strukturieren der schützenden Dielektrikumsschicht, um die Source/Drains freizulegen; 3) Durchführen eines Salicide-Verfahrens, während die schützende Dielektrikumsschicht angeordnet ist, und 4) Entfernen der schützenden Dielektrikumsschicht. In einigen Ausführungsformen wird die Entfernung durch ein Ätzverfahren oder irgendein anders geeignetes Entfernungsverfahren (andere geeignete Entfernungsverfahren) durchgeführt. Durch das Ätzverfahren wird Material (z.B. Oxid) der schützenden Dielektrikumsschicht bevorzugt im Vergleich zu anderem Material (z.B. Nitrid oder Silizid) entfernt, das unter der schützenden Dielektrikumsschicht und/oder in Nachbarschaft zu dieser liegt.As through the cross-sectional view 1300J of the 13J illustrated on the core source / drains 330 , the HV source / drains 320 , the I / O source / drains 310 , the common memory source / drain 110c and the individual storage source / drains 110i (summarizing the source / drains) silicide pads 218 educated. The silicide pads 218 for example Nickel silicide, any other suitable silicide (other suitable silicides), or any combination of the above. In some embodiments, a method of forming the silicide pads comprises 218 : 1) forming a protective dielectric layer, which has the structure of 13I covered and lined; 2) patterning the protective dielectric layer to expose the source / drains; 3) performing a salicide process while the protective dielectric layer is disposed, and 4) removing the protective dielectric layer. In some embodiments, the removal is performed by an etching process or any other suitable removal process (other suitable removal processes). The etching process preferably removes material (eg, oxide) of the protective dielectric layer as compared to other material (eg, nitride or silicide) underlying and / or adjacent to the protective dielectric layer.

Wie ebenfalls durch die Querschnittsansicht 1300J der 13J veranschaulicht, werden die erste und die zweite Gate-Dielektrikumsschicht 1002, 1202 (siehe 13I) strukturiert. Durch die Strukturierung wird eine untere HV-Gate-Dielektrikumsschicht 326l und eine mittlere HV-Gate-Dielektrikumsschicht 326m gebildet, die unter der oberen HV-Gate-Dielektrikumsschicht 326u gestapelt sind. Durch die Strukturierung wird ferner eine untere E/A-Gate-Dielektrikumsschicht 316l gebildet, die unter der oberen E/A-Gate-Dielektrikumsschicht 316u liegt. Die untere HV-Gate-Dielektrikumsschicht 326l wird aus der ersten Gate-Dielektrikumsschicht 1002 gebildet und die mittlere HV-Gate-Dielektrikumsschicht 326m und die untere E/A-Gate-Dielektrikumsschicht 316l werden aus der zweiten Gate-Dielektrikumsschicht 1202 gebildet. Das Strukturieren kann beispielsweise durch ein Ätzverfahren oder irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) erfolgen. In einigen Ausführungsformen ist das Ätzverfahren dasselbe Ätzverfahren wie jenes, mit welchem die schützende Dielektrikumsschicht entfernt wird, die beim Bilden der Silizid-Kontaktflecken 218 verwendet wird.As also by the cross-sectional view 1300J of the 13J illustrates the first and second gate dielectric layers 1002 . 1202 (please refer 13I) structured. The patterning results in a lower HV gate dielectric layer 326l and a middle HV gate dielectric layer 326m formed under the upper HV gate dielectric layer 326u are stacked. The patterning further provides a bottom I / O gate dielectric layer 316l formed under the upper I / O gate dielectric layer 316U lies. The lower HV gate dielectric layer 326l becomes from the first gate dielectric layer 1002 formed and the middle HV gate dielectric layer 326m and the bottom I / O gate dielectric layer 316l are made of the second gate dielectric layer 1202 educated. The patterning can be done, for example, by an etching process or any other suitable patterning method (other suitable patterning methods). In some embodiments, the etching process is the same etching process as that with which the protective dielectric layer is removed when forming the silicide pads 218 is used.

Wie durch die Querschnittsansicht 1300K der 13K veranschaulicht, wird eine Rückätzschicht 1328 gebildet, welche die Struktur der 13J bedeckt. In einigen Ausführungsformen handelt es sich bei der Rückätzschicht 1328 um ein fließfähiges, selbstnivellierendes Material, so dass die Gravitation bewirkt, dass eine obere Fläche der Rückätzschicht 1328 eingeebnet wird. In einigen Ausführungsformen handelt es sich bei der Rückätzschicht 1328 um ein organisches Material, eine Antireflexbeschichtung (Antireflective Coating, ARC), irgendein anderes geeignetes Material (andere geeignete Materialien) oder eine beliebige Kombination der Vorstehenden.As through the cross-sectional view 1300K of the 13K illustrates an etch-back layer 1328 formed the structure of the 13J covered. In some embodiments, the etch back layer is 1328 around a flowable, self-leveling material, so that gravity causes an upper surface of the etchback layer 1328 is leveled. In some embodiments, the etch back layer is 1328 an organic material, an antireflective coating (ARC), any other suitable material (other suitable materials), or any combination of the foregoing.

Wie durch die Querschnittsansicht 1300L der L veranschaulicht, wird ein Ätzverfahren durchgeführt, um die Rückätzschicht 1328 zurückzuätzen und um ferner die Kern-Gate-Hartmaske 1310 (siehe 13K), die HV-Gate-Hartmaske 1314 (siehe 13K), die Steuer-Gate-Hartmaske 1318 (siehe 13K), die Opfer-Gate-Hartmaske 1322 (siehe 13K) und die E/A-Gate-Hartmaske 1326 (siehe 13K) zu entfernen. Zum Abkürzen werden die Kern-Gate-Hartmaske 1310, die HV-Gate-Hartmaske 1314, die Steuer-Gate-Hartmaske 1318, die Opfer-Gate-Hartmaske 1322 und die E/A-Gate-Hartmaske 1326 zusammenfassend als „die Hartmasken“ bezeichnet. Das Ätzverfahren wird mit einem Ätzmittel durchgeführt, welches für die Rückätzschicht 1328 dieselbe oder weitgehend dieselbe Ätzgeschwindigkeit aufweist wie für die Hartmasken. Dadurch werden eine obere Fläche der Rückätzschicht 1328 und obere Flächen der Hartmasken zusammen zurückgeätzt, sobald die Rückätzschicht 1328 ausreichend geätzt ist, um die Hartmasken freizulegen. Ferner weist das Ätzmittel eine niedrige Ätzgeschwindigkeit für das Kern-Opfer-Gate 1308, das HV-Opfer-Gate 1312, das Steuer-Opfer-Gate 1316, das Auswahl-Opfer-Gate 1320 und das E/A-Opfer-Gate 1324 auf, verglichen mit der Rückätzschicht 1328 und den Hartmasken.As through the cross-sectional view 1300L of the L illustrates an etching process is performed to the etch back 1328 and further around the core-gate hardmask 1310 (please refer 13K) , the HV gate hard mask 1314 (please refer 13K) , the control gate hardmask 1318 (please refer 13K) , the sacrificial gate hard mask 1322 (please refer 13K) and the I / O Gate Hardmask 1326 (please refer 13K) to remove. To abbreviate, the core-gate hardmask 1310 , the HV gate hard mask 1314 , the control gate hardmask 1318 , the sacrificial gate hard mask 1322 and the I / O Gate Hardmask 1326 collectively referred to as "the hard masks". The etching process is carried out with an etchant which is used for the etch-back layer 1328 the same or substantially the same etching rate as for the hard masks. Thereby, an upper surface of the back etching layer becomes 1328 and top surfaces of the hard masks are etched back together once the etch back layer 1328 is sufficiently etched to expose the hard masks. Further, the etchant has a low etch rate for the sacrificial core gate 1308 , the HV Victim Gate 1312 , the tax victim gate 1316 , the Pick Victims Gate 1320 and the I / O Victim Gate 1324 as compared to the etch-back layer 1328 and the hard masks.

Wie durch die Querschnittsansicht 1300M der 13M veranschaulicht, wird die Rückätzschicht 1328 (siehe 13L) entfernt und statt der Rückätzschicht 1328 wird eine untere ILD-Schicht 214l gebildet. Die untere ILD-Schicht 214l kann beispielsweise Oxid, ein Low-k-Dielektrikum, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen umfasst ein Verfahren zum Entfernen der Rückätzschicht 1328 ein Ätzverfahren oder irgendein anderes geeignetes Entfernungsverfahren (andere geeignete Entfernungsverfahren). In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der unteren ILD-Schicht 214l Abscheiden der unteren ILD-Schicht 214l durch CVD, PVD, ALD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine Kombination der Vorstehenden.As through the cross-sectional view 1300M of the 13M illustrates the etchback layer 1328 (please refer 13L) removed and instead of the etch back 1328 becomes a lower ILD layer 214l educated. The lower ILD layer 214l For example, it may be or include oxide, a low-k dielectric, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. In some embodiments, a method of removing the etch back layer 1328 an etching process or any other suitable removal method (other suitable removal methods). In some embodiments, a method comprises forming the bottom ILD layer 214l Depositing the lower ILD layer 214l by CVD, PVD, ALD, sputtering, any other suitable deposition method (other suitable deposition methods) or a combination of the above.

Wie durch die Querschnittsansicht 1300N der 13N veranschaulicht, wird eine Planarisierung in die untere ILD-Schicht 214l durchgeführt, um eine obere Fläche der unteren ILD-Schicht 214l gemeinsam mit oberen Flächen des Kern-Opfer-Gate 1308, des HV-Opfer-Gate 1312, des Steuer-Opfer-Gate 1316, des Auswahl-Opfer-Gate 1320 bzw. des E/A-Opfer-Gate 1324 zu planarisieren. Die Planarisierung kann beispielsweise durch ein CMP oder irgendein anderes geeignetes Planarisierungsverfahren (andere geeignete Planarisierungsverfahren) durchgeführt werden.As through the cross-sectional view 1300N of the 13N illustrates planarization into the lower ILD layer 214l performed to a top surface of the lower ILD layer 214l together with upper surfaces of the core sacrificial gate 1308 , HV Victims Gate 1312 , the Tax Victim Gate 1316 , Pick Victims Gate 1320 or the I / O Victim Gate 1324 to planarize. The planarization can be done, for example, by a CMP or any other suitable planarization process (other suitable planarization process).

Wie durch die Querschnittsansicht 1300O der 13O veranschaulicht, werden erste Opfer-Gates entfernt. Die ersten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer p-Kanal-Speichervorrichtung entsprechen, das Steuer-Opfer-Gate 1316, aber nicht das Auswahl-Opfer-Gate 1320 (siehe 13N). Die ersten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer n-Kanal-Speichervorrichtung entsprechen, das Auswahl-Opfer-Gate 1320, aber nicht das Steuer-Opfer-Gate 1316. Ferner umfassen die ersten Opfer-Gates Opfer-Gates, welche n-Kanal-Halbleitervorrichtungen entsprechen und welche dem Steuer- und dem Auswahl-Opfer-Gate 1316, 1320 benachbart sind. In einigen Ausführungsformen umfassen die ersten Opfer-Gates das Kern-Opfer-Gate 1308 (siehe 13N), das HV-Opfer-Gate 1312 (siehe 13N) und das Auswahl-Opfer-Gate 1320. Das Entfernen führt zu ersten Gate-Öffnungen 1330 anstelle der entfernten Opfer-Gate-Elektroden. Die Entfernung kann beispielsweise durch ein selektives Ätzverfahren, irgendein anderes geeignetes Entfernungsverfahren (andere geeignete Entfernungsverfahren) oder eine beliebige Kombination der Vorstehenden durchgeführt werden. In einigen Ausführungsformen umfasst das selektive Ätzen: 1) Bilden einer Maske auf der Struktur der 13N; 2) Durchführen eines Ätzverfahrens in die Opfer-gates, die nicht von der Maske bedeckt sind; und 3) anschließendes Entfernen der Maske. Die Maske weist ein Layout von Opfer-Gates, die zu entfernen sind, und kann beispielsweise eine Photoresistmaske, irgendeine andere geeignete Maske (andere geeignete Masken) oder eine beliebige Kombination der Vorstehenden sein oder umfassen.As through the cross-sectional view 1300O of the 13O illustrates, first victim gates are removed. The first sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 p-channel memory device, the control victim gate 1316 but not the pick-and-shoot gate 1320 (please refer 13N) , The first sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 an n-channel memory device, the selection victim gate 1320 but not the tax victim gate 1316 , Further, the first sacrificial gates include sacrificial gates which correspond to n-channel semiconductor devices and which correspond to the control and selection sacrificial gates 1316 . 1320 are adjacent. In some embodiments, the first sacrificial gates include the core sacrificial gate 1308 (please refer 13N) , the HV Victim Gate 1312 (please refer 13N) and the Pick Victims Gate 1320 , The removal leads to first gate openings 1330 instead of the sacrificial gate electrodes removed. The removal may be accomplished by, for example, a selective etching process, any other suitable removal process (other suitable removal processes), or any combination of the foregoing. In some embodiments, the selective etching comprises: 1) forming a mask on the structure of 13N ; 2 ) Performing an etching process in the sacrificial gates which are not covered by the mask; and 3) subsequently removing the mask. The mask has a layout of sacrificial gates to be removed, and may be or include, for example, a photoresist mask, any other suitable mask (s), or any combination of the foregoing.

Wie durch die Querschnittsansicht 1300P der 13P veranschaulicht, werden in den ersten Gate-Öffnungen 1330 (siehe 13O) Metall-Gate-Elektroden mit Austrittsarbeiten des n-Typs (hierin im Folgenden als Metall-Gate-Elektroden des n-Typs bezeichnet) gebildet. Die Austrittsarbeit des n-Typs kann beispielsweise sein: 1) eine Austrittsarbeit innerhalb etwa 0,1 eV, 0,2 eV oder 0,4 eV einer Austrittsarbeit für polykristallines Silizium des n-Typs; 2) eine Austrittsarbeit von weniger als etwa 4,0 eV, 4,2 eV oder 4,4 eV; 3) eine Austrittsarbeit von etwa 3,5 eV bis 4,4 eV, 4,0 eV bis 4,4 eV oder 3,8 eV bis 4,5 eV; 4) irgendeine andere geeignete Austrittsarbeit des n-Typs oder 5) eine beliebige Kombination der Vorstehenden. Ferner können die Metall-Gate-Elektroden des n-Typs beispielsweise Hafnium, Zirkonium, Titan, Tantal, Aluminium, irgendein anderes geeignetes Metall (andere geeignete Metalle) mit Austrittsarbeit des n-Typs oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen umfassen die Metall-Gate-Elektroden des n-Typs eine Kern-Gate-Elektrode 334, eine HV-Gate-Elektrode 324 und eine Auswahl-Gate-Elektrode 120. Die Kern-Gate-Elektrode 334, die HV-Gate-Elektrode 324 und die Auswahl-Gate-Elektrode 120 füllen die ersten Gate-Öffnungen 1330 entsprechend auf der Kern-Wanne 328, der HV-Wanne 318 und der Auswahl-Gate-Wanne 108.As through the cross-sectional view 1300P of the 13P illustrated in the first gate openings 1330 (please refer 13O) Metal gate electrodes having n-type work functions (hereinafter referred to as n-type metal gate electrodes) are formed. For example, the n-type work function may be: 1) a work function within about 0.1 eV, 0.2 eV, or 0.4 eV of a work function for n-type polycrystalline silicon; 2) a work function of less than about 4.0 eV, 4.2 eV or 4.4 eV; 3) a work function of about 3.5 eV to 4.4 eV, 4.0 eV to 4.4 eV or 3.8 eV to 4.5 eV; 4) any other suitable n-type work function; or 5) any combination of the foregoing. Further, the n-type metal gate electrodes may be or include, for example, hafnium, zirconium, titanium, tantalum, aluminum, any other suitable n-type work function metal (s), or any combination of the foregoing. In some embodiments, the n-type metal gate electrodes include a core-gate electrode 334 , an HV gate electrode 324 and a selection gate electrode 120 , The core gate electrode 334 , the HV gate electrode 324 and the selection gate electrode 120 fill the first gate openings 1330 appropriately on the core pan 328 , the HV tub 318 and the selection gate pan 108 ,

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Metall-Gate-Elektroden des n-Typs Bilden einer leitfähigen Schicht, welche die Struktur der 13O bedeckt und außerdem die ersten Gate-Öffnungen 1330 füllt. Die leitfähige Schicht ist oder umfasst Metall mit einer Austrittsarbeit des n-Typs und kann beispielsweise durch CVD, PVD, stromloses Plattieren, Elektroplattieren, irgendein anderes geeignetes Wachstums- oder Abscheidungsverfahren (andere geeignete Wachstums- oder Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. Anschließend wird eine Planarisierung in die leitfähige Schicht durchgeführt, bis die untere ILD-Schicht 214l erreicht ist. Die Planarisierung kann beispielsweise durch ein CMP oder irgendein anderes geeignetes Planarisierungsverfahren (andere geeignete Planarisierungsverfahren) durchgeführt werden.In some embodiments, a method of forming the n-type metal gate electrodes comprises forming a conductive layer having the structure of 13O covered and also the first gate openings 1330 crowded. The conductive layer is or comprises n-type work function metal and may be formed, for example, by CVD, PVD, electroless plating, electroplating, any other suitable growth or deposition method (other suitable growth or deposition methods), or any combination of the foregoing , Subsequently, a planarization is performed in the conductive layer until the lower ILD layer 214l is reached. The planarization can be performed, for example, by a CMP or any other suitable planarization process (other suitable planarization techniques).

Wie durch die Querschnittsansicht 1300Q der 13Q veranschaulicht, werden zweite Opfer-Gates entfernt. Die zweiten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer n-Kanal-Speichervorrichtung entsprechen, das Steuer-Opfer-Gate 1316 (siehe 13N), aber nicht das Auswahl-Opfer-Gate 1320 (siehe 13N). Die zweiten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer p-Kanal-Speichervorrichtung entsprechen, das Auswahl-Opfer-Gate 1320, aber nicht das Steuer-Opfer-Gate 1316. Ferner umfassen die zweiten Opfer-Gates Opfer-Gates, welche p-Kanal-Halbleitervorrichtungen entsprechen und welche dem Steuer- und dem Auswahl-Opfer-Gate 1316, 1320 benachbart sind. In einigen Ausführungsformen umfassen die zweiten Opfer-Gates das E/A-Opfer-Gate 1324 (siehe 13N) und das Steuer-Opfer-Gate 1316. Das Entfernen führt zu zweiten Gate-Öffnungen 1332 anstelle der entfernten Opfer-Gate-Elektroden und kann beispielsweise durchgeführt werden, wie in Bezug auf 13O beschrieben.As through the cross-sectional view 1300Q of the 13Q illustrates, second victim gates are removed. The second sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 an n-channel memory device, the control victim gate 1316 (please refer 13N) but not the pick-and-shoot gate 1320 (please refer 13N) , The second sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 a p-channel memory device, the selection victim gate 1320 but not the tax victim gate 1316 , Further, the second sacrificial gates include sacrificial gates which correspond to p-channel semiconductor devices and which correspond to the control and selection sacrificial gates 1316 . 1320 are adjacent. In some embodiments, the second sacrificial gates include the sacrificial I / O gate 1324 (please refer 13N) and the tax victim gate 1316 , The removal leads to second gate openings 1332 instead of the sacrificial gate electrodes removed, and may be performed, for example, as related to 13O described.

Wie durch die Querschnittsansicht 1300R der 13R veranschaulicht, werden in den zweiten Gate-Öffnungen 1332 (siehe 13Q) Metall-Gate-Elektroden mit Austrittsarbeiten des p-Typs (hierin im Folgenden als Metall-Gate-Elektroden des p-Typs bezeichnet) gebildet. Die Austrittsarbeit des p-Typs kann beispielsweise sein: 1) eine Austrittsarbeit innerhalb etwa 0,1 eV, 0,2 eV oder 0,4 eV einer Austrittsarbeit für polykristallines Silizium des p-Typs; 2) eine Austrittsarbeit von mehr als etwa 4,8 eV, 5,0 eV oder 5,2 eV; 3) eine Austrittsarbeit von etwa 4,8 eV bis 5,2 eV, 5,0 eV bis 5,4 eV oder 4,6 eV bis 5,6 eV; 4) irgendeine andere geeignete Austrittsarbeit des p-Typs oder 5) eine beliebige Kombination der Vorstehenden. Die Metall-Gate-Elektroden des p-Typs können beispielsweise Ruthenium, Palladium, Platin, Kobalt, Nickel, Titanaluminiumnitrid, Wolframcarbonitrid, irgendein anderes geeignetes Metall (andere geeignete Metalle) mit Austrittsarbeit des p-Typs oder eine beliebige Kombination der Vorstehenden sein oder umfassen. In einigen Ausführungsformen umfassen die Metall-Gate-Elektroden des p-Typs eine Steuer-Gate-Elektrode 114 und eine E/A-Gate-Elektrode 314. Die Steuer-Gate-Elektrode 114 und die E/A-Gate-Elektrode 314 füllen die zweiten Gate-Öffnungen 1332 entsprechend auf der Steuer-Gate-Wanne 106 und der E/A-Wanne 308.As through the cross-sectional view 1300R of the 13R illustrated in the second gate openings 1332 (please refer 13Q) Metal-gate electrodes with p-type work functions (hereinafter referred to as p-type metal gate electrodes) are formed. The work function of the p-type may be, for example: 1) a work function within about 0.1 eV, 0.2 eV or 0.4 eV of a p-type polycrystalline work function; 2) a work function greater than about 4.8 eV, 5.0 eV or 5.2 eV; 3) a work function of about 4.8 eV to 5.2 eV, 5.0 eV to 5.4 eV or 4.6 eV to 5.6 eV; 4) any other suitable p-type work function, or 5) any combination of the foregoing. The p-type metal gate electrodes may be, for example, ruthenium, palladium, platinum, cobalt, nickel, titanium aluminum nitride, tungsten carbonitride, any other suitable metal (other suitable metals) having p-type work function, or any combination of the foregoing , In some embodiments, the p-type metal gate electrodes include a control gate electrode 114 and an I / O gate electrode 314 , The control gate electrode 114 and the I / O gate electrode 314 fill the second gate openings 1332 corresponding to the control gate pan 106 and the I / O pan 308 ,

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Metall-Gate-Elektroden des p-Typs Bilden einer leitfähigen Schicht, welche die Struktur der 13Q bedeckt und außerdem die zweiten Gate-Öffnungen 1332 füllt. Die leitfähige Schicht ist oder umfasst Metall mit einer Austrittsarbeit des p-Typs und kann beispielsweise durch CVD, PVD, stromloses Plattieren, Elektroplattieren, irgendein anderes geeignetes Wachstums- oder Abscheidungsverfahren (andere geeignete Wachstums- oder Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. Anschließend wird eine Planarisierung in die leitfähige Schicht durchgeführt, bis die untere ILD-Schicht 214l erreicht ist. Die Planarisierung kann beispielsweise durch ein CMP oder irgendein anderes geeignetes Planarisierungsverfahren (andere geeignete Planarisierungsverfahren) durchgeführt werden.In some embodiments, a method of forming the p-type metal gate electrodes comprises forming a conductive layer having the structure of 13Q covered and also the second gate openings 1332 crowded. The conductive layer is or comprises p-type work function metal and may be formed, for example, by CVD, PVD, electroless plating, electroplating, any other suitable growth or deposition method (other suitable growth or deposition methods), or any combination of the foregoing , Subsequently, a planarization is performed in the conductive layer until the lower ILD layer 214l is reached. The planarization can be performed, for example, by a CMP or any other suitable planarization process (other suitable planarization techniques).

Wie durch die Querschnittsansicht 1300S der 13S veranschaulicht, wird eine obere ILD-Schicht 214u gebildet, welche die Struktur der 13R bedeckt, und mit einer oberen Fläche, die planar oder weitgehend planar ist. Die obere ILD-Schicht 214u kann beispielsweise Oxid, ein Low-k-Dielektrikum, irgendein anderes geeignetes Dielektrikum (andere geeignete Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Ferner kann die obere ILD-Schicht 214u beispielsweise durch Abscheiden der oberen ILD-Schicht 214u und anschließendes Durchführen einer Planarisierung in die obere Fläche der oberen Schicht 214u gebildet werden. Die Abscheidung kann beispielsweise durch CVD, PVD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine Kombination der Vorstehenden durchgeführt werden. Die Planarisierung kann beispielsweise durch ein CMP oder irgendein anderes geeignetes Planarisierungsverfahren (andere geeignete Planarisierungsverfahren) durchgeführt werden.As through the cross-sectional view 1300S of the 13S illustrates an upper ILD layer 214u formed the structure of the 13R covered, and with an upper surface that is planar or largely planar. The top ILD layer 214u For example, it may be or include oxide, a low-k dielectric, any other suitable dielectric (other suitable dielectrics), or any combination of the foregoing. Furthermore, the upper ILD layer 214u for example, by depositing the upper ILD layer 214u and then performing planarization in the top surface of the top layer 214u be formed. The deposition can be carried out, for example, by CVD, PVD, sputtering, any other suitable deposition method (other suitable deposition methods), or a combination of the above. The planarization can be performed, for example, by a CMP or any other suitable planarization process (other suitable planarization techniques).

Wie ebenfalls durch die Querschnittsansicht 1300S der 13S veranschaulicht, werden Durchkontaktierungen 216 gebildet, welche sich durch die obere ILD-Schicht 214u und die untere ILD-Schicht 214l zu den Silizid-Kontaktflecken 218, der Steuer-Gate-Elektrode 114, der Auswahl-Gate-Elektrode 120, der Kern-Gate-Elektrode 334, der HV-Gate-Elektrode 324, der E/A-Gate-Elektrode 314 oder einer beliebigen Kombination der Vorstehenden erstrecken. Die Durchkontaktierungen 216 können beispielsweise gebildet werden durch Strukturieren der oberen ILD-Schicht 214u und der unteren ILD-Schicht 214l mit einem Layout der Durchkontaktierungen 216, um mehrere Durchgangsöffnungen zu definieren, und anschließendes Füllen der Durchgangsöffnungen mit Metall, irgendeinem anderen geeigneten leitfähigen Material (anderen geeigneten leitfähigen Materialien) oder einer beliebigen Kombination der Vorstehenden.As also by the cross-sectional view 1300S of the 13S illustrated, vias become 216 formed through the upper ILD layer 214u and the lower ILD layer 214l to the silicide pads 218 , the control gate electrode 114 , the selection gate electrode 120 , the core gate electrode 334 , the HV gate electrode 324 , the I / O gate electrode 314 or any combination of the foregoing. The vias 216 For example, they can be formed by patterning the top ILD layer 214u and the lower ILD layer 214l with a layout of the vias 216 to define a plurality of vias, and then filling the vias with metal, any other suitable conductive material (other suitable conductive materials), or any combination of the foregoing.

Wie oben angegeben, sind 13A bis 13S Alternativen zu 14A bis 14I, so dass das Verfahren von den Schritten der 12 übergehen kann zu: 1) den Schritten der 13A bis 13S oder 2) den Schritten der 14A bis 14I. 13A bis 13S beschreiben erste Ausführungsformen des Verfahrens, welche beispielsweise angewendet werden können, um die Struktur der 3A zu bilden. 14A bis 14I beschreiben zweite Ausführungsformen des Verfahrens, welche beispielsweise angewendet werden können, um die Struktur der 3B zu bilden. Die zweiten Ausführungsformen sind Varianten der ersten Ausführungsformen, bei welchen die Strukturierung in 13A und die dritte Gate-Dielektrikumsschicht 1302 in 13B weggelassen werden. Außerdem werden die Kern-Dielektrikumsschicht 336 und die obere HV-, Steuer-, Auswahl- und E/A-Gate-Dielektrikumsschicht 326u, 118u, 122u, 316u werden nach der Entfernung der Opfer-Gates in 13O und 13Q gebildet.As indicated above 13A to 13S Alternatives to 14A to 14I so that the procedure from the steps of 12 can go to: 1) the steps of 13A to 13S or 2 ) the steps of 14A to 14I , 13A to 13S describe first embodiments of the method, which can be applied, for example, to the structure of 3A to build. 14A to 14I describe second embodiments of the method, which can be applied, for example, to the structure of 3B to build. The second embodiments are variants of the first embodiments in which the structuring in 13A and the third gate dielectric layer 1302 in 13B be omitted. In addition, the core dielectric layer become 336 and upper HV, control, select, and I / O gate dielectric layers 326u, 118u, 122u, 316U be after the removal of the victim gates in 13O and 13Q educated.

Wie durch die Querschnittsansicht 1400A der 14A veranschaulicht, werden die Opfer-Gate-Schicht 1304 und die Gate-Hartmaskenschicht 1306 gebildet, gestapelt auf der Struktur der 12. Die Opfer-Gate-Schicht 1304 und die Gate-Hartmaskenschicht 1306 können beispielsweise gebildet werden, wie in Bezug auf 13B beschrieben.As through the cross-sectional view 1400A of the 14A illustrates the sacrificial gate layer 1304 and the gate hardmask layer 1306 formed, stacked on the structure of 12 , The sacrificial gate layer 1304 and the gate hardmask layer 1306 can be formed, for example, as with respect to 13B described.

Wie durch die Querschnittsansicht 1400B der 14B veranschaulicht, werden die erste und die zweite Gate-Dielektrikumsschicht 1002, 1202, die Ladungseinfangschicht 116, die Opfer-Gate-Schicht 1304 (siehe 14A) und die Gate-Hartmaskenschicht 1306 (siehe 14A) strukturiert. Ferner werden die erste und die zweite Source/Drain-Erweiterung 204a, 204b, die Kern-, HV- und E/A-Source/Drains 330, 230, 310 und die gemeinsame und die individuellen Speicher-Source/Drains 110c, 110i gebildet. Außerdem werden die Gate-Abstandhalter 208 und die zusätzlichen Abstandhalter 210 gebildet. Das Strukturieren und das Bilden können beispielsweise durch die Schritte erfolgen, die in Bezug auf 13C bis 13I veranschaulicht und beschrieben werden.As through the cross-sectional view 1400B of the 14B illustrates become the first and second gate dielectric layers 1002 . 1202 , the charge trapping layer 116 , the sacrificial gate layer 1304 (please refer 14A) and the gate hardmask layer 1306 (please refer 14A) structured. Further, the first and second source / drain extensions become 204a . 204b , the core, HV and I / O source / drains 330, 230, 310 and the common and individual storage source / drains 110c . 110i educated. In addition, the gate spacers 208 and the additional spacers 210 educated. The patterning and the forming can be done, for example, by the steps described in relation to FIG 13C to 13I illustrated and described.

Wie durch die Querschnittsansicht 1400C der 14C veranschaulicht, werden die Silizid-Kontaktflecken 218 auf den Kern-, HV- und E/A-Source/Drains 330, 230, 310 und die gemeinsame und die individuellen Speicher-Source/Drains 110c, 110i (zusammenfassend auf den Source/Drains) gebildet. Die Silizid-Kontaktflecken 218 können beispielsweise gebildet werden, wie in Bezug auf 13J beschrieben. In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Silizid-Kontaktflecken 218: 1) Bilden einer schützenden Dielektrikumsschicht, welche die Struktur der 14B bedeckt und auskleidet; 2) Strukturieren der schützenden Dielektrikumsschicht, um die Source/Drains freizulegen; 3) Durchführen eines Salicide-Verfahrens, während die schützende Dielektrikumsschicht angeordnet ist, und 4) Entfernen der schützenden Dielektrikumsschicht. In einigen Ausführungsformen wird die Entfernung durch ein Ätzverfahren oder irgendein anderes geeignetes Entfernungsverfahren (andere geeignete Entfernungsverfahren) durchgeführt.As through the cross-sectional view 1400C of the 14C illustrates the silicide pads 218 on the core, HV and I / O source / drains 330, 230, 310 and the common and individual storage source / drains 110c . 110i (summarized on the source / drains). The silicide pads 218 can be formed, for example, as with respect to 13J described. In some embodiments, a method of forming the silicide pads comprises 218 : 1) forming a protective dielectric layer, which has the structure of 14B covered and lined; 2) patterning the protective dielectric layer to expose the source / drains; 3) performing a salicide process while the protective dielectric layer is disposed, and 4) removing the protective dielectric layer. In some embodiments, the removal is performed by an etching process or any other suitable removal method (other suitable removal methods).

Wie ebenfalls durch die Querschnittsansicht 1400C der 14C veranschaulicht, werden die erste und zweite Dielektrikumsschicht 1002, 1202 (siehe 14B) strukturiert. Durch das Strukturieren werden die untere HV-gate-Dielektrikumsschicht 326l und die mittlere HV-Gate-Dielektrikumsschicht 326m gebildet, gestapelt unter dem HV-Opfer-Gate 1312. Durch das Strukturieren wird ferner die untere E/A-Gate-Dielektrikumsschicht 316l, welche unter dem E/A-Opfer-Gate 1324 liegt, und eine Dummy-Gate-Dielektrikumsschicht 1402 gebildet, welche unter dem Kern-Opfer-Gate 1308 liegt. Die Strukturierung kann beispielsweise durch ein Ätzverfahren oder irgendein anderes geeignetes Strukturierungsverfahren (andere geeignete Strukturierungsverfahren) durchgeführt werden. In einigen Ausführungsformen ist das Ätzverfahren dasselbe Ätzverfahren wie jenes, mit welchem die schützende Dielektrikumsschicht entfernt wird, die beim Bilden der Silizid-Kontaktflecken 218 verwendet wird.As also by the cross-sectional view 1400C of the 14C illustrates the first and second dielectric layers 1002 . 1202 (please refer 14B) structured. The patterning results in the lower HV gate dielectric layer 3261 and the middle HV gate dielectric layer 326m formed, stacked under the HV Victim Gate 1312 , The patterning further results in the bottom I / O gate dielectric layer 316l which is under the I / O victim gate 1324 and a dummy gate dielectric layer 1402 formed under the core sacrificial gate 1308 lies. The patterning may be performed, for example, by an etching process or any other suitable patterning process (other suitable patterning methods). In some embodiments, the etching process is the same etching process as that with which the protective dielectric layer is removed when forming the silicide pads 218 is used.

Wie durch die Querschnittsansicht 1400D der 14D veranschaulicht, werden die Kern-, die HV-, die Steuer-, die Auswahl- und die E/A-Gate-Hartmaske 1310, 1314, 1318, 1322, 1326 (siehe 14C) entfernt Ferner werden obere Flächen des Kern-, des HV-, des Steuer-, des Auswahl-, des E/A-Opfer-Gates 1308, 1312, 1316, 1320, 1324 gemeinsam planarisiert. Das Entfernen und das gemeinsame Planarisieren kann beispielsweise durch die Schritte durchgeführt werden, die in Bezug auf 13K bis 13N veranschaulicht und beschrieben werden.As through the cross-sectional view 1400D of the 14D illustrate the core, HV, control, select, and I / O gate hardmask 1310, 1314, 1318, 1322, 1326 (please refer 14C) Further, upper surfaces of the core, HV, control, select, and I / O victim gates are removed 1308 . 1312 . 1316 . 1320 . 1324 planarized together. The removal and joint planarization may be performed, for example, by the steps relating to 13K to 13N illustrated and described.

Wie durch die Querschnittsansicht 1400E der 14E veranschaulicht, werden erste Opfer-Gates entfernt. Die ersten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer p-Kanal-Speichervorrichtung entsprechen, das Steuer-Opfer-Gate 1316, aber nicht das Auswahl-Opfer-Gate 1320 (siehe 14D). Die ersten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer n-Kanal-Speichervorrichtung entsprechen, das Auswahl-Opfer-Gate 1320, aber nicht das Steuer-Opfer-Gate 1316. Ferner umfassen die ersten Opfer-Gates Opfer-Gates, welche n-Kanal-Halbleitervorrichtungen entsprechen und welche dem Steuer- und dem Auswahl-Opfer-Gate 1316, 1320 benachbart sind. Beispielsweise können die ersten Opfer-Gates ferner das Kern- und das HV-Opfer-Gate 1308, 1312 (siehe 14D) umfassen. In Ausführungsformen, bei welchen das Kern-Opfer-Gate 1308 entfernt wird, wird auch ein Teil der Dummy-Gate-Dielektrikumsschicht 1402 (siehe 14D) entfernt, der unter dem Kern-Opfer-Gate 1308 liegt, was zu einer Rest-Dielektrikumsschicht 338 führt. Das Entfernen führt zu den ersten Gate-Öffnungen 1330 anstelle der entfernten Opfer-Gates und kann beispielsweise durchgeführt werden, wie in Bezug auf 13O beschrieben.As through the cross-sectional view 1400E of the 14E illustrates, first victim gates are removed. The first sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 p-channel memory device, the control victim gate 1316 but not the pick-and-shoot gate 1320 (please refer 14D) , The first sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 an n-channel memory device, the selection victim gate 1320 but not the tax victim gate 1316 , Further, the first sacrificial gates include sacrificial gates which correspond to n-channel semiconductor devices and which correspond to the control and selection sacrificial gates 1316 . 1320 are adjacent. For example, the first sacrificial gates may further include the core and HV sacrificial gates 1308 . 1312 (please refer 14D) include. In embodiments where the core sacrificial gate 1308 is removed, also becomes a part of the dummy gate dielectric layer 1402 (please refer 14D) removed, under the core sacrificial gate 1308 which results in a residual dielectric layer 338 leads. The removal leads to the first gate openings 1330 instead of the remote victim gates and can be performed, for example, as to 13O described.

Wie durch die Querschnittsansicht 1400F der 14F veranschaulicht, werden in den ersten Gate-Öffnungen 1330 (siehe 14D) Metall-Gate-Elektroden des n-Typs und Gate-Dielektrikumsschichten gebildet. Die Gate-Dielektrikumsschichten umhüllen entsprechend Unterseiten der Metall-Gate-Elektroden des n-Typs und können beispielsweise Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die Metall-Gate-Elektroden des n-Typs können beispielsweise solche sein, wie in Bezug auf 13P beschrieben. In einigen Ausführungsformen umfassen die Metall-Gate-Elektroden des n-Typs die Kern-, die HV-, und die Auswahl-gate-Elektrode 334, 324, 120, während die Gate-Dielektrikumsschichten die Kern-Gate-Dielektrikumsschicht 336, die obere HV-Gate-Dielektrikumsschicht 326u und die obere Auswahl-Gate-Dielektrikumsschicht 122u umfassen.As through the cross-sectional view 1400F of the 14F illustrated in the first gate openings 1330 (please refer 14D) Formed n-type metal gate electrodes and gate dielectric layers. The gate dielectric layers wrap around undersurfaces of the n-type metal gate electrodes and may be, for example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics), or any combination of the foregoing or include. For example, the n-type metal gate electrodes may be those as described with respect to FIG 13P described. In some embodiments, the n-type metal gate electrodes include the core, HV, and select gate electrodes 334 . 324 . 120 while the gate dielectric layers are the core-gate dielectric layer 336 , the upper HV gate dielectric layer 326u and the top select gate dielectric layer 122U include.

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Metall-Gate-Elektroden des n-Typs und der Gate-Dielektrikumsschichten Bilden einer dritten Gate-Dielektrikumsschicht, welche die Struktur der 14E bedeckt und auskleidet, und anschließend Bilden einer leitfähigen Schicht über der dritten Gate-Dielektrikumsschicht. Die leitfähige Schicht kann beispielsweise durch CVD, PVD, stromloses Plattieren, Elektroplattieren, irgendein anderes geeignetes Wachstums- oder Abscheidungsverfahren (andere geeignete Wachstums- oder Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. Die dritte Gate-Dielektrikumsschicht kann beispielsweise durch CVD, PVD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. Anschließend wird eine Planarisierung in die leitfähige Schicht und die dritte Gate-Dielektrikumsschicht durchgeführt, bis die untere ILD-Schicht 214l erreicht ist. Die Planarisierung kann beispielsweise durch ein CMP oder irgendein anderes geeignetes Planarisierungsverfahren (andere geeignete Planarisierungsverfahren) durchgeführt werden.In some embodiments, a method of forming the n-type metal gate electrodes and the gate dielectric layers comprises forming a third gate dielectric layer having the structure of 14E covered and lined, and then forming a conductive layer over the third gate dielectric layer. The conductive layer may be formed, for example, by CVD, PVD, electroless plating, electroplating, any other suitable growth or deposition method (other suitable growth or deposition methods), or any combination of the foregoing. The third gate dielectric layer may be formed, for example, by CVD, PVD, sputtering, any other suitable deposition method (other suitable deposition methods), or any combination of the foregoing. Subsequently, a planarization is performed in the conductive layer and the third gate dielectric layer until the lower ILD layer 214l is reached. The planarization can be performed, for example, by a CMP or any other suitable planarization process (other suitable planarization techniques).

Wie durch die Querschnittsansicht 1400G der 14G veranschaulicht, werden zweite Opfer-Gates entfernt. Die zweiten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer n-Kanal-Speichervorrichtung entsprechen, das Steuer-Opfer-Gate 1316 (siehe 14D), aber nicht das Auswahl-Opfer-Gate 1320 (siehe 14D). Die zweiten Opfer-Gates umfassen in einigen Ausführungsformen, bei welchen das Steuer- und das Auswahl-Opfer-Gate 1316, 1320 einer p-Kanal-Speichervorrichtung entsprechen, das Auswahl-Opfer-Gate 1320, aber nicht das Steuer-Opfer-Gate 1316. Ferner umfassen die ersten Opfer-Gates Opfer-Gates, welche p-Kanal-Halbleitervorrichtungen entsprechen und welche dem Steuer- und dem Auswahl-Opfer-Gate 1316, 1320 benachbart sind. Beispielsweise können die ersten Opfer-gates außerdem das E/A-Opfer-Gate 1324 (siehe 14D) umfassen. In Ausführungsformen, bei welchen das Kern-Opfer-Gate 1308 entfernt wird, wird auch ein Teil der Dummy-Gate-Dielektrikumsschicht 1402 (siehe 14D) entfernt, der unter dem Kern-Opfer-Gate 1308 liegt, was zu einer Rest-Dielektrikumsschicht 338 führt. Das Entfernen führt zu zweiten Gate-Öffnungen 1332 anstelle der entfernten Opfer-Gate-Elektroden und kann beispielsweise durchgeführt werden, wie in Bezug auf 13Q beschrieben.As through the cross-sectional view 1400G of the 14G illustrates, second victim gates are removed. The second sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 an n-channel memory device, the control victim gate 1316 (please refer 14D) but not the pick-and-shoot gate 1320 (please refer 14D) , The second sacrificial gates, in some embodiments, include the control and selection sacrificial gates 1316 . 1320 a p-channel memory device, the selection victim gate 1320 but not the tax victim gate 1316 , Further, the first sacrificial gates include sacrificial gates which correspond to p-channel semiconductor devices and which correspond to the control and selection sacrificial gates 1316 . 1320 are adjacent. For example, the first victim gates may also have the I / O victim gate 1324 (please refer 14D) include. In embodiments where the core sacrificial gate 1308 is removed, also becomes a part of the dummy gate dielectric layer 1402 (please refer 14D) removed, under the core sacrificial gate 1308 which results in a residual dielectric layer 338 leads. The removal leads to second gate openings 1332 instead of the sacrificial gate electrodes removed, and may be performed, for example, as related to 13Q described.

Wie durch die Querschnittsansicht 1400H der 14H veranschaulicht, werden in den zweiten Gate-Öffnungen 1332 (siehe 14G) Metall-Gate-Elektroden des p-Typs und Gate-Dielektrikumsschichten gebildet. Die Gate-Dielektrikumsschichten umhüllen entsprechend Unterseiten der Metall-Gate-Elektroden des p-Typs und können beispielsweise Hafniumoxid, Aluminiumoxid, Siliziumnitrid, irgendein anderes geeignetes High-k-Dielektrikum (andere geeignete High-k-Dielektrika) oder eine beliebige Kombination der Vorstehenden sein oder umfassen. Die Metall-Gate-Elektroden des p-Typs können beispielsweise solche sein, wie in Bezug auf 13R beschrieben. In einigen Ausführungsformen umfassen die Metall-Gate-Elektroden des p-Typs die E/A- und die Steuer-Gate-Elektrode 314, 114, während die Gate-Dielektrikumsschichten die obere E/A-Gate-Dielektrikumsschicht 316u und die obere Steuer-Gate-Dielektrikumsschicht 118u umfassen.As through the cross-sectional view 1400H of the 14H illustrated in the second gate openings 1332 (please refer 14G) Formed p-type metal gate electrodes and gate dielectric layers. The gate dielectric layers wrap around sub-sides of the p-type metal gate electrodes and may be, for example, hafnium oxide, alumina, silicon nitride, any other suitable high-k dielectric (other suitable high-k dielectrics), or any combination of the foregoing or include. For example, the p-type metal gate electrodes may be those as described with respect to FIG 13R described. In some embodiments, the p-type metal gate electrodes include the I / O and control gate electrodes 314 . 114 while the gate dielectric layers are the top I / O gate dielectric layer 316U and the upper control gate dielectric layer 118u include.

In einigen Ausführungsformen umfasst ein Verfahren zum Bilden der Metall-Gate-Elektroden des p-Typs und der zusätzlichen Gate-Dielektrikumsschichten Bilden einer vierten Gate-Dielektrikumsschicht, welche die Struktur der 14G bedeckt und auskleidet, und anschließend Bilden einer leitfähigen Schicht über der vierten Gate-Dielektrikumsschicht. Die leitfähige Schicht kann beispielsweise durch CVD, PVD, stromloses Plattieren, Elektroplattieren, irgendein anderes geeignetes Wachstums- oder Abscheidungsverfahren (andere geeignete Wachstums- oder Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. Die vierte Gate-Dielektrikumsschicht kann beispielsweise durch CVD, PVD, Sputtern, irgendein anderes geeignetes Abscheidungsverfahren (andere geeignete Abscheidungsverfahren) oder eine beliebige Kombination der Vorstehenden gebildet werden. Anschließend wird eine Planarisierung in die leitfähige Schicht und die vierte Gate-Dielektrikumsschicht durchgeführt, bis die untere ILD-Schicht 214l erreicht ist. Die Planarisierung kann beispielsweise durch ein CMP oder irgendein anderes geeignetes Planarisierungsverfahren (andere geeignete Planarisierungsverfahren) durchgeführt werden.In some embodiments, a method of forming the p-type metal gate electrodes and the additional gate dielectric layers comprises forming a fourth gate dielectric layer that has the structure of the 14G and then forming a conductive layer over the fourth gate dielectric layer. The conductive layer may be formed, for example, by CVD, PVD, electroless plating, electroplating, any other suitable growth or deposition method (other suitable growth or deposition methods), or any combination of the foregoing. The fourth gate dielectric layer may be formed, for example, by CVD, PVD, sputtering, any other suitable deposition method (other suitable deposition methods), or any combination of the foregoing. Subsequently, a planarization is performed in the conductive layer and the fourth gate dielectric layer until the lower ILD layer 214l is reached. The planarization can be performed, for example, by a CMP or any other suitable planarization process (other suitable planarization techniques).

Wie durch die Querschnittsansicht 1400I der 14I veranschaulicht, wird eine obere ILD-Schicht 214u gebildet, welche die Struktur der 14H bedeckt, und mit einer oberen Fläche, die planar oder weitgehend planar ist. Außerdem werden Durchkontaktierungen 216 gebildet, welche sich durch die obere ILD-Schicht 214u und die untere ILD-Schicht 214l zu den Silizid-Kontaktflecken 218, der Steuer-Gate-Elektrode 114, der Auswahl-Gate-Elektrode 120, der Kern-Gate-Elektrode 334, der HV-Gate-Elektrode 324, der E/A-Gate-Elektrode 314 oder einer beliebigen Kombination der Vorstehenden erstrecken. Die obere ILD-Schicht 214u und die Durchkontaktierungen 216 können beispielsweise gebildet werden, wie in Bezug auf 13S beschrieben.As through the cross-sectional view 1400i of the 14I illustrates an upper ILD layer 214u formed the structure of the 14H covered, and with an upper surface that is planar or largely planar. In addition, vias become 216 formed through the upper ILD layer 214u and the lower ILD layer 214l to the silicide pads 218 , the control gate electrode 114 , the selection gate electrode 120 , the core gate electrode 334 , the HV gate electrode 324 , the I / O gate electrode 314 or any combination of the foregoing. The top ILD layer 214u and the vias 216 can be formed, for example, as with respect to 13S described.

Wie oben angegeben, werden die Opfer-Gates (z.B. das Steuer-Opfer-Gate 1316 in 13C und 14B) nach dem Bilden der Source/Drains (z.B. der gemeinsamen Speicher-Source/Drains 110c) durch Gate-Elektroden (z.B. die Steuer-Gate-Elektrode 114) ersetzt. Deswegen beeinflusst das Dotierungsverfahren, das angewendet wird, um die Source/Drains zu bilden, nicht die Austrittsarbeiten der Gate-Elektroden. Außerdem kann es sich, da die Opfer-Gates durch die Gate-Elektroden ersetzt werden, bei den Opfer-Gates um undotiertes Polysilizium oder irgendein anderes geeignetes Material handeln, welches nicht von einem Dotierungsverfahren abhängt. Daher unterliegen die Dotierungsprofile in dem Halbleitersubstrat 104 (z.B. Dotierungsprofile der Steuer- und der Auswahl-Gate-Wanne 106, 108) keiner Beschädigung aus einem Dotierungsverfahren beim Bilden der Opfer-Gates. Ferner unterliegen, da es sich bei den Gate-Elektroden um Metall handelt, die Dotierungsprofile in dem Halbleitersubstrat 104 keiner Beschädigung aus einem Dotierungsverfahren beim Bilden der Gate-Elektroden. Ferner können, da es sich bei den Gate-Elektroden um Metall handelt und sie auf High-k-Dielektrika (z.B. der oberen Steuer-Gate-Dielektrikumsschicht 118) gebildet werden, die Gate-Elektroden für hochentwickelte Prozessknoten verkleinert werden, z.B. für 28-mm-, 20-nm- und kleinere Prozessknoten.As stated above, the victim gates (eg the tax victim gate 1316 in 13C and 14B) after forming the source / drains (eg the common memory source / drains 110c) through gate electrodes (eg the control gate electrode 114 ) replaced. Therefore, the doping process used to form the source / drains does not affect the Work functions of the gate electrodes. In addition, since the sacrificial gates are replaced by the gate electrodes, the sacrificial gates may be undoped polysilicon or any other suitable material that does not depend on a doping process. Therefore, the doping profiles are subject to the semiconductor substrate 104 (eg, doping profiles of the control and select gate wells 106 . 108 ) no damage from a doping process in forming the sacrificial gates. Further, because the gate electrodes are metal, the doping profiles are in the semiconductor substrate 104 no damage from a doping process in forming the gate electrodes. Further, since the gate electrodes are metal and they may be on high-k dielectrics (eg, the upper control gate dielectric layer 118 ), the gate electrodes are scaled down for advanced process nodes, eg for 28mm, 20nm and smaller process nodes.

Obwohl 4 bis 7, 8A, 8B, 9 bis 12, 13A bis 13S und 14A bis 14I die Ersetzung des Auswahl-Opfer-Gate 1320 (siehe z.B. 13N) durch Metall veranschaulichen, sei angemerkt, dass das Auswahl-Opfer-Gate 1320 in anderen Ausführungsformen nicht ersetzt werden muss. In einigen solcher anderen Ausführungsformen wird das Auswahl-Opfer-Gate 1320 aus einem leitfähigen Material gebildet und bleibt bis in eine fertige integrierte Schaltung. Das leitfähige Material kann beispielsweise Polysilizium des n-Typs oder p-Typs oder irgendein anderes geeignetes leitfähiges Material umfassen. In einigen Ausführungsformen, bei welchen die Steuer-Gate-Elektrode 120 (siehe z.B. 13R) ein Metall mit einer Austrittsarbeit eines ersten Dotierungstyps (z.B. n-Typ oder p-Typ) ist oder umfasst, wird das Auswahl-Opfer-Gate 1320 aus dotiertem Polysilizium mit dem ersten Dotierungstyp oder irgendeinem anderen geeigneten Material mit einer Austrittsarbeit des ersten Dotierungstyps gebildet. In anderen Ausführungsformen, bei welchen die Steuer-Gate-Elektrode 120 (siehe z.B. 13R) ein Metall mit einer Austrittsarbeit eines ersten Dotierungstyps (z.B. n-Typ oder p-Typ) ist oder umfasst, wird das Auswahl-Opfer-Gate 1320 aus dotiertem Polysilizium mit einem zweiten Dotierungstyp oder irgendeinem anderen geeigneten Material mit einer Austrittsarbeit des zweiten Dotierungstyps gebildet, wobei der zweite Dotierungstyp dem ersten Dotierungstyp entgegengesetzt ist.Even though 4 to 7 . 8A . 8B . 9 to 12 . 13A to 13S and 14A to 14I the replacement of Pick Victims Gate 1320 (see eg 13N) by metal, it should be noted that the selection victim gate 1320 in other embodiments does not need to be replaced. In some such other embodiments, the selection victim gate becomes 1320 Made of a conductive material and remains in a finished integrated circuit. The conductive material may include, for example, n-type or p-type polysilicon, or any other suitable conductive material. In some embodiments, where the control gate electrode 120 (see eg 13R) a metal having a work function of a first doping type (eg, n-type or p-type) is or will become the selection sacrificial gate 1320 formed from doped polysilicon with the first doping type or any other suitable material having a work function of the first doping type. In other embodiments, where the control gate electrode 120 (see eg 13R) a metal having a work function of a first doping type (eg, n-type or p-type) is or will become the selection sacrificial gate 1320 formed from doped polysilicon with a second doping type or any other suitable material having a work function of the second doping type, wherein the second doping type is opposite to the first doping type.

Obwohl 4 bis 7, 8A, 8B, 9 bis 12, 13A bis 13S und 14A bis 14I die Ersetzung des Auswahl-Opfer-Gate 1320 (siehe z.B. 13N) und des Steuer-Opfer-Gate 1316 (siehe z.B. 13N) durch verschiedene Metalle veranschaulichen, können das Auswahl-Opfer-Gate 1320 und das Steuer-Opfer-Gate 1316 in anderen Ausführungsformen durch dasselbe Metall ersetzt werden. Wenn beispielsweise das Steuer-Opfer-Gate 1316 durch Metall mit einer Austrittsarbeit des n-Typs ersetzt wird, kann das Auswahl-Opfer-Gate 1320 ebenfalls durch das Metall mit einer Austrittsarbeit des n-Typs ersetzt werden. Wenn, als ein anderes Beispiel, das Steuer-Opfer-Gate 1316 durch Metall mit einer Austrittsarbeit des p-Typs ersetzt wird, kann das Auswahl-Opfer-Gate 1320 ebenfalls durch das Metall mit einer Austrittsarbeit des p-Typs ersetzt werden.Even though 4 to 7 . 8A . 8B . 9 to 12 . 13A to 13S and 14A to 14I the replacement of Pick Victims Gate 1320 (see eg 13N) and the Tax Victim Gate 1316 (see eg 13N) Through various metals, the Pick Victims Gate 1320 and the tax victim gate 1316 be replaced by the same metal in other embodiments. For example, if the tax victim gate 1316 is replaced by metal with an n-type work function, the selection sacrificial gate 1320 also be replaced by the metal with a work function of the n-type. If, as another example, the tax victim gate 1316 is replaced by metal with a p-type work function, the selection sacrificial gate 1320 also be replaced by the metal with a work function of the p-type.

Bezug nehmend auf 15, wird dort ein Ablaufplan einiger Ausführungsformen des Verfahrens der 4 bis 7, 8A, 8B, 9 bis 12, 13A bis 13S und 14A bis 14I bereitgestellt. Das Verfahren kann beispielsweise angewendet werden, um eine Speicherzelle mit einem großen Betriebsfenster und einer hohen Löschgeschwindigkeit zu bilden.Referring to 15 , there is a flow chart of some embodiments of the method of 4 to 7 . 8A . 8B . 9 to 12 . 13A to 13S and 14A to 14I provided. For example, the method may be used to form a memory cell having a large operating window and a high erasing speed.

Bei 1502 wird eine Isolationsstruktur gebildet, welche sich in ein Halbleitersubstrat erstreckt. Die Isolationsstruktur trennt eine Speicherzone, eine E/A-Zone, eine Kernzone und eine HV-Zone und grenzt diese voneinander ab. Siehe beispielsweise 4.at 1502 an insulating structure is formed, which extends into a semiconductor substrate. The isolation structure separates and separates a storage zone, an I / O zone, a core zone, and an HV zone. See for example 4 ,

Bei 1504 wird eine tiefe Wanne in der Speicherzone und der HV-Zone gebildet. Siehe beispielsweise 5.at 1504 A deep well is formed in the storage zone and the HV zone. See for example 5 ,

Bei 1506 werden eine Kern-Wanne, eine HV-Wanne, eine Auswahl-Gate-Wanne und eine E/A-Wanne gebildet, entsprechend in der Kernzone, der HV-Zone, der Speicherzone und der E/A-Zone. Siehe beispielsweise 6.at 1506 For example, a core well, an HV well, a select gate well, and an I / O well are formed, respectively, in the core zone, the HV zone, the storage zone, and the I / O zone. See for example 6 ,

Bei 1508 wird in der Speicherzone in Nachbarschaft zu der Auswahl-Gate-Wanne eine Steuer-Gate-Wanne gebildet. Siehe beispielsweise 7.at 1508 For example, a control gate well is formed in the memory zone adjacent to the select gate well. See for example 7 ,

Bei 1510 werden Gate-Stapel gebildet, entsprechend auf der Kern-Wanne, der HV-Wanne, der Steuer-Gate-Wanne, der Auswahl-Gate-Wanne und der E/A-Wanne. Jeder der Gate-Stapel umfasst eine Gate-Hartmaske, ein Opfer-Gate und eine Gate-Dielektrikumsschicht. Ein Steuer-Gate-Stapel der Gate-Stapel umfasst außerdem eine Ladungseinfangschicht. Siehe beispielsweise 8 bis 12 und 13A bis 13C oder 8 bis 12, 14A und 14B.at 1510 Gate piles are formed, corresponding to the core pan, the HV pan, the control gate pan, the selection gate pan, and the I / O pan. Each of the gate stacks includes a gate hardmask, a sacrificial gate, and a gate dielectric layer. A control gate stack of the gate stacks also includes a charge trapping layer. See for example 8th to 12 and 13A to 13C or 8th to 12 . 14A and 14B ,

Bei 1512 werden an Seitenwänden der Gate-Stapel Gate-Abstandhalter gebildet. Siehe beispielsweise 13D oder 14B.at 1512 Gate spacers are formed on sidewalls of the gate stack. See for example 13D or 14B ,

Bei 1514 werden in dem Halbleitersubstrat Source/Drain-Erweiterungen gebildet, welche entsprechend über der Kern-Wanne, der HV-Wanne, der Steuer-Gate-Wanne, der Auswahl-Gate-Wanne und der E/A-Wanne liegen. Siehe beispielsweise 13E bis 13G oder 14B.at 1514 are formed in the semiconductor substrate source / drain extensions, respectively over the core well, the HV well, the control gate well, the selection gate well and the I / O tray. See for example 13E to 13G or 14B ,

Bei 1516 werden an Seitenwänden der Gate-Abstandhalter zusätzliche Abstandhalter gebildet. Siehe beispielsweise 13H oder 14B.at 1516 additional spacers are formed on side walls of the gate spacers. See for example 13H or 14B ,

Bei 1518 werden in dem Halbleitersubstrat Source/Drains gebildet, welche entsprechend an die Source/Drain-Erweiterungen angrenzen. Siehe beispielsweise 13I oder 14B.at 1518 In the semiconductor substrate, source / drains are formed, which adjoin the source / drain extensions accordingly. See for example 13I or 14B ,

Bei 1520 werden auf den Source/Drains Silizid-Kontaktflecken gebildet. Siehe beispielsweise 13J oder 14C.at 1520 silicide pads are formed on the source / drains. See for example 13J or 14C ,

Bei 1522 werden die Gate-Hartmasken der Gate-Stapel entfernt. Siehe beispielsweise 13K und 13L oder 14D.at 1522 the gate hard masks of the gate stacks are removed. See for example 13K and 13L or 14D ,

Bei 1524 werden die Opfer-Gate-Elektroden durch Metall-Gate-Elektroden ersetzt. Eine Opfer-Gate-Elektrode auf der Steuer-Gate-Wanne wird durch eine Metall-Steuer-Gate-Elektrode ersetzt, welche eine Austrittsarbeit eines ersten Dotierungstyps aufweist, wobei der erste Dotierungstyp einem zweiten Dotierungstyp von Source/Drains auf der Steuer-Gate-Wanne entgegengesetzt ist. Siehe beispielsweise 13M bis 13R oder 14E bis 14H.at 1524 The sacrificial gate electrodes are replaced by metal gate electrodes. A sacrificial gate electrode on the control gate well is replaced by a metal control gate electrode having a work function of a first doping type, wherein the first doping type is a second doping type of source / drain on the control gate. Tub is opposite. See for example 13M to 13R or 14E to 14H ,

In Ausführungsformen, bei welchen die Speicherzelle eine n-Kanal-Speicherzelle ist, sind die Source/Drains auf der Steuer-Gate-Wanne solche des n-Typs und die Metall-Steuer-Gate-Elektrode weist eine Austrittsarbeit des p-Typs auf. Durch Verwendung von Metall mit einer Austrittsarbeit des p-Typs für die Metall-Steuer-Gate-Elektrode weist die Metall-Steuer-Gate-Elektrode eine hohe Austrittsarbeit auf. Die hohe Austrittsarbeit führt zu einer schwierigen Elektronen-Gate-Rückinjektion. Die schwierige Elektronen-Gate-Rückinjektion ermöglicht die Anwendung einer hohen Löschungsspannung, um eine hohe Löschgeschwindigkeit zu erreichen. Ferner führt die schwierige Elektronen-Gate-Rückinjektion zu einem niedrigen Löschungssättigungsniveau und einem großen Betriebsfenster. Das Betriebsfenster ist der Spannungsbereich von der Steuer-Gate-Schwellenspannung in einem programmierten Zustand bis zu der Steuer-Gate-Schwellenspannung in einem gelöschten Zustand und ein großes Betriebsfenster macht die Speicherzelle flexibler für Prozessschwankungen und Rauschen bei Leseoperationen. Außerdem ermöglicht das Metall der Steuer- und der Auswahl-Gate-Elektrode zusammen mit Steuer- und Auswahl-High-k-Gate-Dielektrika, dass die Speicherzelle für hochentwickelte Prozessknoten verkleinert werden kann, zum Beispiel für 28-nm-, 20-nm- und kleinere Prozessknoten.In embodiments in which the memory cell is an n-channel memory cell, the source / drains on the control gate well are those of the n-type and the metal control gate electrode has a p-type work function. By using metal with a p-type work function for the metal control gate electrode, the metal control gate electrode has a high work function. The high work function results in a difficult electron gate re-injection. The difficult electron gate back injection allows the application of a high erase voltage to achieve a high erase rate. Further, the difficult electron gate back injection results in a low erase saturation level and a large operating window. The operating window is the voltage range from the control gate threshold voltage in a programmed state to the control gate threshold voltage in an erased state, and a large operating window makes the memory cell more flexible for process variations and noise in read operations. Additionally, the metal of the control and select gate electrodes, along with control and select high-k gate dielectrics, allows the memory cell to be scaled down for advanced process nodes, for example, 28nm, 20nm - and smaller process nodes.

Bei 1526 wird auf den Metall-Gate-Elektroden eine Verbindungsstruktur gebildet. Siehe beispielsweise 13S oder 14I.at 1526 a connection structure is formed on the metal gate electrodes. See for example 13S or 14I ,

Obwohl der Ablaufplan 1500 der 15 hierin als eine Serie von Schritten oder Ereignissen veranschaulicht und beschrieben wird, versteht es sich, dass die dargestellte Reihenfolge solcher Schritte oder Ereignisse nicht beschränkend auszulegen ist. Beispielsweise können einige Schritte in anderen Reihenfolgen und/oder gleichzeitig mit anderen Schritten oder Ereignissen als jenen hierin veranschaulichten und/oder beschriebenen erfolgen. Ferner müssen nicht alle veranschaulichten Schritte erforderlich sein, um eine oder mehrere Erscheinungsformen oder Ausführungsformen der Beschreibung hierin zu realisieren, und ein oder mehrere der Schritte, die hierin abgebildet sind, können in einem oder mehreren separaten Schritten und/oder Phasen ausgeführt werden.Although the schedule 1500 of the 15 is illustrated and described herein as a series of acts or events, it is to be understood that the illustrated order of such acts or events is not to be construed as limiting. For example, some steps may be in other orders and / or concurrent with steps or events other than those illustrated and / or described herein. Further, not all illustrated steps may be required to implement one or more aspects or embodiments of the description herein, and one or more of the steps depicted herein may be performed in one or more separate steps and / or phases.

In einigen Ausführungsformen stellt die vorliegende Anmeldung eine IC bereit, umfassend: ein Halbleitersubstrat und eine Speicherzelle auf dem Halbleitersubstrat, wobei die Speicherzelle eine Steuer-Gate-Elektrode, eine Auswahl-Gate-Elektrode, eine Ladungseinfangschicht und eine gemeinsame Source/Drain umfasst, wobei sich die gemeinsame Source/Drain in dem Halbleitersubstrat befindet und einen ersten Dotierungstyp aufweist, wobei die Steuer-Gate-Elektrode und die Auswahl-Gate-Elektrode über dem Halbleitersubstrat liegen und sich entsprechend auf gegenüberliegenden Seiten der gemeinsamen Source/Drain befinden, wobei die Steuer-Gate-Elektrode über der Ladungseinfangschicht liegt und ein Metall mit einer Austrittsarbeit eines zweiten Dotierungstyps umfasst und wobei der zweite Dotierungstyp dem ersten Dotierungstyp entgegengesetzt ist. In einigen Ausführungsformen ist der erste Dotierungstyp der n-Typ und der zweite Dotierungstyp ist der p-Typ. In einigen Ausführungsformen ist der erste Dotierungstyp der p-Typ und der zweite Dotierungstyp ist der n-Typ. In einigen Ausführungsformen übersteigt die Austrittsarbeit des Metalls etwa 5,0 Elektronenvolt. In einigen Ausführungsformen umfasst das Metall Ruthenium, Palladium, Platin, Kobalt, Nickel, Titanaluminiumnitrid, Wolframcarbonitrid oder eine beliebige Kombination der Vorstehenden. In einigen Ausführungsformen umfasst die Auswahl-Gate-Elektrode ein zweites Metall mit einer Austrittsarbeit des ersten Dotierungstyps. In einigen Ausführungsformen umfasst das zweite Metall Hafnium, Zirkonium, Titan, Tantal, Aluminium oder eine beliebige Kombination der Vorstehenden. In einigen Ausführungsformen umfasst die Speicherzelle ferner eine Steuer-Gate-Dielektrikumsschicht, welche eine Unterseite einer Steuer-Gate-Elektrode umhüllt, wobei die Steuer-Gate-Dielektrikumsschicht über der Ladungseinfangschicht liegt und wobei die Steuer-Gate-Dielektrikumsschicht Seitenwände der Steuer-Gate-Elektrode und eine untere Fläche der Steuer-Gate-Elektrode auskleidet. In einigen Ausführungsformen umfasst die Auswahl-Gate-Elektrode Polysilizium. In einigen Ausführungsformen umfasst die Speicherzelle ferner eine High-k-Steuer-Gate-Dielektrikumsschicht und eine High-k-Auswahl-Gate-Dielektrikumsschicht, wobei der erste Dotierungstyp der n-Typ ist, wobei der zweite Dotierungstyp der p-Typ ist, wobei die High-k-Steuer-Gate-Dielektrikumsschicht unter der Steuer-Gate-Elektrode liegt, zwischen der Ladungseinfangschicht und der Steuer-Gate-Elektrode, wobei die High-k-Auswahl-Gate-Dielektrikumsschicht unter der Auswahl-Gate-Elektrode liegt und wobei die Auswahl-Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten Dotierungstyps umfasst.In some embodiments, the present application provides an IC comprising: a semiconductor substrate and a memory cell on the semiconductor substrate, wherein the memory cell comprises a control gate electrode, a select gate electrode, a charge trap layer, and a common source / drain, wherein the common source / drain is in the semiconductor substrate and has a first doping type, wherein the control gate electrode and the selection gate electrode are over the semiconductor substrate and are respectively on opposite sides of the common source / drain, the control Gate electrode overlying the charge trapping layer and comprising a metal having a work function of a second doping type and wherein the second doping type is opposite to the first doping type. In some embodiments, the first doping type is the n-type and the second doping type is the p-type. In some embodiments, the first doping type is the p-type and the second doping type is the n-type. In some embodiments, the work function of the metal exceeds about 5.0 electron volts. In some embodiments, the metal comprises ruthenium, palladium, platinum, cobalt, nickel, titanium aluminum nitride, tungsten carbonitride or any combination of the foregoing. In some embodiments, the select gate electrode comprises a second metal having a work function of the first doping type. In some embodiments, the second metal comprises hafnium, zirconium, titanium, tantalum, aluminum, or any combination of the foregoing. In some embodiments, the memory cell further includes a control gate dielectric layer overlying a bottom surface of a control gate electrode, the control gate dielectric layer overlying the charge trapping layer, and wherein the control gate dielectric layer Side walls of the control gate electrode and a lower surface of the control gate electrode lines. In some embodiments, the select gate electrode comprises polysilicon. In some embodiments, the memory cell further includes a high-k control gate dielectric layer and a high-k select gate dielectric layer, the first doping type being n-type, the second doping type being p-type, wherein the high-k control gate dielectric layer is under the control gate electrode, between the charge trapping layer and the control gate electrode, with the high-k select gate dielectric layer under the select gate electrode and wherein the selection gate electrode comprises a metal having a work function of the first doping type.

In einigen Ausführungsformen stellt die vorliegende Anmeldung ein Verfahren zur Herstellung einer IC bereit, wobei das Verfahre umfasst: Bilden einer Ladungseinfangschicht auf einem Halbleitersubstrat; Bilden einer Opfer-Gate-Schicht, welche die Ladungseinfangschicht bedeckt; Strukturieren der Opfer-Gate-Schicht, um ein Steuer-Opfer-Gate zu bilden, welches über der Ladungseinfangschicht liegt, und um außerdem ein Auswahl-Opfer-Gate zu bilden, welches in Nachbarschaft zu der Ladungseinfangschicht und dem Steuer-Opfer-Gate liegt; Bilden einer gemeinsamen Source/Drain in dem Halbleitersubstrat, zwischen dem Steuer- und dem Auswahl-Opfer-Gate, wobei die gemeinsame Source/Drain einen ersten Dotierungstyp aufweist; und Ersetzen des Steuer-Opfer-Gate durch eine Steuer-Gate-Elektrode, wobei die Steuer-Gate-Elektrode ein Metall mit einer Austrittsarbeit eines zweiten Dotierungstyps umfasst und wobei der zweite Dotierungstyp dem ersten Dotierungstyp entgegengesetzt ist. In einigen Ausführungsformen ist der erste Dotierungstyp der n-Typ, wobei der zweite Dotierungstyp der p-Typ ist. In einigen Ausführungsformen umfasst das Verfahren ferner: Abscheiden einer High-k-Dielektrikumsschicht, welche die Ladungseinfangschicht bedeckt, wobei die Opfer-Gate-Schicht über der High-k-Dielektrikumsschicht gebildet wird; und Strukturieren der High-k-Dielektrikumsschicht, um eine High-k-Steuer-Gate-Dielektrikumsschicht zu bilden, welche unter dem Steuer-Opfer-Gate liegt, und um ferner eine High-k-Auswahl-Gate-Dielektrikumsschicht zu bilden, welche unter dem Auswahl-Opfer-Gate liegt. In einigen Ausführungsformen wird beim Ersetzen das Steuer-Opfer-Gate durch die Steuer-Gate-Elektrode und eine High-k-Steuer-Gate-Dielektrikumsschicht ersetzt, wobei die High-k-Steuer-Gate-Dielektrikumsschicht eine Unterseite der Steuer-Gate-Elektrode umhüllt. In einigen Ausführungsformen umfasst das Verfahren ferner Ersetzen des Auswahl-Opfer-Gate durch eine Auswahl-Gate-Elektrode, wobei die Auswahl-Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten Dotierungstyps umfasst, wobei die Austrittsarbeit des ersten Dotierungstyps etwa 3,5 bis 4,4 Elektronenvolt beträgt und wobei die Austrittsarbeit des zweiten Dotierungstyps etwa 4,8 bis 5,2 Elektronenvolt beträgt. In einigen Ausführungsformen umfasst das Halbleitersubstrat eine Speicher-Halbleiterzone und eine periphere Halbleiterzone, wobei das Steuer- und das Auswahl-Opfer-Gate auf der Speicher-Halbleiterzone gebildet werden und wobei das Verfahren ferner umfasst: Bilden einer Gate-Dielektrikumsschicht, welche die Speicher-Halbleiterzone und die periphere Halbleiterzone bedeckt; Entfernen der Gate-Dielektrikumsschicht von der peripheren Halbleiterzone, aber nicht von der Speicher-Halbleiterzone, wobei die Opfer-Gate-Schicht nach dem Entfernen gebildet wird und so gebildet wird, dass sie die periphere und die Speicher-Halbleiterzone über der Gate-Dielektrikumsschicht bedeckt, und wobei bei der Strukturierung ferner ein Opfer-Gate auf der peripheren Halbleiterzone gebildet wird; und Ersetzen des Opfer-Gate durch eine Gate-Elektrode, wobei die Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten oder zweiten Dotierungstyps aufweist. In einigen Ausführungsformen umfasst das Halbleitersubstrat eine Speicher-Halbleiterzone und eine periphere Halbleiterzone, wobei das Steuer- und das Auswahl-Opfer-Gate auf der Speicher-Halbleiterzone gebildet werden und wobei das Verfahren ferner umfasst: Bilden einer Gate-Dielektrikumsschicht, welche die Speicher-Halbleiterzone und die periphere Halbleiterzone bedeckt, wobei die Opfer-Gate-Schicht so gebildet wird, dass sie die periphere und die Speicher-Halbleiterzone über der Gate-Dielektrikumsschicht bedeckt, und wobei bei der Strukturierung ferner ein Opfer-Gate auf der peripheren Halbleiterzone gebildet wird; und Ersetzen des Opfer-Gate durch eine Gate-Elektrode, wobei die Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten oder zweiten Dotierungstyps umfasst und wobei bei dem Ersetzen die Gate-Dielektrikumsschicht von der peripheren Halbleiterzone entfernt wird.In some embodiments, the present application provides a method of making an IC, the method comprising: forming a charge trapping layer on a semiconductor substrate; Forming a sacrificial gate layer covering the charge trapping layer; Patterning the sacrificial gate layer to form a control sacrificial gate overlying the charge trapping layer and also to form a select victim gate that is adjacent to the charge trapping layer and the sacrificial control gate ; Forming a common source / drain in the semiconductor substrate, between the control and select victim gates, the common source / drain having a first doping type; and replacing the control sacrificial gate by a control gate electrode, wherein the control gate electrode comprises a metal having a work function of a second doping type and wherein the second doping type is opposite to the first doping type. In some embodiments, the first doping type is n-type, with the second doping type being p-type. In some embodiments, the method further comprises: depositing a high-k dielectric layer covering the charge-trapping layer, wherein the sacrificial gate layer is formed over the high-k dielectric layer; and patterning the high-k dielectric layer to form a high-k control gate dielectric layer underlying the sacrificial control gate and further to form a high-k select gate dielectric layer lies under the Pick Victims Gate. In some embodiments, when replaced, the control sacrificial gate is replaced by the control gate electrode and a high-k control gate dielectric layer, where the high-k control gate dielectric layer is a bottom surface of the control gate. Electrode wrapped. In some embodiments, the method further comprises replacing the select victim gate with a select gate electrode, wherein the select gate electrode comprises a metal having a work function of the first doping type, wherein the work function of the first doping type is about 3.5 to 4.4 electron volts and wherein the work function of the second doping type is about 4.8 to 5.2 electron volts. In some embodiments, the semiconductor substrate includes a memory semiconductor zone and a peripheral semiconductor zone, wherein the control and select victim gates are formed on the memory semiconductor zone, and wherein the method further comprises: forming a gate dielectric layer that supports the memory Semiconductor zone and the peripheral semiconductor zone covered; Removing the gate dielectric layer from the peripheral semiconductor zone but not from the memory semiconductor zone, wherein the sacrificial gate layer is formed after removal and is formed to cover the peripheral and memory semiconductor regions over the gate dielectric layer and wherein structuring further forms a sacrificial gate on the peripheral semiconductor region; and replacing the sacrificial gate with a gate electrode, wherein the gate electrode comprises a metal having a work function of the first or second doping type. In some embodiments, the semiconductor substrate includes a memory semiconductor zone and a peripheral semiconductor zone, wherein the control and select victim gates are formed on the memory semiconductor zone, and wherein the method further comprises: forming a gate dielectric layer that supports the memory Covering the semiconductor zone and the peripheral semiconductor zone, wherein the sacrificial gate layer is formed so that it covers the peripheral and the memory semiconductor region over the gate dielectric layer, and wherein in structuring further a sacrificial gate on the peripheral semiconductor zone is formed ; and replacing the sacrificial gate with a gate electrode, wherein the gate electrode comprises a metal having a work function of the first or second doping type, and wherein when replaced, the gate dielectric layer is removed from the peripheral semiconductor zone.

In einigen Ausführungsformen stellt die vorliegende Anmeldung eine andere IC bereit, umfassend: ein Halbleitersubstrat und eine Speicherzelle, welche ein Paar Source/Drain-Zonen umfasst, in dem Halbleitersubstrat, und ferner umfassend eine Steuer-Gate-Elektrode, eine Datenspeicherungsschicht und eine Steuer-Gate-Dielektrikumsschicht, gestapelt über dem Halbleitersubstrat und zwischen den Source/Drain-Zonen, wobei die Source/Drain-Zonen einen ersten Dotierungstyp aufweisen, wobei sich die Steuer-Gate-Dielektrikumsschicht zwischen der Datenspeicherungsschicht und der Steuer-Gate-Elektrode befindet, wobei die Steuer-Gate-Elektrode gegenüberliegende Seitenwände aufweist, die von der Steuer-Gate-Dielektrikumsschicht ausgekleidet sind, wobei die Steuer-Gate-Elektrode ein Metall mit einer Austrittsarbeit eines zweiten Dotierungstyps umfasst und wobei der zweite Dotierungstyp dem ersten Dotierungstyp entgegengesetzt ist. In einigen Ausführungsformen ist der erste Dotierungstyp der n-Typ, wobei die Austrittsarbeit des Metalls etwa 5,0 Elektronenvolt übersteigt. In einigen Ausfiihrungsformen umfasst das Halbleitersubstrat eine periphere Halbleiterzone und eine Speicher-Halbleiterzone, wobei sich die Speicherzelle auf der Speicher-Halbleiterzone befindet, und wobei die IC ferner eine Halbleitervorrichtung auf der peripheren Halbleiterzone umfasst, wobei die Halbleitervorrichtung eine Metall-Gate-Elektrode und eine Gate-Dielektrikumsschicht umfasst und wobei die Metall-Gate-Elektrode über der Gate-Dielektrikumsschicht liegt.In some embodiments, the present application provides another integrated circuit including: a semiconductor substrate and a memory cell including a pair of source / drain regions in the semiconductor substrate, and further comprising a control gate electrode, a data storage layer, and a control circuit. A gate dielectric layer stacked over the semiconductor substrate and between the source / drain regions, the source / drain regions having a first doping type, the control gate dielectric layer being between the data storage layer and the control gate electrode the control gate electrode having opposite sidewalls lined by the control gate dielectric layer, the control gate electrode comprising a metal having a work function of a second doping type and wherein the second doping type is opposite to the first doping type. In some embodiments, the first one is The n-type doping type wherein the work function of the metal exceeds about 5.0 electron volts. In some embodiments, the semiconductor substrate comprises a peripheral semiconductor zone and a memory semiconductor zone, wherein the memory cell is located on the memory semiconductor zone, and wherein the IC further comprises a semiconductor device on the peripheral semiconductor zone, wherein the semiconductor device comprises a metal gate electrode and a Gate dielectric layer and wherein the metal gate electrode overlying the gate dielectric layer.

Im Vorstehenden werden Merkmale verschiedener Ausführungsformen so umrissen, dass der Fachmann die Erscheinungsformen der vorliegenden Offenbarung besser verstehen kann. Der Fachmann erkennt, dass er die vorliegende Offenbarung einfach als eine Basis zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erfüllen derselben Zwecke und/oder Erhalten derselben Vorteile wie bei den hier vorgestellten Ausführungsformen nutzen kann. Der Fachmann realisiert auch, dass solche äquivalenten Konstruktionen nicht von der Idee und vom Umfang der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Abwandlungen hieran vornehmen kann, ohne von der Idee und vom Umfang der vorliegenden Offenbarung abzuweichen.In the foregoing, features of various embodiments are outlined so that those skilled in the art can better understand the aspects of the present disclosure. One skilled in the art will recognize that he may readily use the present disclosure as a basis for designing or modifying other methods and structures to accomplish the same purposes and / or obtain the same advantages as the embodiments presented herein. Those skilled in the art also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that it can make various changes, substitutions, and alterations thereto without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 62552149 [0001]US 62552149 [0001]

Claims (20)

Integrierte Schaltung (IC), umfassend: ein Halbleitersubstrat; und eine Speicherzelle auf dem Halbleitersubstrat; wobei die Speicherzelle eine Steuer-Gate-Elektrode, eine Auswahl-Gate-Elektrode, eine Ladungseinfangschicht und eine gemeinsame Source/Drain umfasst, wobei sich die gemeinsame Source/Drain in dem Halbleitersubstrat befindet und einen ersten Dotierungstyp aufweist, wobei die Steuer-Gate-Elektrode und die Auswahl-Gate-Elektrode über dem Halbleitersubstrat liegen und sich entsprechend auf gegenüberliegenden Seiten der gemeinsamen Source/Drain befinden, wobei die Steuer-Gate-Elektrode über der Ladungseinfangschicht liegt und ein Metall mit einer Austrittsarbeit eines zweiten Dotierungstyps umfasst, und wobei der zweite Dotierungstyp dem ersten Dotierungstyp entgegengesetzt ist.Integrated circuit (IC), comprising: a semiconductor substrate; and a memory cell on the semiconductor substrate; wherein the memory cell comprises a control gate electrode, a selection gate electrode, a charge trapping layer and a common source / drain, wherein the common source / drain is located in the semiconductor substrate and has a first doping type, the control gate electrode and the select gate electrode overlying the semiconductor substrate and being respectively on opposite sides of the common source / drain, wherein the control gate electrode overlies the charge trapping layer and comprises a metal having a work function of a second doping type, and wherein the second doping type is opposite to the first doping type. IC nach Anspruch 1, wobei der erste Dotierungstyp der n-Typ ist und der zweite Dotierungstyp der p-Typ ist.IC after Claim 1 wherein the first doping type is n-type and the second doping type is p-type. IC nach Anspruch 1, wobei der erste Dotierungstyp der p-Typ ist und der zweite Dotierungstyp der n-Typ ist.IC after Claim 1 wherein the first doping type is the p-type and the second doping type is the n-type. IC nach einem der vorhergehenden Ansprüche, wobei die Austrittsarbeit des Metalls etwa 5,0 Elektronenvolt übersteigt.The IC of any one of the preceding claims, wherein the work function of the metal exceeds about 5.0 electron volts. IC nach einem der vorhergehenden Ansprüche, wobei das Metall Ruthenium, Palladium, Platin, Kobalt, Nickel, Titanaluminiumnitrid, Wolframcarbonitrid oder eine beliebige Kombination der Vorstehenden umfasst.An IC according to any one of the preceding claims wherein the metal comprises ruthenium, palladium, platinum, cobalt, nickel, titanium aluminum nitride, tungsten carbonitride or any combination of the foregoing. IC nach einem der vorhergehenden Ansprüche, wobei die Auswahl-Gate-Elektrode ein zweites Metall mit einer Austrittsarbeit des ersten Dotierungstyps umfasst.An IC according to any one of the preceding claims, wherein the selection gate electrode comprises a second metal having a work function of the first doping type. IC nach Anspruch 6, wobei das zweite Metall Hafnium, Zirkonium, Titan, Tantal, Aluminium oder eine beliebige Kombination der Vorstehenden umfasst.IC after Claim 6 wherein the second metal comprises hafnium, zirconium, titanium, tantalum, aluminum or any combination of the foregoing. IC nach einem der vorhergehenden Ansprüche, wobei die Speicherzelle ferner umfasst: eine Steuer-Gate-Dielektrikumsschicht, welche eine Unterseite einer Steuer-Gate-Elektrode umhüllt, wobei die Steuer-Gate-Dielektrikumsschicht über der Ladungseinfangschicht liegt und wobei die Steuer-Gate-Dielektrikumsschicht Seitenwände der Steuer-Gate-Elektrode und eine untere Fläche der Steuer-Gate-Elektrode auskleidet.The IC of any one of the preceding claims, wherein the memory cell further comprises: a control gate dielectric layer overlying a bottom surface of a control gate electrode, the control gate dielectric layer overlying the charge trapping layer, and wherein the control gate dielectric layer includes sidewalls of the control gate electrode and a bottom surface of the control gate -Gate electrode lines. IC nach einem der vorhergehenden Ansprüche, wobei die Auswahl-Gate-Elektrode Polysilizium umfasst.An IC according to any one of the preceding claims, wherein the selection gate electrode comprises polysilicon. IC nach einem der vorhergehenden Ansprüche, wobei die Speicherzelle ferner eine High-k-Steuer-Gate-Dielektrikumsschicht und eine High-k-Auswahl-Gate-Dielektrikumsschicht umfasst, wobei der erste Dotierungstyp der n-Typ ist, wobei der zweite Dotierungstyp der p-Typ ist, wobei die High-k-Steuer-Gate-Dielektrikumsschicht unter der Steuer-Gate-Elektrode liegt, zwischen der Ladungseinfangschicht und der Steuer-Gate-Elektrode, wobei die High-k-Auswahl-Gate-Dielektrikumsschicht unter der Auswahl-Gate-Elektrode liegt und wobei die Auswahl-Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten Dotierungstyps umfasst.The integrated circuit of claim 1, wherein the memory cell further comprises a high-k control gate dielectric layer and a high-k select gate dielectric layer, wherein the first doping type is n-type, wherein the second doping type is p-type Type, with the high-k control gate dielectric layer under the control gate electrode, between the charge-trapping layer and the control gate electrode, the high-k select gate dielectric layer being under the selection gate. Gate electrode and wherein the selection gate electrode comprises a metal having a work function of the first doping type. Verfahren zur Herstellung einer integrierten Schaltung (IC), wobei das Verfahren umfasst: Bilden einer Ladungseinfangschicht auf einem Halbleitersubstrat; Bilden einer Opfer-Gate-Schicht, welche die Ladungseinfangschicht bedeckt; Strukturieren der Opfer-Gate-Schicht, um ein Steuer-Opfer-Gate zu bilden, welches über der Ladungseinfangschicht liegt, und um außerdem ein Auswahl-Opfer-Gate zu bilden, welches in Nachbarschaft zu der Ladungseinfangschicht und dem Steuer-Opfer-Gate liegt; Bilden einer gemeinsamen Source/Drain in dem Halbleitersubstrat, zwischen dem Steuer- und dem Auswahl-Opfer-Gate, wobei die gemeinsame Source/Drain einen ersten Dotierungstyp aufweist; und Ersetzen des Steuer-Opfer-Gate durch eine Steuer-Gate-Elektrode, wobei die Steuer-Gate-Elektrode ein Metall mit einer Austrittsarbeit eines zweiten Dotierungstyps umfasst und wobei der zweite Dotierungstyp dem ersten Dotierungstyp entgegengesetzt ist.A method of manufacturing an integrated circuit (IC), the method comprising: Forming a charge trapping layer on a semiconductor substrate; Forming a sacrificial gate layer covering the charge trapping layer; Patterning the sacrificial gate layer to form a control sacrificial gate overlying the charge trapping layer and also to form a select victim gate that is adjacent to the charge trapping layer and the sacrificial control gate ; Forming a common source / drain in the semiconductor substrate, between the control and select victim gates, the common source / drain having a first doping type; and Replacing the control sacrificial gate by a control gate electrode, wherein the control gate electrode comprises a metal having a work function of a second doping type and wherein the second doping type is opposite to the first doping type. Verfahren nach Anspruch 11, wobei der erste Dotierungstyp der n-Typ ist und wobei der zweite Dotierungstyp der p-Typ ist.Method according to Claim 11 wherein the first doping type is the n-type and wherein the second doping type is the p-type. Verfahren nach Anspruch 11 oder 12, ferner umfassend: Abscheiden einer High-k-Dielektrikumsschicht, welche die Ladungseinfangschicht bedeckt, wobei die Opfer-Gate-Schicht über der High-k-Dielektrikumsschicht gebildet wird; und Strukturieren der High-k-Dielektrikumsschicht, um eine High-k-Steuer-Gate-Dielektrikumsschicht zu bilden, welche unter dem Steuer-Opfer-Gate liegt, und um ferner eine High-k-Auswahl-Gate-Dielektrikumsschicht zu bilden, welche unter dem Auswahl-Opfer-Gate liegt.Method according to Claim 11 or 12 further comprising: depositing a high-k dielectric layer covering the charge-trapping layer, wherein the sacrificial gate layer is formed over the high-k dielectric layer; and patterning the high-k dielectric layer to form a high-k control gate dielectric layer underlying the sacrificial control gate and further to form a high-k select gate dielectric layer lies under the Pick Victims Gate. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 13, wobei beim Ersetzen das Steuer-Opfer-Gate durch die Steuer-Gate-Elektrode und eine High-k-Steuer-Gate-Dielektrikumsschicht ersetzt wird, wobei die High-k-Steuer-Gate-Dielektrikumsschicht eine Unterseite der Steuer-Gate-Elektrode umhüllt.Method according to one of the preceding Claims 11 to 13 wherein, at replacement, the control sacrificial gate passes through the control gate and a high-k control gate dielectric layer is replaced, wherein the high-k control gate dielectric layer envelops a bottom surface of the control gate electrode. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 14, ferner umfassend: Ersetzen des Auswahl-Opfer-Gate durch eine Auswahl-Gate-Elektrode, wobei die Auswahl-Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten Dotierungstyps umfasst, wobei die Austrittsarbeit des ersten Dotierungstyps etwa 3,5 bis 4,4 Elektronenvolt beträgt und wobei die Austrittsarbeit des zweiten Dotierungstyps etwa 4,8 bis 5,2 Elektronenvolt beträgt.Method according to one of the preceding Claims 11 to 14 , further comprising: replacing the select victim gate by a select gate electrode, wherein the select gate electrode comprises a metal having a work function of the first doping type, wherein the work function of the first doping type is about 3.5 to 4.4 Electron volts and wherein the work function of the second doping type is about 4.8 to 5.2 electron volts. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 15, wobei das Halbleitersubstrat eine Speicher-Halbleiterzone und eine periphere Halbleiterzone umfasst, wobei das Steuer- und das Auswahl-Opfer-Gate auf der Speicher-Halbleiterzone gebildet werden, wobei das Verfahren ferner umfasst: Bilden einer Gate-Dielektrikumsschicht, welche die Speicher-Halbleiterzone und die periphere Halbleiterzone bedeckt; Entfernen der Gate-Dielektrikumsschicht von der peripheren Halbleiterzone, aber nicht von der Speicher-Halbleiterzone, wobei die Opfer-Gate-Schicht nach dem Entfernen gebildet wird und so gebildet wird, dass sie die periphere und die Speicher-Halbleiterzone über der Gate-Dielektrikumsschicht bedeckt, und wobei bei der Strukturierung ferner ein Opfer-Gate auf der peripheren Halbleiterzone gebildet wird; und Ersetzen des Opfer-Gate durch eine Gate-Elektrode, wobei die Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten oder zweiten Dotierungstyps aufweist.Method according to one of the preceding Claims 11 to 15 wherein the semiconductor substrate comprises a memory semiconductor zone and a peripheral semiconductor zone, wherein the control and selection sacrificial gates are formed on the memory semiconductor zone, the method further comprising: forming a gate dielectric layer comprising the memory semiconductor zone and covering the peripheral semiconductor zone; Removing the gate dielectric layer from the peripheral semiconductor zone but not from the memory semiconductor zone, wherein the sacrificial gate layer is formed after removal and is formed to cover the peripheral and memory semiconductor regions over the gate dielectric layer and wherein structuring further forms a sacrificial gate on the peripheral semiconductor region; and replacing the sacrificial gate with a gate electrode, wherein the gate electrode comprises a metal having a work function of the first or second doping type. Verfahren nach einem der vorhergehenden Ansprüche 11 bis 16, wobei das Halbleitersubstrat eine Speicher-Halbleiterzone und eine periphere Halbleiterzone umfasst, wobei das Steuer- und das Auswahl-Opfer-Gate auf der Speicher-Halbleiterzone gebildet werden, wobei das Verfahren ferner umfasst: Bilden einer Gate-Dielektrikumsschicht, welche die Speicher-Halbleiterzone und die periphere Halbleiterzone bedeckt, wobei die Opfer-Gate-Schicht so gebildet wird, dass sie die periphere und die Speicher-Halbleiterzone über der Gate-Dielektrikumsschicht bedeckt, und wobei bei der Strukturierung ferner ein Opfer-Gate auf der peripheren Halbleiterzone gebildet wird; und Ersetzen des Opfer-Gate durch eine Gate-Elektrode, wobei die Gate-Elektrode ein Metall mit einer Austrittsarbeit des ersten oder zweiten Dotierungstyps umfasst und wobei bei dem Ersetzen die Gate-Dielektrikumsschicht von der peripheren Halbleiterzone entfernt wird.Method according to one of the preceding Claims 11 to 16 wherein the semiconductor substrate comprises a memory semiconductor zone and a peripheral semiconductor zone, wherein the control and selection sacrificial gates are formed on the memory semiconductor zone, the method further comprising: forming a gate dielectric layer comprising the memory semiconductor zone and covering the peripheral semiconductor region, wherein the sacrificial gate layer is formed to cover the peripheral and memory semiconductor regions over the gate dielectric layer, and wherein structuring further forms a sacrificial gate on the peripheral semiconductor region; and replacing the sacrificial gate with a gate electrode, wherein the gate electrode comprises a metal having a work function of the first or second doping type, and wherein when replaced, the gate dielectric layer is removed from the peripheral semiconductor zone. Integrierte Schaltung (IC), umfassend: ein Halbleitersubstrat; und eine Speicherzelle, welche ein Paar Source/Drain-Zonen umfasst, in dem Halbleitersubstrat, und ferner umfassend eine Steuer-Gate-Elektrode, eine Datenspeicherungsschicht und eine Steuer-Gate-Dielektrikumsschicht, gestapelt über dem Halbleitersubstrat und zwischen den Source/Drain-Zonen; wobei die Source/Drain-Zonen einen ersten Dotierungstyp aufweisen, wobei sich die Steuer-Gate-Dielektrikumsschicht zwischen der Datenspeicherungsschicht und der Steuer-Gate-Elektrode befindet, wobei die Steuer-Gate-Elektrode gegenüberliegende Seitenwände aufweist, die von der Steuer-Gate-Dielektrikumsschicht ausgekleidet sind, wobei die Steuer-Gate-Elektrode ein Metall mit einer Austrittsarbeit eines zweiten Dotierungstyps umfasst, und wobei der zweite Dotierungstyp dem ersten Dotierungstyp entgegengesetzt ist.Integrated circuit (IC), comprising: a semiconductor substrate; and a memory cell including a pair of source / drain regions in the semiconductor substrate, and further comprising a control gate electrode, a data storage layer, and a control gate dielectric layer stacked over the semiconductor substrate and between the source / drain regions; wherein the source / drain zones have a first doping type, wherein the control gate dielectric layer is between the data storage layer and the control gate electrode, wherein the control gate electrode has opposite sidewalls lined by the control gate dielectric layer, wherein the control gate electrode comprises a metal having a work function of a second doping type, and wherein the second doping type is opposite to the first doping type. IC nach Anspruch 18, wobei der erste Dotierungstyp der n-Typ ist, wobei die Austrittsarbeit des Metalls etwa 5,0 Elektronenvolt übersteigt.IC after Claim 18 wherein the first doping type is n-type, wherein the work function of the metal exceeds about 5.0 electron volts. IC nach Anspruch 18 oder 19, wobei das Halbleitersubstrat eine periphere Halbleiterzone und eine Speicher-Halbleiterzone umfasst, wobei sich die Speicherzelle auf der Speicher-Halbleiterzone befindet, und wobei die IC ferner umfasst: eine Halbleitervorrichtung auf der peripheren Halbleiterzone, wobei die Halbleitervorrichtung eine Metall-Gate-Elektrode und eine Gate-Dielektrikumsschicht umfasst, wobei die Metall-Gate-Elektrode über der Gate-Dielektrikumsschicht liegt.IC after Claim 18 or 19 wherein the semiconductor substrate comprises a peripheral semiconductor zone and a memory semiconductor zone, wherein the memory cell is located on the memory semiconductor zone, and wherein the IC further comprises: a semiconductor device on the peripheral semiconductor zone, wherein the semiconductor device comprises a metal gate electrode and a semiconductor device Gate dielectric layer, wherein the metal gate electrode overlying the gate dielectric layer.
DE102018108894.9A 2017-08-30 2018-04-15 High-k Metal Gate (HKMG) method for forming a memory cell with a large operating window Pending DE102018108894A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552149P 2017-08-30 2017-08-30
US62/552,149 2017-08-30
US15/935,277 2018-03-26
US15/935,277 US10672783B2 (en) 2017-08-30 2018-03-26 Integrated circuit and method for manufacturing the same

Publications (1)

Publication Number Publication Date
DE102018108894A1 true DE102018108894A1 (en) 2019-02-28

Family

ID=65321542

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018108894.9A Pending DE102018108894A1 (en) 2017-08-30 2018-04-15 High-k Metal Gate (HKMG) method for forming a memory cell with a large operating window

Country Status (1)

Country Link
DE (1) DE102018108894A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020101247A1 (en) * 2020-01-15 2021-07-15 Taiwan Semiconductor Manufacturing Co. Ltd. DEEP DITCH INSULATION STRUCTURE AND METHOD FOR MANUFACTURING IT

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020101247A1 (en) * 2020-01-15 2021-07-15 Taiwan Semiconductor Manufacturing Co. Ltd. DEEP DITCH INSULATION STRUCTURE AND METHOD FOR MANUFACTURING IT
DE102020101247B4 (en) 2020-01-15 2021-09-02 Taiwan Semiconductor Manufacturing Co. Ltd. DEEP DITCH INSULATION STRUCTURE AND METHOD FOR MANUFACTURING IT
DE102020008064A1 (en) 2020-01-15 2021-12-09 Taiwan Semiconductor Manufacturing Co. Ltd. DEEP DITCH INSULATION STRUCTURE AND METHOD FOR MANUFACTURING IT
DE102020008064B4 (en) 2020-01-15 2022-03-17 Taiwan Semiconductor Manufacturing Co. Ltd. DEEP TRENCH ISOLATION STRUCTURE AND METHOD OF PRODUCTION

Similar Documents

Publication Publication Date Title
US11424261B2 (en) Integrated circuit with different memory gate work functions
DE112014004790B4 (en) 3D NAND memory cell, NAND memory structure and method for producing a 3D NAND memory structure
DE102005012112B4 (en) A method for fabricating charge-trapping semiconductor memory devices and charge-trapping semiconductor memory device
DE102012108406A1 (en) Semiconductor devices and methods of making the same
DE102017116221B4 (en) A method of manufacturing a semiconductor device having a non-volatile memory arranged in a memory cell area and a field effect transistor arranged in a logic circuit area, and a semiconductor device having a non-volatile memory
DE102015213529A1 (en) Device with a floating gate electrode and a layer of ferroelectric material and method for their preparation
DE102018206372B4 (en) Device with memory area and poly-insulator-poly capacitor
DE102020118388A1 (en) FERROELECTRIC DIRECT ACCESS STORAGE DEVICES AND METHODS
DE102007033017A1 (en) Integrated circuits, methods of manufacturing an integrated circuit, memory modules, computer systems
DE102016100562A1 (en) A SEMICONDUCTOR SUBSTRATE ASSEMBLY, A SEMICONDUCTOR DEVICE, AND A METHOD OF WORKING A SEMICONDUCTOR SUBSTRATE
DE102011082851A1 (en) EEPROM cell
DE112020000190T5 (en) FIN FIELD EFFECT TRANSISTORS WITH VERTICAL TRANSPORT COMBINED WITH RESISTIVE STORAGE STRUCTURES
DE102014117059A1 (en) SERIES-ASSOCIATED TRANSISTOR STRUCTURE AND METHOD FOR THE PRODUCTION THEREOF
DE102021100353A1 (en) THREE-DIMENSIONAL STORAGE DEVICE AND THEIR MANUFACTURING METHOD
DE102022100084A1 (en) ACCESS TRANSISTOR WITH A METAL OXIDE BARRIER LAYER AND METHOD OF PRODUCTION THEREOF
DE112020000212T5 (en) Transistor channel with vertically stacked nanolayers connected by fin-shaped bridge zones
DE102020134397A1 (en) MEMORY ARRAY CONTACT STRUCTURES
DE102015206391A1 (en) Thyristor random access memory
DE102021100674A1 (en) MEMORY ARRAY WITH EPITACTIC SOURCELINE AND BITLINE
DE102021112578A1 (en) MEMORY COMPONENT AND METHOD OF MAKING ITEM
DE102021101001A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020124477A1 (en) THREE DIMENSIONAL STACKABLE FERROELECTRIC DIRECT ACCESS STORAGE DEVICES AND MANUFACTURING METHODS
DE102018108894A1 (en) High-k Metal Gate (HKMG) method for forming a memory cell with a large operating window
DE102022102950A1 (en) ACCESS TRANSISTORS WITH U-SHAPED CHANNEL AND METHOD FOR THEIR MANUFACTURE
DE102021110834A1 (en) Two-layer channel transistor and method of forming the same

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027115700

Ipc: H10B0043350000

R016 Response to examination communication