DE102018108598A1 - Semiconductor device and method - Google Patents

Semiconductor device and method Download PDF

Info

Publication number
DE102018108598A1
DE102018108598A1 DE102018108598.2A DE102018108598A DE102018108598A1 DE 102018108598 A1 DE102018108598 A1 DE 102018108598A1 DE 102018108598 A DE102018108598 A DE 102018108598A DE 102018108598 A1 DE102018108598 A1 DE 102018108598A1
Authority
DE
Germany
Prior art keywords
semiconductor layer
layer
semiconductor
silicon
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018108598.2A
Other languages
German (de)
Inventor
Chao-Ching Cheng
Tzu-Chiang CHEN
Chen-Feng Hsu
Yu-Lin Yang
Tung Ying Lee
Chih Chieh Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/864,793 external-priority patent/US10297508B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018108598A1 publication Critical patent/DE102018108598A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66325Bipolar junction transistors [BJT] controlled by field-effect, e.g. insulated gate bipolar transistors [IGBT]
    • H01L29/66333Vertical insulated gate bipolar transistors
    • H01L29/66348Vertical insulated gate bipolar transistors with a recessed gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions

Abstract

In einem ersten und einem zweiten Bereich eines Substrats werden Nanodraht- und Grat-Bauelemente ausgebildet. Zum Ausbilden der Bauelemente werden abwechselnde Schichten aus einem ersten und einem zweiten Material ausgebildet, an die Schichten aus dem ersten Material angrenzend werden Innenspacer ausgebildet, und dann werden ohne gleichzeitiges Entfernen der Schichten aus dem ersten Material in dem zweiten Bereich die Schichten aus dem ersten Material zum Ausbilden von Nanodrähten entfernt. In dem ersten und dem zweiten Bereich werden Gate-Strukturen von Gate-Dielektrika und Gate-Elektroden ausgebildet, so dass die Nanodraht-Bauelemente in dem ersten und die Grat-Bauelemente in dem zweiten Bereich ausgebildet werden.In a first and a second region of a substrate nanowire and ridge components are formed. To form the devices, alternating layers of a first and a second material are formed, inner spacers are formed adjacent to the layers of the first material, and then without simultaneously removing the layers of the first material in the second region, the layers of the first material removed to form nanowires. In the first and second regions, gate structures of gate dielectrics and gate electrodes are formed, so that the nanowire devices are formed in the first region and the ridge devices in the second region.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS-REFERENCE

Diese Anmeldung beansprucht das Prioritätsrecht der vorläufigen US-Patentanmeldung Nr. 62/552,737 mit dem Titel „Semiconductor Device and Method“, die am 31. August 2017 eingereicht wurde und in ihrem gesamten Umfang durch Verweis darauf als hier mit aufgenommen gilt.This application claims the priority of provisional U.S. Patent Application No. 62 / 552,737 entitled "Semiconductor Device and Method", filed on Aug. 31, 2017, and incorporated herein by reference in its entirety.

ALLGEMEINER STAND DER TECHNIKGENERAL PRIOR ART

Halbleiterbauelemente finden bei einer Vielzahl elektronischer Anwendungszwecke Verwendung, wie beispielsweise bei Personalcomputern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleiterbauelemente werden in der Regel durch nacheinander erfolgendes Abscheiden von isolierenden oder dielektrischen Schichten, leitenden Schichten und Halbleitermaterialschichten auf einem Halbleitersubstrat und Strukturieren der diversen Materialschichten mithilfe von Lithografie zum Ausbilden von Schaltungskomponenten und Elementen darauf hergestellt.Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic devices. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers and semiconductor material layers on a semiconductor substrate and patterning the various material layers using lithography to form circuit components and elements thereon.

Die Halbleiterindustrie verbessert weiterhin die Integrationsdichte verschiedener elektronischer Bauteile (z.B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) durch weitere Verringerung der minimalen Strukturelementgröße, wodurch sich mehr Bauteile in einen bestimmten Bereich integrieren lassen. Das Verringern der minimalen Strukturelementgröße bringt jedoch zusätzliche Probleme mit sich, die gelöst werden müssen.The semiconductor industry further improves the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by further reducing the minimum feature size, thereby allowing more components to be integrated into a particular area. However, reducing the minimum feature size involves additional problems that must be solved.

Figurenlistelist of figures

Aspekte der vorliegenden Offenbarung sind am besten nachvollziehbar, wenn die nachfolgende ausführliche Beschreibung zusammen mit den beiliegenden Figuren studiert wird. Es sei angemerkt, dass entsprechend der in der Industrie üblichen Praxis verschiedene Merkmale nicht maßstabsgerecht dargestellt sind. Die Maße für die verschiedenen Merkmale können zum Zwecke der Veranschaulichung vielmehr beliebig vergrößert oder verringert sein.

  • Die 1A und 1B stellen eine Ausbildung abwechselnder Schichten aus einem ersten und einem zweiten Halbleitermaterial gemäß einigen Ausführungsformen dar.
  • 2 stellt eine Ausbildung erster und zweiter Ausnehmungen gemäß einigen Ausführungsformen dar.
  • 3 stellt eine Ausbildung von E/A-Öffnungen gemäß einigen Ausführungsformen dar.
  • 4 stellt eine Ausbildung eines gemeinsamen Spacers gemäß einigen Ausführungsformen dar.
  • 5 stellt eine Ausbildung von ersten und zweiten Innenspacern gemäß einigen Ausführungsformen dar.
  • 6 stellt eine Ausbildung von Source/Drain-Gebieten gemäß einigen Ausführungsformen dar.
  • 7 stellt eine Ausbildung eines Zwischenschichtdielektrikums gemäß einigen Ausführungsformen dar.
  • 8 stellt ein Entfernen einer Dummy-Gate-Elektrode gemäß einigen Ausführungsformen dar.
  • Die 9A und 9B stellen ein Entfernen eines ersten Materials gemäß einigen Ausführungsformen dar.
  • Die 10A und 10B stellen ein Entfernen eines zweiten Materials gemäß einigen Ausführungsformen dar.
  • 11 stellt eine Ausbildung einer Gate-Struktur gemäß einigen Ausführungsformen dar.
  • 12 stellt ein Bauelement gemäß einigen Ausführungsformen dar, das ein einziges erstes Material für einen Grat (engl. „fin“) benutzt.
Aspects of the present disclosure are best understood when the following detailed description is studied in conjunction with the accompanying drawings. It should be noted that various features are not drawn to scale in accordance with industry standard practice. Rather, the dimensions of the various features may be arbitrarily increased or decreased for purposes of illustration.
  • The 1A and 1B illustrate formation of alternating layers of first and second semiconductor materials according to some embodiments.
  • 2 FIG. 12 illustrates a formation of first and second recesses according to some embodiments. FIG.
  • 3 FIG. 12 illustrates a configuration of I / O openings according to some embodiments. FIG.
  • 4 FIG. 12 illustrates a formation of a common spacer according to some embodiments. FIG.
  • 5 FIG. 12 illustrates a configuration of first and second inner spacers according to some embodiments. FIG.
  • 6 FIG. 12 illustrates a formation of source / drain regions according to some embodiments. FIG.
  • 7 FIG. 12 illustrates a formation of an interlayer dielectric according to some embodiments. FIG.
  • 8th FIG. 10 illustrates removal of a dummy gate electrode according to some embodiments. FIG.
  • The 9A and 9B illustrate removal of a first material according to some embodiments.
  • The 10A and 10B illustrate a removal of a second material according to some embodiments.
  • 11 FIG. 12 illustrates a formation of a gate structure according to some embodiments. FIG.
  • 12 FIG. 12 illustrates a device according to some embodiments that uses a single first material for a fin.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die nachfolgende Offenbarung liefert viele verschiedene Ausführungsformen oder Beispiele für das Implementieren verschiedener Merkmale der Erfindung. Nachfolgend werden zur Vereinfachung der vorliegenden Offenbarung bestimmte Beispiele für Komponenten und Anordnungen beschrieben. Dabei handelt es sich natürlich lediglich um Beispiele, die keine Einschränkung darstellen sollen. In der nachfolgenden Beschreibung können zur Ausbildung eines ersten Merkmals auf oder an einem zweiten Merkmal beispielsweise Ausführungsformen gehören, bei denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, sowie Ausführungsformen, bei denen zwischen dem ersten und dem zweiten Merkmal zusätzliche Merkmale ausgebildet werden, so dass sich das erste und das zweite Merkmal nicht in direktem Kontakt befinden. Zusätzlich dazu können sich bei der vorliegenden Offenbarung Bezugszahlen und/oder Bezugszeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erläuterten Ausführungsformen und/oder Konfigurationen vor.The following disclosure provides many different embodiments or examples for implementing various features of the invention. Hereinafter, to simplify the present disclosure, specific examples of components and arrangements will be described. These are of course only examples that should not be limiting. In the following description, to form a first feature on or at a second feature may include, for example, embodiments in which the first and second features are formed in direct contact, and embodiments in which additional features are formed between the first and second features so that the first and second features are not in direct contact. Additionally, in the present disclosure, reference numerals and / or reference numbers may be repeated in the various examples. This repetition is for simplicity and clarity and as such does not dictate any relationship between the various illustrated embodiments and / or configurations.

Begriffe mit räumlichem Bezug, wie „unterhalb“, „unter“, „untere/r“, „oberhalb“, „über“, „obere/r“ und dergleichen können hier ferner zur Vereinfachung der Beschreibung zwecks Beschreibens der Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen verwendet werden, wie dies in den Figuren dargestellt ist. Die Begriffe mit räumlichem Bezug sollen zusätzlich zu der in den Figuren abgebildeten Ausrichtung verschiedene Ausrichtungen des Bauelements im Gebrauch oder Betrieb umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in eine andere Ausrichtung) ausgerichtet sein, und die hier verwendeten Deskriptoren mit räumlichem Bezug können ebenso entsprechend interpretiert werden.Spatially related terms such as "below", "below", "lower", "above", "above", "upper" r "and the like may also be used herein to simplify the description for purposes of describing the relationship of an element or feature to one or more other elements or features, as illustrated in the figures. The spatial reference terms are intended to include, in addition to the orientation depicted in the figures, various orientations of the device in use or operation. The device may be oriented differently (rotated 90 degrees or in a different orientation), and the spatially related descriptors used herein may also be interpreted accordingly.

Es werden nun Ausführungsformen in Bezug auf die Integration von Kurzkanal-Transistoren mit horizontalen Gate-All-Around-Nanodrähten (short channel, horizontal gate-all-around nanowire transistors) und Langkanal-Transistoren mit Nicht-Nanodrahtgraten (long channel non-nanowire fin transistors) für die Verwendung beim Konzipieren und Betreiben von integrierten Schaltkreisen beschrieben. Solche Ausführungsformen tragen zum Vermeiden einer Leistungsminderung von Langkanal-Bauelementen bei, die auf die mit dem Ausfüllen von begrenztem Raum verknüpften Probleme zurückzuführen ist. Ausführungsformen können jedoch auf verschiedene Art und Weise genutzt werden und sollen nicht auf die hier beschriebenen Ausführungsformen beschränkt sein.Embodiments relating to the integration of short channel horizontal gate all-around nanowire transistors and long channel non-nanowire fin long channel transistors will now be described Transistor) for use in the design and operation of integrated circuits. Such embodiments contribute to avoiding performance degradation of long channel devices due to the problems associated with filling limited space. However, embodiments may be used in various ways and are not intended to be limited to the embodiments described herein.

In 1 ist eine Ausführungsform dargestellt, bei der eine erste Halbleiterschicht 103, eine zweite Halbleiterschicht 105, eine dritte Halbleiterschicht 107, eine vierte Halbleiterschicht 109, eine fünfte Halbleiterschicht 111, eine sechste Halbleiterschicht 113, eine siebente Halbleiterschicht 115 und eine achte Halbleiterschicht 117 auf einem Halbleitersubstrat 101 ausgebildet sind. Bei einer Ausführungsform kann es sich bei dem Halbleitersubstrat 101 beispielsweise um ein Siliciumsubstrat, ein Siliciumgermaniumsubstrat, ein Germaniumsubstrat, ein III-V-Materialsubstrat oder um ein Substrat handeln, das aus anderen Halbleitermaterialien beispielsweise mit starken Band-zu-Band-Tunneln (BTBT) gebildet ist. Bei manchen Ausführungsformen handelt es sich bei dem Halbleitersubstrat 101 um ein Bulk-Substrat. Bei einer anderen Ausführungsform kann es sich bei dem Halbleitersubstrat 101 um ein SOI-Substrat (Semiconductor-on-Insulator - Halbleiter auf Isolator) handeln.In 1 an embodiment is shown in which a first semiconductor layer 103 , a second semiconductor layer 105 , a third semiconductor layer 107 , a fourth semiconductor layer 109 , a fifth semiconductor layer 111 , a sixth semiconductor layer 113 , a seventh semiconductor layer 115 and an eighth semiconductor layer 117 on a semiconductor substrate 101 are formed. In an embodiment, the semiconductor substrate may be 101 for example, a silicon substrate, a silicon germanium substrate, a germanium substrate, a III-V material substrate, or a substrate formed of other semiconductor materials, for example, with strong band-to-band tunneling (BTBT). In some embodiments, the semiconductor substrate is 101 around a bulk substrate. In another embodiment, the semiconductor substrate may be 101 to act a semiconductor-on-insulator (semiconductor-on-insulator) substrate.

Bei einer Ausführungsform weist das Halbleitersubstrat 101 eine Anzahl verschiedener Bereiche auf. Bei einer Ausführungsform weist das Halbleitersubstrat 101 beispielsweise einen Kernbereich 102 und einen E/A-Bereich 104 auf. In dem Kernbereich 102 werden ein erstes Bauelement 106 und ein zweites Bauelement 108 so ausgebildet, dass das erste Bauelement 106 eine der des zweiten Bauelements 108 entgegengesetzte Leitfähigkeit aufweist. Bei einer Ausführungsform kann es sich beispielsweise bei dem ersten Bauelement 106 um ein n-leitendes Bauelement handeln, während es sich bei dem zweiten Bauelement 108 um ein p-leitendes Bauelement handeln kann. Es kann jedoch eine beliebige Kombination von Bauelementen benutzt werden.In one embodiment, the semiconductor substrate 101 a number of different areas. In one embodiment, the semiconductor substrate 101 for example, a core area 102 and an I / O area 104. In the core area 102 become a first component 106 and a second component 108 designed so that the first component 106 one of the second component 108 having opposite conductivity. For example, in one embodiment, the first device may be 106 to act as an n-type device while the second device 108 can be a p-type device. However, any combination of components may be used.

Zusätzlich dazu kann in dem E/A-Bereich 104 ein drittes Bauelement 110 ausgebildet sein. Bei einer Ausführungsform kann es sich bei dem dritten Bauelement 110 um ein FinFET-Bauelement handeln, das so ausgebildet ist, dass es eine ähnliche Leitfähigkeit aufweist wie das erste Bauelement 106. Das dritte Bauelement 110 kann beispielsweise ein n-leitender FinFET sein, wohingegen es bei anderen Ausführungsformen ein p-leitender FinFET sein oder sowohl ein n- als auch ein p-leitendes Bauelement darstellen kann. Es kann eine beliebige geeignete Kombination von Bauelementen benutzt werden, und sämtliche derartigen Kombinationen sollen in den Schutzumfang der Ausführungsform fallen. Das erste Bauelement 106, das zweite Bauelement 108 und das dritte Bauelement 110 werden bei diesem Fertigungsschritt zwar als „Bauelemente“ beschrieben, dies soll jedoch nicht implizieren, dass es sich um fertig bearbeitete Bauelemente handelt, sondern dass die Strukturen in den genannten Bauelementen zum Bilden der schließlich fertig bearbeiteten Bauelemente verwendet werden.In addition, in the I / O area 104, a third device may be included 110 be educated. In one embodiment, the third device may be 110 to act a FinFET device, which is formed so that it has a similar conductivity as the first device 106 , The third component 110 For example, in other embodiments it may be a p-type FinFET or may be both an n- and a p-type device. Any suitable combination of components may be used, and all such combinations are intended to be within the scope of the embodiment. The first component 106 , the second component 108 and the third component 110 are described as "devices" in this fabrication step, but this is not intended to imply that they are finished devices, but rather that the structures in said devices are used to form the finally finished devices.

Die erste Halbleiterschicht 103 ist sowohl im Kernbereich als auch im E/A-Bereich 104 auf dem Halbleitersubstrat 101 ausgebildet. Bei einer Ausführungsform ist die erste Halbleiterschicht 103 aus einem Halbleitermaterial gebildet, das mit dem Halbleitersubstrat 101 zusammen zum Ausbilden eines Grats 122 oder erster Nanodrähte 901 und zweiter Nanodrähte 1001 beitragen kann (in 1A nicht dargestellt, aber nachfolgend unter Bezugnahme auf die 9 und 10 dargestellt und beschrieben). Die erste Halbleiterschicht 103 kann beispielsweise aus Siliciumgermanium (SixGe1-x, wobei x im Bereich von etwa 0,01 bis etwa 0,99 liegt), Silicium, Siliciumcarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen ausgebildet sein. Zu den verfügbaren Materialien zum Ausbilden des III-V-Verbindungshalbleiters gehören unter anderem beispielsweise InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen.The first semiconductor layer 103 is both on the core substrate and on the I / O area 104 on the semiconductor substrate 101 educated. In an embodiment, the first semiconductor layer is 103 formed of a semiconductor material, which is connected to the semiconductor substrate 101 together to form a ridge 122 or first nanowires 901 and second nanowires 1001 can contribute (in 1A not shown, but below with reference to the 9 and 10 shown and described). The first semiconductor layer 103 For example, silicon germanium (Si x Ge 1-x , where x is in the range of about 0.01 to about 0.99), silicon, silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, an II- VI compound semiconductor or the like may be formed. Among the available materials for forming the III-V compound semiconductor include, for example, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

Um das Ausbilden der ersten Nanodrähte 901 und der zweiten Nanodrähte 1001 zu unterstützen, besteht die erste Halbleiterschicht 103 jedoch aus einem anderen Material als das Halbleitersubstrat 101 mit einer anderen Ätzselektivität. Bei einer Ausführungsform, bei der es sich bei dem Halbleitersubstrat 101 um Silicium handelt, ist die erste Halbleiterschicht 103 aus einem anderen Material als Silicium, wie beispielsweise Siliciumgermanium, Siliciumcarbid, Galliumarsenid, Indiumgalliumarsenid, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen, gebildet. Es kann jedoch eine beliebige geeignete Kombination benutzt werden.To form the first nanowires 901 and the second nanowires 1001 to support, there is the first semiconductor layer 103 however, of a different material than the semiconductor substrate 101 with a different etch selectivity. In an embodiment in which the semiconductor substrate 101 is silicon, is the first Semiconductor layer 103 is formed of a material other than silicon, such as silicon germanium, silicon carbide, gallium arsenide, indium gallium arsenide, a III-V compound semiconductor, a II-VI compound semiconductor or the like. However, any suitable combination may be used.

Die erste Halbleiterschicht 103 kann mithilfe eines Aufwachsprozesses wie epitaktisches Aufwachsen ausgebildet werden. Bei einer Ausführungsform kann das Material für die erste Halbleiterschicht 103 beispielsweise an dem freiliegenden Material des Halbleitersubstrats 101 aufgewachsen werden. Der Aufwachsprozess kann so lange fortgesetzt werden, bis die erste Halbleiterschicht 103 eine erste Dicke T1 von etwa 5 nm bis etwa 15 nm aufweist. Es kann jedoch ein beliebiger geeigneter Prozess zum Ausbilden und eine beliebige geeignete Dicke benutzt werden.The first semiconductor layer 103 can be formed using a growth process such as epitaxial growth. In an embodiment, the material for the first semiconductor layer 103 for example, on the exposed material of the semiconductor substrate 101 to be raised. The growth process can be continued until the first semiconductor layer 103 has a first thickness T 1 of about 5 nm to about 15 nm. However, any suitable forming process and thickness may be used.

Wenn die erste Halbleiterschicht 103 auf dem Halbleitersubstrat 101 ausgebildet worden ist, kann auf der ersten Halbleiterschicht 103 die zweite Halbleiterschicht 105 ausgebildet werden. Bei einer Ausführungsform ist die zweite Halbleiterschicht 105 aus einem Halbleitermaterial gebildet, das mit der ersten Halbleiterschicht 103 und dem Halbleitersubstrat 101 zusammen zum Bilden des Grats 122 oder der ersten Nanodrähte 901 und der zweiten Nanodrähte 1001 beitragen kann. Die zweite Halbleiterschicht 105 kann beispielsweise aus Silicium, Siliciumgermanium (SixGe1-x, wobei x im Bereich von etwa 0,01 bis etwa 0,99 liegt), Siliciumcarbid, reinem oder im Wesentlichen reinem Germanium, einem III-V-Verbindungshalbleiter, einem II-VI-Verbindungshalbleiter oder dergleichen ausgebildet sein. Zu den verfügbaren Materialien zum Ausbilden des III-V-Verbindungshalbleiters gehören unter anderem beispielsweise InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen.When the first semiconductor layer 103 on the semiconductor substrate 101 may be formed on the first semiconductor layer 103 the second semiconductor layer 105 be formed. In one embodiment, the second semiconductor layer is 105 formed of a semiconductor material, with the first semiconductor layer 103 and the semiconductor substrate 101 together to make the ridge 122 or the first nanowires 901 and the second nanowires 1001 can contribute. The second semiconductor layer 105 For example, silicon, silicon germanium (Si x Ge 1-x , where x is in the range of from about 0.01 to about 0.99), silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, an II- VI compound semiconductor or the like may be formed. Among the available materials for forming the III-V compound semiconductor include, for example, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

Um das Ausbilden der ersten Nanodrähte 901 und der zweiten Nanodrähte 1001 zu unterstützen, besteht die zweite Halbleiterschicht 105 jedoch aus einem anderen Material als die erste Halbleiterschicht 103 mit einer anderen Ätzselektivität. Bei einer Ausführungsform, bei der es sich bei der ersten Halbleiterschicht 103 um Siliciumgermanium handelt, ist die zweite Halbleiterschicht 105 beispielsweise aus dem gleichen Material gebildet wie das Halbleitersubstrat 101, indem sie beispielsweise aus Silicium besteht. Die zweite Halbleiterschicht 105 kann jedoch auch aus einem anderen Material als sowohl die erste Halbleiterschicht 103 als auch das Halbleitersubstrat 101 gebildet sein, und es kann eine beliebige geeignete Kombination benutzt werden.To form the first nanowires 901 and the second nanowires 1001 to support, there is the second semiconductor layer 105 however, of a different material than the first semiconductor layer 103 with a different etch selectivity. In an embodiment in which the first semiconductor layer 103 silicon germanium is the second semiconductor layer 105 for example, formed of the same material as the semiconductor substrate 101 by being made of silicon, for example. The second semiconductor layer 105 however, may also be of a different material than both the first semiconductor layer 103 as well as the semiconductor substrate 101 be formed, and any suitable combination can be used.

Die zweite Halbleiterschicht 105 kann mithilfe eines Aufwachsprozesses wie epitaktisches Aufwachsen ausgebildet werden. Bei einer Ausführungsform kann das Material für die zweite Halbleiterschicht 105 beispielsweise an dem freiliegenden Material der ersten Halbleiterschicht 103 aufgewachsen werden. Der Aufwachsprozess kann so lange fortgesetzt werden, bis die zweite Halbleiterschicht 105 eine zweite Dicke T2 von etwa 5 nm bis etwa 15 nm, wie etwa 10 nm, aufweist. Es kann jedoch ein beliebiger geeigneter Prozess zum Ausbilden und eine beliebige geeignete Dicke benutzt werden.The second semiconductor layer 105 can be formed using a growth process such as epitaxial growth. In an embodiment, the material for the second semiconductor layer 105 for example, on the exposed material of the first semiconductor layer 103 to be raised. The growth process can be continued until the second semiconductor layer 105 has a second thickness T 2 of from about 5 nm to about 15 nm, such as about 10 nm. However, any suitable forming process and thickness may be used.

Die dritte Halbleiterschicht 107 kann unter Verwendung eines ähnlichen Materials und Prozesses wie bei der ersten Halbleiterschicht 103 auf der zweiten Halbleiterschicht 105 ausgebildet werden. Bei der dritten Halbleiterschicht 107 kann es sich beispielsweise um ein Material wie Siliciumgermanium handeln, das mithilfe eines epitaktischen Aufwachsprozesses mit einer Dicke von etwa 5 nm bis etwa 15 nm ausgebildet wird. Es kann jedoch ein beliebiger geeigneter Prozess, ein beliebiges geeignetes Material und eine beliebige geeignete Dicke benutzt werden.The third semiconductor layer 107 can be done using a similar material and process as in the first semiconductor layer 103 on the second semiconductor layer 105 be formed. In the third semiconductor layer 107 For example, it may be a material such as silicon germanium formed using an epitaxial growth process having a thickness of about 5 nm to about 15 nm. However, any suitable process, material, and thickness may be used.

Die vierte Halbleiterschicht 109 kann unter Verwendung eines ähnlichen Materials und Prozesses wie bei der zweiten Halbleiterschicht 105 auf der dritten Halbleiterschicht 107 ausgebildet werden. Bei der vierten Halbleiterschicht 109 kann es sich beispielsweise um ein Material wie Silicium handeln, das mithilfe eines epitaktischen Aufwachsprozesses mit einer Dicke von etwa 5 nm bis etwa 15 nm ausgebildet wird. Es kann jedoch ein beliebiger geeigneter Prozess, ein beliebiges geeignetes Material und eine beliebige geeignete Dicke benutzt werden.The fourth semiconductor layer 109 can be done using a similar material and process as the second semiconductor layer 105 on the third semiconductor layer 107 be formed. In the fourth semiconductor layer 109 For example, it may be a material such as silicon that is formed using an epitaxial growth process having a thickness of about 5 nm to about 15 nm. However, any suitable process, material, and thickness may be used.

Die fünfte Halbleiterschicht 111 kann unter Verwendung eines ähnlichen Materials und Prozesses wie bei der ersten Halbleiterschicht 103 auf der vierten Halbleiterschicht 109 ausgebildet werden. Bei der fünften Halbleiterschicht 111 kann es sich beispielsweise um ein Material wie Siliciumgermanium handeln, das mithilfe eines epitaktischen Aufwachsprozesses mit einer Dicke von etwa 5 nm bis etwa 15 nm ausgebildet wird. Es kann jedoch ein beliebiger geeigneter Prozess, ein beliebiges geeignetes Material und eine beliebige geeignete Dicke benutzt werden.The fifth semiconductor layer 111 can be done using a similar material and process as in the first semiconductor layer 103 on the fourth semiconductor layer 109 be formed. In the fifth semiconductor layer 111 For example, it may be a material such as silicon germanium formed using an epitaxial growth process having a thickness of about 5 nm to about 15 nm. However, any suitable process, material, and thickness may be used.

Die sechste Halbleiterschicht 113 kann unter Verwendung eines ähnlichen Materials und Prozesses wie bei der zweiten Halbleiterschicht 105 auf der fünften Halbleiterschicht 111 ausgebildet werden. Bei der sechsten Halbleiterschicht 113 kann es sich beispielsweise um ein Material wie Silicium handeln, das mithilfe eines epitaktischen Aufwachsprozesses mit einer Dicke von etwa 5 nm bis etwa 15 nm ausgebildet wird. Es kann jedoch ein beliebiger geeigneter Prozess, ein beliebiges geeignetes Material und eine beliebige geeignete Dicke benutzt werden.The sixth semiconductor layer 113 can be done using a similar material and process as the second semiconductor layer 105 on the fifth semiconductor layer 111 be formed. In the sixth semiconductor layer 113 For example, it may be a material such as silicon that is formed using an epitaxial growth process having a thickness of about 5 nm to about 15 nm. However, any suitable process, material, and thickness may be used.

Die siebente Halbleiterschicht 115 kann unter Verwendung eines ähnlichen Materials und Prozesses wie bei der ersten Halbleiterschicht 103 auf der sechsten Halbleiterschicht 113 ausgebildet werden. Bei der siebenten Halbleiterschicht 115 kann es sich beispielsweise um ein Material wie Siliciumgermanium handeln, das mithilfe eines epitaktischen Aufwachsprozesses mit einer Dicke von etwa 5 nm bis etwa 15 nm ausgebildet wird. Es kann jedoch ein beliebiger geeigneter Prozess, ein beliebiges geeignetes Material und eine beliebige geeignete Dicke benutzt werden. The seventh semiconductor layer 115 can be done using a similar material and process as in the first semiconductor layer 103 on the sixth semiconductor layer 113 be formed. In the seventh semiconductor layer 115 For example, it may be a material such as silicon germanium formed using an epitaxial growth process having a thickness of about 5 nm to about 15 nm. However, any suitable process, material, and thickness may be used.

Die achte Halbleiterschicht 117 kann unter Verwendung eines ähnlichen Materials und Prozesses wie bei der zweiten Halbleiterschicht 105 auf der siebenten Halbleiterschicht 115 ausgebildet werden. Bei der achten Halbleiterschicht 117 kann es sich beispielsweise um ein Material wie Silicium handeln, das mithilfe eines epitaktischen Aufwachsprozesses mit einer Dicke von etwa 5 nm bis etwa 15 nm ausgebildet wird. Es kann jedoch ein beliebiger geeigneter Prozess, ein beliebiges geeignetes Material und eine beliebige geeignete Dicke benutzt werden.The eighth semiconductor layer 117 can be done using a similar material and process as the second semiconductor layer 105 on the seventh semiconductor layer 115 be formed. At the eighth semiconductor layer 117 For example, it may be a material such as silicon that is formed using an epitaxial growth process having a thickness of about 5 nm to about 15 nm. However, any suitable process, material, and thickness may be used.

Durch das Ausbilden der ersten Halbleiterschicht 103, der zweiten Halbleiterschicht 105, der dritten Halbleiterschicht 107, der vierten Halbleiterschicht 109, der fünften Halbleiterschicht 111, der sechsten Halbleiterschicht 113, der siebenten Halbleiterschicht 115 und der achten Halbleiterschicht 117 auf dem Halbleitersubstrat 101 werden abwechselnde Schichten aus Halbleitermaterial ausgebildet, wobei Schichten aus einem ersten Material (z.B. Silicium) zwischen Schichten aus einem zweiten Material (z.B. Siliciumgermanium) ausgebildet werden. Ein solcher Stapel aus Halbleitermaterialien kann zum Ausbilden eines Grats 122 in dem E/A-Bereich 104 sowie zum Ausbilden der ersten Nanodrähte 901 und der zweiten Nanodrähte 1001 in dem Kernbereich 102 verwendet werden.By forming the first semiconductor layer 103 , the second semiconductor layer 105 , the third semiconductor layer 107 , the fourth semiconductor layer 109 , the fifth semiconductor layer 111 , the sixth semiconductor layer 113 , the seventh semiconductor layer 115 and the eighth semiconductor layer 117 on the semiconductor substrate 101 Alternate layers of semiconductor material are formed, with layers of a first material (eg, silicon) being formed between layers of a second material (eg, silicon germanium). Such a stack of semiconductor materials may be used to form a burr 122 in the I / O area 104 and for forming the first nanowires 901 and the second nanowires 1001 in the core area 102 be used.

Wenn die erste Halbleiterschicht 103, die zweite Halbleiterschicht 105, die dritte Halbleiterschicht 107, die vierte Halbleiterschicht 109, die fünfte Halbleiterschicht 111, die sechste Halbleiterschicht 113, die siebente Halbleiterschicht 115 und die achte Halbleiterschicht 117 auf dem Halbleitersubstrat 101 ausgebildet worden sind, wird jede dieser Halbleiterschichten sowie das Halbleitersubstrat 101 zum Ausbilden des Grats 122 strukturiert. Bei einer Ausführungsform können die Schichten durch Aufbringen eines zweiten Fotolacks (in 1A nicht einzeln dargestellt) auf der achten Halbleiterschicht 117 strukturiert werden. Der zweite Fotolack wird dann strukturiert und entwickelt, so dass auf der achten Halbleiterschicht 117 eine Maske entsteht, und die Maske wird dann bei einem Ätzprozess wie einem anisotropen Ätzprozess zum Übertragen der Struktur des zweiten Fotolacks auf die darunterliegenden Schichten und Ausbilden des Grats 122 verwendet.When the first semiconductor layer 103 , the second semiconductor layer 105 , the third semiconductor layer 107 , the fourth semiconductor layer 109 , the fifth semiconductor layer 111 , the sixth semiconductor layer 113 , the seventh semiconductor layer 115 and the eighth semiconductor layer 117 on the semiconductor substrate 101 have been formed, each of these semiconductor layers and the semiconductor substrate 101 for forming the ridge 122 structured. In one embodiment, the layers may be formed by applying a second photoresist (in 1A not shown individually) on the eighth semiconductor layer 117 be structured. The second photoresist is then patterned and developed so that on the eighth semiconductor layer 117 a mask is formed, and the mask is then subjected to an etching process such as an anisotropic etching process for transferring the structure of the second photoresist to the underlying layers and forming the ridge 122 used.

Nach dem Ausbilden des Grats 122 können erste Isolationsbereiche 135 ausgebildet werden. Bei einer Ausführungsform kann es sich bei den ersten Isolationsbereichen 135 um flache Grabenisolationsbereiche handeln, die durch Abscheiden eines dielektrischen Materials wie eines Oxidmaterials, eines HDP-Oxids (HDP - High Density Plasma) oder dergleichen ausgebildet werden. Das dielektrische Material kann nach einer wahlweisen Reinigung und Beschichtung entweder mithilfe eines chemischen Gasphasenabscheidungsverfahrens (CVD - chemical vapor deposition, z.B. des HARP-Verfahrens), eines High-Density-Plasma-CVD-Verfahrens oder eines anderen geeigneten Ausbildungsverfahrens ausgebildet werden.After forming the ridge 122 can first isolation areas 135 be formed. In one embodiment, the first isolation regions may be 135 to shallow trench isolation regions, which are formed by depositing a dielectric material such as an oxide material, a HDP (HDP high-density plasma) oxide or the like. The dielectric material, after optional cleaning and coating, may be formed by either a chemical vapor deposition (CVD) process, a high-density plasma CVD process, or other suitable formation process.

Zusätzlich dazu kann das dielektrische Material zum Füllen und Überfüllen der Zwischenräume zwischen den Graten 122 damit abgeschieden und danach das überschüssige Material durch einen geeigneten Prozess wie chemisch-mechanisches Polieren (CMP), eine Ätzung, eine Kombination davon oder dergleichen entfernt werden. Bei einer Ausführungsform entfernt der Entfernungsprozess auch auf den Graten 122 befindliches dielektrisches Material, so dass durch das Entfernen des dielektrischen Materials die Oberfläche der Grate 122 für weitere Bearbeitungsschritte freigelegt wird.In addition, the dielectric material may be used to fill and overfill the spaces between the ridges 122 then deposited and then the excess material removed by a suitable process such as chemical mechanical polishing (CMP), an etch, a combination thereof, or the like. In one embodiment, the removal process also removes the burrs 122 Dielectric material so that by removing the dielectric material, the surface of the burrs 122 is exposed for further processing steps.

Wenn das dielektrische Material abgeschieden worden ist, kann es dann an der Oberfläche der Grate 122 ausgenommen werden. Das Ausnehmen kann zum Freilegen zumindest eines Abschnitts der an die obere Oberfläche der Grate 122 angrenzenden Seitenwände der Grate 122 dienen. Das dielektrische Material kann mithilfe einer Nassätzung durch Eintauchen der oberen Oberfläche der Grate 122 in ein im Hinblick auf das dielektrische Material materialspezifisches Ätzmittel ausgenommen werden, wobei jedoch auch andere Verfahren wie eine reaktive Ionenätzung, eine Trockenätzung, eine chemische Oxidentfernung oder eine chemische Trockenreinigung benutzt werden können.If the dielectric material has been deposited, it may then be on the surface of the ridges 122 be exempted. The evisceration may be to expose at least a portion of the to the upper surface of the ridges 122 adjacent sidewalls of the ridges 122 serve. The dielectric material can be wet etched by dipping the top surface of the ridges 122 however, other methods such as reactive ion etch, dry etch, dry oxide removal, or dry chemical cleaning may also be used to exclude material specific etchant with respect to the dielectric material.

Durchschnittsfachleuten wird jedoch klar sein, dass die oben beschriebenen Schritte auch nur einen Teil des zum Füllen und Ausnehmen des dielektrischen Materials benutzten Gesamtprozessablaufs darstellen können. Es können auch Beschichtungs-, Reinigungs-, Temper-, Spaltfüllschritte, Kombinationen davon und dergleichen zum Ausbilden des dielektrischen Materials benutzt werden. Alle potentiellen Prozessschritte sollen in den Schutzumfang der vorliegenden Ausführungsform fallen. Zusätzlich dazu kann das Ausbilden der ersten Isolationsbereiche 135 zu anderen Zeitpunkten im Fertigungsprozess erfolgen, wie beispielsweise vor dem Ausbilden der ersten Halbleiterschicht 103. Alle derartigen Schritte und Zeitpunkte sollen in den Schutzumfang der Ausführungsformen fallen.However, those of ordinary skill in the art will appreciate that the steps described above may represent only a portion of the overall process flow used to fill and empty the dielectric material. Coating, cleaning, annealing, gap filling steps, combinations thereof and the like may also be used to form the dielectric material. All potential process steps are intended to be within the scope of the present embodiment. In addition, forming the first isolation regions 135 at other times in the manufacturing process, such as before Forming the first semiconductor layer 103 , All such steps and timings are intended to be within the scope of the embodiments.

1A stellt auch das Ausbilden eines Dummy-Gate-Dielektrikums 119 und einer Dummy-Gate-Elektrode 121 auf der achten Halbleiterschicht 117 dar. Bei einer Ausführungsform kann das Dummy-Gate-Dielektrikum 119 durch thermische Oxidation, chemische Gasphasenabscheidung, Sputtern oder ein beliebiges anderes Verfahren zum Ausbilden eines Gate-Dielektrikums ausgebildet werden, das in der Technik bekannt ist und verwendet wird. Je nach der Technik für die Ausbildung des Gate-Dielektrikums kann sich die Dicke des Dummy-Gate-Dielektrikums 119 oben von der Dicke des Dummy-Dielektrikums an der Seitenwand unterscheiden. 1A also provides the formation of a dummy gate dielectric 119 and a dummy gate electrode 121 on the eighth semiconductor layer 117 In one embodiment, the dummy gate dielectric 119 by thermal oxidation, chemical vapor deposition, sputtering, or any other method of forming a gate dielectric that is known and used in the art. Depending on the technique for forming the gate dielectric, the thickness of the dummy gate dielectric may increase 119 differ above from the thickness of the dummy dielectric on the sidewall.

Das Dummy-Gate-Dielektrikum 119 kann ein Material wie Siliciumdioxid oder Siliciumoxynitrid mit einer Dicke von etwa 3 Ångström bis etwa 100 Angström, wie etwa 10 Angström, umfassen. Das Dummy-Gate-Dielektrikum 119 kann aus einem Material mit hoher Permittivität (High-k-Material, z.B. mit einer relativen Permittivität von mehr als 5) wie beispielsweise Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Hafniumoxid (HfO2), Hafniumoxynitrid (HfON) oder Zirconoxid (ZrO2) oder Kombinationen davon mit einer äquivalenten Oxiddicke von etwa 0,5 Angström bis etwa 100 Angström, wie etwa 10 Angström oder weniger, ausgebildet werden. Zusätzlich dazu kann auch eine beliebige Kombination aus Siliciumdioxid, Siliciumoxynitrid und/oder High-k-Materialien für das Dummy-Gate-Dielektrikum 119 verwendet werden.The dummy gate dielectric 119 may comprise a material such as silica or silicon oxynitride having a thickness of from about 3 angstroms to about 100 angstroms, such as 10 angstroms. The dummy gate dielectric 119 can be made of a material with high permittivity (high-k material, eg with a relative permittivity of more than 5) such as lanthanum oxide (La 2 O 3 ), aluminum oxide (Al 2 O 3 ), hafnium oxide (HfO 2 ), hafnium oxynitride ( HfON) or zirconia (ZrO 2 ) or combinations thereof having an equivalent oxide thickness of from about 0.5 angstroms to about 100 angstroms, such as 10 angstroms or less. In addition, any combination of silicon dioxide, silicon oxynitride, and / or high-k materials for the dummy gate dielectric may be used 119 be used.

Die Dummy-Gate-Elektrode 121 kann ein leitfähiges Material umfassen und aus einer Gruppe ausgewählt sein, die Polysilicium, W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen davon oder dergleichen umfasst. Die Dummy-Gate-Elektrode 121 kann durch chemische Gasphasenabscheidung (CVD), Aufsputtern oder andere Techniken zum Abscheiden von leitfähigen Materialien abgeschieden werden, die in der Technik bekannt sind und verwendet werden. Die Dicke der Dummy-Gate-Elektrode 121 kann im Bereich von etwa 5 Ä bis etwa 500 Å liegen. Die obere Oberfläche der Dummy-Gate-Elektrode 121 kann uneben sein und vor dem Strukturieren der Dummy-Gate-Elektrode 121 oder dem Gate-Ätzen planarisiert werden. Zu diesem Zeitpunkt können Ionen in die Dummy-Gate-Elektrode 121 eingebracht werden. Ionen können beispielsweise durch Ionenimplantationstechniken eingebracht werden.The dummy gate electrode 121 may comprise a conductive material and be selected from a group consisting of polysilicon, W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, combinations thereof or the like. The dummy gate electrode 121 can be deposited by chemical vapor deposition (CVD), sputtering, or other techniques for depositing conductive materials known and used in the art. The thickness of the dummy gate electrode 121 may range from about 5 Å to about 500 Å. The upper surface of the dummy gate electrode 121 may be uneven and prior to patterning the dummy gate electrode 121 or the gate etching can be planarized. At this point, ions can enter the dummy gate electrode 121 be introduced. Ions may be introduced, for example, by ion implantation techniques.

Wenn das Dummy-Gate-Dielektrikum 119 und die Dummy-Gate-Elektrode 121 ausgebildet worden sind, können sie strukturiert werden. Bei einer Ausführungsform kann das Strukturieren dadurch erfolgen, dass zunächst eine erste Hartmaske 123 und auf der ersten Hartmaske 123 eine zweite Hartmaske 125 ausgebildet wird. Die erste Hartmaske 123 umfasst ein dielektrisches Material wie Siliciumoxid, Siliciumnitrid, Titannitrid, Siliciumoxynitrid, Kombinationen davon oder dergleichen. Die erste Hartmaske 123 kann mithilfe eines Prozesses wie chemischer Gasphasenabscheidung, plasmaunterstützter chemischer Gasphasenabscheidung, Atomlagenabscheidung oder dergleichen ausgebildet werden. Es kann jedoch ein beliebiges anderes geeignetes Material und Verfahren zur Ausbildung benutzt werden. Die erste Hartmaske 123 kann in einer Dicke von etwa 20 Å bis etwa 3000 Å, wie etwa 20 Å, ausgebildet werden.If the dummy gate dielectric 119 and the dummy gate electrode 121 have been trained, they can be structured. In one embodiment, the structuring may be performed by first forming a first hardmask 123 and on the first hardmask 123 a second hard mask 125 is trained. The first hard mask 123 includes a dielectric material such as silicon oxide, silicon nitride, titanium nitride, silicon oxynitride, combinations thereof, or the like. The first hard mask 123 can be formed by a process such as chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, or the like. However, any other suitable material and method of formation may be used. The first hard mask 123 can be formed in a thickness of about 20 Å to about 3000 Å, such as 20 Å.

Die zweite Hartmaske 125 umfasst ein anderes dielektrisches Material, wie Siliciumnitrid, Siliciumoxid, Titannitrid, Siliciumoxynitrid, Kombinationen davon oder dergleichen. Die zweite Hartmaske 125 kann mithilfe eines Prozesses wie chemischer Gasphasenabscheidung, plasmaunterstützter chemischer Gasphasenabscheidung, Atomlagenabscheidung oder dergleichen ausgebildet werden. Es kann jedoch ein beliebiges anderes geeignetes Material und Verfahren zur Ausbildung benutzt werden. Die zweite Hartmaske 125 kann in einer Dicke von etwa 20 Å bis etwa 3000 Å, wie etwa 20 Ä, ausgebildet werden.The second hard mask 125 includes another dielectric material such as silicon nitride, silicon oxide, titanium nitride, silicon oxynitride, combinations thereof, or the like. The second hard mask 125 can be formed by a process such as chemical vapor deposition, plasma enhanced chemical vapor deposition, atomic layer deposition, or the like. However, any other suitable material and method of formation may be used. The second hard mask 125 can be formed in a thickness of about 20 Å to about 3000 Å, such as 20 Å.

Wenn die erste Hartmaske 123 und die zweite Hartmaske 125 ausgebildet worden sind, können sie strukturiert werden. Bei einer Ausführungsform können die erste Hartmaske 123 und die zweite Hartmaske 125 dadurch strukturiert werden, dass zunächst ein erster Fotolack (nicht einzeln dargestellt) auf der ersten Hartmaske 123 und der zweiten Hartmaske 125 angebracht und einer strukturierten Energiequelle (z.B. Licht) ausgesetzt wird, damit eine chemische Reaktion ausgelöst wird, die die physikalischen Eigenschaften der freiliegenden Abschnitte des ersten Fotolacks verändert. Der erste Fotolack kann dann durch Aufbringen eines ersten Entwicklers (ebenfalls nicht einzeln dargestellt) entwickelt werden, damit die veränderten physikalischen Eigenschaften zwischen dem freiliegenden und dem nicht freiliegenden Bereich zum gezielten Entfernen des freiliegenden oder des nicht freiliegenden Bereichs genutzt werden.If the first hard mask 123 and the second hardmask 125 have been trained, they can be structured. In one embodiment, the first hard mask 123 and the second hardmask 125 be structured by first a first photoresist (not shown individually) on the first hard mask 123 and the second hardmask 125 and exposed to a structured energy source (eg, light) to initiate a chemical reaction that alters the physical properties of the exposed portions of the first photoresist. The first photoresist may then be developed by applying a first developer (also not shown individually) to utilize the altered physical properties between the exposed and unexposed areas to selectively remove the exposed or unexposed area.

Wenn der erste Fotolack strukturiert worden ist, kann er als Maske zum Strukturieren der darunterliegenden ersten Hartmaske 123 und der zweiten Hartmaske 125 verwendet werden. Bei einer Ausführungsform können die erste Hartmaske 123 und die zweite Hartmaske 125 z.B. mithilfe eines oder mehrerer reaktiver Ionenätzprozesse mit dem ersten Fotolack als Maske strukturiert werden. Der Strukturierungsprozess kann fortgesetzt werden, bis die Dummy-Gate-Elektrode 121 unter der ersten Hartmaske 123 freiliegt.When the first photoresist has been patterned, it may serve as a mask for patterning the underlying first hardmask 123 and the second hardmask 125 be used. In one embodiment, the first hard mask 123 and the second hardmask 125 For example, be structured with the first photoresist as a mask using one or more reactive Ionenätzprozesse. The structuring process can be continued until the dummy gate electrode 121 under the first hard mask 123 exposed.

Wenn die erste Hartmaske 123 und die zweite Hartmaske 125 strukturiert worden sind, kann der erste Fotolack darauf entfernt werden. Bei einer Ausführungsform kann der erste Fotolack z.B. mithilfe eines Veraschungsprozesses entfernt werden, bei dem eine Temperatur des ersten Fotolacks erhöht wird, bis sich dieser thermisch zersetzt und mithilfe eines oder mehrerer Reinigungsprozesse problemlos entfernen lässt. Es kann jedoch ein beliebiger anderer geeigneter Entfernungsprozess benutzt werden. If the first hard mask 123 and the second hardmask 125 have been patterned, the first photoresist can be removed thereon. For example, in one embodiment, the first photoresist may be removed by an ashing process in which a temperature of the first photoresist is increased until it thermally decomposes and is easily removed by one or more cleaning processes. However, any other suitable removal process may be used.

Wenn die erste Hartmaske 123 und die zweite Hartmaske 125 strukturiert worden sind, können zum Ausbilden einer Reihe von Stapeln 129 die Dummy-Gate-Elektrode 121 und das Dummy-Gate-Dielektrikum 119 strukturiert werden. Bei einer Ausführungsform werden die Dummy-Gate-Elektrode 121 und das Dummy-Gate-Dielektrikum 119 mithilfe eines anisotropen Ätzprozesses wie eines reaktiven Ionenätzens strukturiert, es kann jedoch ein beliebiger geeigneter Prozess benutzt werden.If the first hard mask 123 and the second hardmask 125 can be structured to form a series of stacks 129 the dummy gate electrode 121 and the dummy gate dielectric 119 be structured. In one embodiment, the dummy gate electrode becomes 121 and the dummy gate dielectric 119 however, using any anisotropic etching process, such as reactive ion etching, any suitable process can be used.

1A stellt auch ein Ausbilden einer ersten Spacer-Schicht 127 auf der Dummy-Gate-Elektrode 121 und dem Dummy-Gate-Dielektrikum 119 dar. Die erste Spacer-Schicht 127 kann an gegenüberliegenden Seiten der Stapel 129 ausgebildet werden. Die erste Spacer-Schicht 127 kann durch flächendeckendes Abscheiden auf der bereits ausgebildeten Struktur ausgebildet werden. Die erste Spacer-Schicht 127 kann SiN, Oxynitrid, SiC, SiON, SiOCN, SiOC, Oxid und dergleichen umfassen und anhand von Verfahren ausgebildet werden, die zum Ausbilden einer solchen Schicht benutzt werden, wie beispielsweise chemischer Gasphasenabscheidung (CVD), plasmaunterstützter CVD, Sputtern und anderen in der Technik bekannten Verfahren. 1A also provides for forming a first spacer layer 127 on the dummy gate electrode 121 and the dummy gate dielectric 119 dar. The first spacer layer 127 can be on opposite sides of the stack 129 be formed. The first spacer layer 127 can be formed by blanket deposition on the already formed structure. The first spacer layer 127 For example, SiN, oxynitride, SiC, SiON, SiOCN, SiOC, oxide, and the like can be included and formed by methods used to form such a layer, such as chemical vapor deposition (CVD), plasma assisted CVD, sputtering, and others in the art known methods.

Nach dem Ausbilden kann ein dritter Fotolack (in 1A nicht einzeln dargestellt) ausgebildet werden, der die erste Spacer-Schicht 127 in dem E/A-Bereich 104 schützt, während die erste Spacer-Schicht 127 im Kernbereich 102 freiliegt. Wenn die erste Spacer-Schicht 127 in dem E/A-Bereich 104 geschützt ist, kann sie im Kernbereich 102 zum Ausbilden erster Spacer 131 an den Stapeln 129 im Kernbereich 102 geätzt werden. Bei einer Ausführungsform können die ersten Spacer 131 mithilfe eines anisotropen Ätzprozesses wie eines reaktiven Ionenätzprozesses ausgebildet werden.After forming, a third photoresist (in 1A not shown individually), which forms the first spacer layer 127 in the I / O area 104 while the first spacer layer 127 in the core area 102 exposed. When the first spacer layer 127 is protected in the I / O area 104, it may be in the core area 102 for forming the first spacer 131 at the stacks 129 in the core area 102 be etched. In one embodiment, the first spacers 131 be formed using an anisotropic etching process such as a reactive Ionenätzprozesses.

Zusätzlich dazu wird bei der Ausbildung der ersten Spacer 131 die achte Halbleiterschicht 117 in dem Kernbereich 102 freigelegt (ohne dass die achte Halbleiterschicht 117 im E/A-Bereich 104 freigelegt wird). 1A stellt an sich zusätzlich eine Ätzung der achten Halbleiterschicht 117, der siebenten Halbleiterschicht 115, der sechsten Halbleiterschicht 113, der fünften Halbleiterschicht 111, der vierten Halbleiterschicht 109, der dritten Halbleiterschicht 107, der zweiten Halbleiterschicht 105, der ersten Halbleiterschicht 103 und des Halbleitersubstrats 101 zum Bilden von Kernöffnungen 133 dar. Bei einer Ausführungsform kann das Ätzen des Halbleitersubstrats 101 mithilfe einer oder mehrerer anisotroper Ätzungen wie reaktiver Ionen-ätzungen erfolgen, es können jedoch beliebige geeignete Prozesse benutzt werden.In addition, when training the first spacer 131 the eighth semiconductor layer 117 in the core area 102 exposed (without the eighth semiconductor layer 117 in the I / O area 104 is exposed). 1A In addition, an etching of the eighth semiconductor layer is provided 117 , the seventh semiconductor layer 115 , the sixth semiconductor layer 113 , the fifth semiconductor layer 111 , the fourth semiconductor layer 109 , the third semiconductor layer 107 , the second semiconductor layer 105 , the first semiconductor layer 103 and the semiconductor substrate 101 to make core openings 133 In one embodiment, the etching of the semiconductor substrate 101 using one or more anisotropic etches such as reactive ion etchings, however, any suitable processes may be used.

Bei einer Ausführungsform können die Kernöffnungen 133 so ausgebildet werden, dass sie eine erste Breite W1 von etwa 10 nm bis etwa 40 nm, wie etwa 20 nm, aufweisen. Zusätzlich dazu können die Kernöffnungen 133 so ausgebildet werden, dass sie bis in eine erste Tiefe D1 von etwa 5 nm bis etwa 20 nm, wie etwa 10 nm, in das Halbleitersubstrat 101 hinein verlaufen. Es können jedoch beliebige geeignete Maße benutzt werden.In one embodiment, the core openings 133 be formed to have a first width W 1 of about 10 nm to about 40 nm, such as 20 nm. In addition to this, the core openings 133 be formed so that they to a first depth D 1 of about 5 nm to about 20 nm, such as 10 nm, in the semiconductor substrate 101 into it. However, any suitable dimensions can be used.

Wenn die Kernöffnungen 133 ausgebildet worden sind, kann der dritte Fotolack entfernt werden. Bei einer Ausführungsform kann der dritte Fotolack beispielsweise mithilfe eines Veraschungsprozesses entfernt werden, bei dem eine Temperatur des dritten Fotolacks erhöht wird, bis sich der zweite Fotolack thermisch zersetzt und mithilfe eines oder mehrerer Reinigungsprozesse problemlos entfernen lässt. Es kann jedoch ein beliebiger anderer geeigneter Entfernungsprozess benutzt werden.If the core openings 133 have been formed, the third photoresist can be removed. For example, in one embodiment, the third photoresist may be removed by an ashing process in which a temperature of the third photoresist is increased until the second photoresist thermally decomposes and is easily removed by one or more cleaning processes. However, any other suitable removal process may be used.

1B stellt eine Querschnittsansicht der Struktur aus 1A entlang der Linie B-B' dar. Wie zu sehen ist, wird der Grat 122 auf drei Seiten so von dem Dummy-Gate-Dielektrikum 119 bedeckt, dass die drei Seiten des Grats zu diesem Zeitpunkt im Fertigungsprozess geschützt sind. 1B stellt zusätzlich dar, dass mehrere Grate 122 ausgebildet und von dem Dummy-Gate-Dielektrikum 119 und der Dummy-Gate-Elektrode 121 bedeckt sein können. 1B illustrates a cross-sectional view of the structure 1A along the line BB 'dar. As can be seen, the burr 122 on three sides so from the dummy gate dielectric 119 covered that the three sides of the ridge are protected in the manufacturing process at this time. 1B additionally shows that several burrs 122 formed and of the dummy gate dielectric 119 and the dummy gate electrode 121 can be covered.

2 stellt eine Strukturierung der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 zum Ausbilden erster Innenspacer 501 dar (in 2 nicht einzeln dargestellt, aber in Bezug auf 5 weiter unten dargestellt und erläutert). Bei einer Ausführungsform erfolgt das Strukturieren der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 mithilfe einer Nassätzung mit einem im Hinblick auf das Material der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 (z.B. Siliciumgermanium) materialspezifischen Ätzmittel ohne maßgebliches Entfernen des Materials der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 (z.B. Silicium). Bei einer Ausführungsform, bei der es sich bei der ersten Halbleiterschicht 103 um Siliciumgermanium und bei der zweiten Halbleiterschicht 105 [Lakune] handelt, kann beispielsweise für die Nassätzung ein Ätzmittel wie Salzsäure (HCl) verwendet werden. 2 represents a structuring of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 for forming the first inner spacer 501 in this 2 not shown individually, but in relation to 5 shown and explained below). In one embodiment, the structuring of the first semiconductor layer takes place 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 by means of a wet etching with respect to the material of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 (Eg silicon germanium) material-specific etchant without significant removal of the material of the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 (eg silicon). In one embodiment, which is in the first semiconductor layer 103 around silicon germanium and at the second semiconductor layer 105 [Lakune], for example, an etchant such as hydrochloric acid (HCl) can be used for wet etching.

Bei einer anderen Ausführungsform kann das Strukturieren der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 mithilfe eines Trockenätzprozesses oder einer Kombination aus einem Trocken- und einem Nassätzprozess erfolgen. Es kann ein beliebiger geeigneter Prozess zum Strukturieren der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 benutzt werden, und sämtliche derartigen Prozesse sollen in den Schutzumfang der Ausführungsformen fallen.In another embodiment, the patterning of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 using a dry etching process or a combination of a dry and a wet etching process. There may be any suitable process for patterning the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 are used, and all such processes are intended to be within the scope of the embodiments.

Bei einer Ausführungsform kann es sich bei dem Nassätzprozess um einen Tauchprozess, einen Sprühprozess, einen Aufschleuderprozess oder dergleichen handeln. Zusätzlich dazu kann der Nassätzprozess bei einer Temperatur von etwa 400°C bis etwa 600°C und für einen Zeitraum von etwa 100 Sekunden bis etwa 1000 Sekunden, wie etwa 300 Sekunden, erfolgen. Es können jedoch beliebige geeignete Prozessbedingungen und Parameter benutzt werden.In an embodiment, the wet etching process may be a dipping process, a spraying process, a spin-on process, or the like. In addition, the wet etching process may occur at a temperature of from about 400 ° C to about 600 ° C and for a period of from about 100 seconds to about 1000 seconds, such as about 300 seconds. However, any suitable process conditions and parameters may be used.

Der Ätzprozess kann zum Ausnehmen der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 so fortgesetzt werden, dass (111) facettenarme Oberflächen erster Ausnehmungen 201 in jeder Schicht zwischen der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 ausgebildet werden. Bei einer Ausführungsform können die ersten Ausnehmungen 201 in einer ersten Länge L1 von etwa 3 nm bis etwa 8 nm, wie etwa 5 nm, ausgebildet werden. Es kann jedoch ein beliebiges geeignetes Maß benutzt werden.The etching process may be used to exclude the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 be continued so that ( 111 ) faceted surfaces of first recesses 201 in each layer between the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 be formed. In one embodiment, the first recesses 201 at a first length L 1 of about 3 nm to about 8 nm, such as 5 nm. However, any suitable measure can be used.

Zusätzlich dazu schützt die erste Spacer-Schicht 127 die Strukturen innerhalb des E/A-Bereichs 104, während der Ätzprozess zum Ausbilden der ersten Ausnehmungen 201 in dem Kernbereich 102 benutzt wird. An sich wird keine der ersten Ausnehmungen 201 in dem E/A-Bereich 104 ausgebildet. Dies ermöglicht, dass sich der Grat 122 weiterhin für die Verwendung als FinFET-Bauelement eignet.In addition, the first spacer layer protects 127 the structures within the I / O area 104 during the etching process to form the first recesses 201 in the core area 102 is used. In itself, none of the first recesses 201 formed in the I / O area 104. This allows the burr 122 still suitable for use as a FinFET device.

Zusätzlich dazu können, wenn die ersten Ausnehmungen 201 ausgebildet worden sind, zweite Ausnehmungen 203 in der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 ausgebildet werden. Bei einer Ausführungsform können die zweiten Ausnehmungen 203 auf ähnliche Weise ausgebildet werden wie die ersten Ausnehmungen 201. Die zweiten Ausnehmungen 203 können beispielsweise mit einem Nassätzprozess ausgebildet werden, der ein im Hinblick auf das Material der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 (z.B. Silicium) materialspezifisches Ätzmittel wie TMAH oder NH3 (in Lösung) benutzt.In addition, if the first recesses 201 have been formed, second recesses 203 in the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 be formed. In one embodiment, the second recesses 203 be formed in a similar manner as the first recesses 201 , The second recesses 203 For example, they may be formed by a wet etching process that is one with respect to the material of the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 (eg silicon) material-specific etchant such as TMAH or NH 3 (in solution) used.

Bei einer Ausführungsform kann es sich bei dem mithilfe von TMAH oder NH3 erfolgenden Nassätzprozess um einen Tauchprozess, einen Sprühprozess, einen Aufschleuderprozess oder dergleichen handeln. Zusätzlich dazu kann der Nassätzprozess bei einer Temperatur von etwa 25°C bis etwa 100°C und für einen Zeitraum von etwa 10 Sekunden bis etwa 200 Sekunden, wie etwa 30 Sekunden, erfolgen. Es können jedoch beliebige geeignete Prozessbedingungen und Parameter benutzt werden.In one embodiment, the wet etching process using TMAH or NH 3 may be a dipping process, a spraying process, a spin-on process, or the like. In addition, the wet etching process may be performed at a temperature of about 25 ° C to about 100 ° C and for a period of about 10 seconds to about 200 seconds, such as about 30 seconds. However, any suitable process conditions and parameters may be used.

Bei einer anderen Ausführungsform können die ersten Ausnehmungen 201 und die zweiten Ausnehmungen 203 statt mithilfe eines einzigen Nassätzprozesses mithilfe eines Trockenätzprozesses ausgebildet werden. Bei noch einer weiteren Ausführungsform kann eine Kombination aus Nass- und Trockenätzprozessen zum Ausbilden der ersten Ausnehmungen 201 oder der zweiten Ausnehmungen 203 benutzt werden.In another embodiment, the first recesses 201 and the second recesses 203 instead of being formed by a single wet etching process using a dry etching process. In yet another embodiment, a combination of wet and dry etching processes may be used to form the first recesses 201 or the second recesses 203 to be used.

3 stellt dar, dass in dem E/A-Bereich 104 E/A-Öffnungen 303 ausgebildet werden können, wenn die ersten Ausnehmungen 201 und die zweiten Ausnehmungen 203 in dem Kernbereich 102 ausgebildet worden sind. Bei einer Ausführungsform kann ein vierter Fotolack (in 3 nicht einzeln dargestellt) ausgebildet werden, der die Bauelemente in dem Kernbereich 102 schützt, während die erste Spacer-Schicht 127 im E/A-Bereich 104 freiliegt. Wenn die erste Spacer-Schicht 127 in dem E/A-Bereich 104 freigelegt worden ist, kann sie dort zum Ausbilden zweiter Spacer 301 an den Stapeln 129 im E/A-Bereich 104 geätzt werden. Bei einer Ausführungsform können die zweiten Spacer 301 mithilfe eines anisotropen Ätzprozesses wie eines reaktiven Ionenätzprozesses ausgebildet werden. 3 5 illustrates that I / O openings 303 may be formed in the I / O area 104 when the first recesses 201 and the second recesses 203 in the core area 102 have been trained. In one embodiment, a fourth photoresist (in 3 not shown individually), which are the components in the core area 102 protects while the first spacer layer 127 is exposed in the I / O area 104. When the first spacer layer 127 has been exposed in the I / O area 104, it may be there to form second spacers 301 at the stacks 129 etched in the I / O area 104. In one embodiment, the second spacers 301 be formed using an anisotropic etching process such as a reactive Ionenätzprozesses.

Zusätzlich dazu wird bei der Ausbildung der zweiten Spacer 301 die achte Halbleiterschicht 117 in dem E/A-Bereich 104 freigelegt. 3 stellt an sich zusätzlich eine Ätzung der achten Halbleiterschicht 117, der siebenten Halbleiterschicht 115, der sechsten Halbleiterschicht 113, der fünften Halbleiterschicht 111, der vierten Halbleiterschicht 109, der dritten Halbleiterschicht 107, der zweiten Halbleiterschicht 105, der ersten Halbleiterschicht 103 und des Halbleitersubstrats 101 zum Bilden von E/A-Öffnungen 303 dar. Bei einer Ausführungsform kann das Ätzen des Halbleitersubstrats 101 mithilfe einer oder mehrerer anisotroper Ätzungen wie reaktiver Ionenätzungen erfolgen, es können jedoch beliebige geeignete Prozesse benutzt werden.In addition, in the formation of the second spacer 301 the eighth semiconductor layer 117 in the I / O area 104 exposed. 3 In addition, an etching of the eighth semiconductor layer is provided 117 , the seventh semiconductor layer 115 , the sixth semiconductor layer 113 , the fifth semiconductor layer 111 , the fourth semiconductor layer 109 , the third semiconductor layer 107 , the second semiconductor layer 105 , the first semiconductor layer 103 and the semiconductor substrate 101 for forming I / O openings 303. In one embodiment the etching of the semiconductor substrate 101 using one or more anisotropic etches such as reactive ion etching, however, any suitable processes may be used.

Bei einer Ausführungsform können die E/A-Öffnungen 303 so ausgebildet werden, dass sie eine zweite Breite W2 von etwa 10 nm bis etwa 100 nm, wie etwa 30 nm, aufweisen. Zusätzlich dazu können die E/A-Öffnungen 303 so ausgebildet werden, dass sie bis in eine zweite Tiefe D2 von etwa 5 nm bis etwa 30 nm, wie etwa 15 nm, in das Halbleitersubstrat 101 hinein verlaufen. Es können jedoch beliebige geeignete Maße benutzt werden.In one embodiment, the I / O openings 303 be formed to have a second width W 2 of about 10 nm to about 100 nm, such as about 30 nm. In addition to this, the I / O openings 303 be formed to penetrate to a second depth D 2 of from about 5 nm to about 30 nm, such as 15 nm, into the semiconductor substrate 101 into it. However, any suitable dimensions can be used.

Wenn die E/A-Öffnungen 303 ausgebildet worden sind, kann der dritte Fotolack entfernt werden. Bei einer Ausführungsform kann der vierte Fotolack beispielsweise mithilfe eines Veraschungsprozesses entfernt werden, bei dem eine Temperatur des vierten Fotolacks erhöht wird, bis sich dieser thermisch zersetzt und mithilfe eines oder mehrerer Reinigungsprozesse problemlos entfernen lässt. Es kann jedoch ein beliebiger anderer geeigneter Entfernungsprozess benutzt werden.If the I / O openings 303 have been formed, the third photoresist can be removed. For example, in one embodiment, the fourth photoresist may be removed by an ashing process in which a temperature of the fourth photoresist is increased until it thermally decomposes and is easily removed using one or more cleaning processes. However, any other suitable removal process may be used.

4 stellt eine Abscheidung eines gemeinsamen Spacers 401 auf dem Kernbereich 102 sowie dem E/A-Bereich 104 dar. Bei einer Ausführungsform besteht der gemeinsame Spacer 401 aus einem Material wie Siliciumnitrid, Siliciumoxynitrid, es kann jedoch ein beliebiges geeignetes Material wie Low-k-Material mit einem k-Wert von weniger als etwa 3,5 benutzt werden. Der gemeinsame Spacer 401 kann mithilfe eines Abscheidungsprozesses wie chemischer Gasphasenabscheidung, physikalischer Gasphasenabscheidung oder Atomlagenabscheidung mit einer Dicke von etwa 3 nm bis etwa 10 nm, wie etwa 5 nm, abgeschieden werden. Es kann jedoch eine beliebige geeignete Dicke oder ein beliebiger geeigneter Abscheidungsprozess benutzt werden. 4 represents a deposition of a common spacer 401 on the core area 102 as well as the I / O area 104 In one embodiment, the common spacer 401 of a material such as silicon nitride, silicon oxynitride, however, any suitable material such as low k material having a k value of less than about 3.5 may be used. The common spacer 401 can be deposited by a deposition process such as chemical vapor deposition, physical vapor deposition, or atomic layer deposition at a thickness of about 3 nm to about 10 nm, such as 5 nm. However, any suitable thickness or any suitable deposition process may be used.

Da der gemeinsame Spacer 401 sowohl auf dem Kernbereich 102 als auch auf dem E/A-Bereich 104 abgeschieden wird, bedeckt er nicht nur die Seitenwände der Kernöffnungen 133 und der E/A-Öffnungen 303, sondern füllt auch die ersten Ausnehmungen 201 und die zweiten Ausnehmungen 203, die in dem Kernbereich 102 ausgebildet wurden. Das Füllen der ersten Ausnehmungen 201 und der zweiten Ausnehmungen 203 unterstützt das Ausbilden der ersten Nanodrähte 901 und der zweiten Nanodrähte 1001, was weiter unten unter Bezugnahme auf die 9A und 10A beschrieben wird.Because the common spacer 401 both on the core area 102 As well as being deposited on the I / O area 104, it not only covers the sidewalls of the core openings 133 and the I / O openings 303, but also fills the first recesses 201 and the second recesses 203 that in the core area 102 were trained. The filling of the first recesses 201 and the second recesses 203 supports the formation of the first nanowires 901 and the second nanowires 1001 what's below with reference to the 9A and 10A is described.

5 stellt ein Entfernen des gemeinsamen Spacers 401 von dem Kernbereich 102 sowie dem E/A-Bereich 104 dar, bei dem erste Innenspacer 501, die die ersten Ausnehmungen 201 füllen, sowie zweite Innenspacer 503 zurückbleiben, die die zweiten Ausnehmungen 203 füllen. Bei einer Ausführungsform kann das Entfernen des gemeinsamen Spacers 401 mithilfe eines Ätzprozesses wie z.B. eines anisotropen Trockenätzprozesses wie eines reaktiven Ionenätzens erfolgen. Es kann jedoch ein beliebiger geeigneter Ätzprozess benutzt werden, bei dem der gemeinsame Spacer 401 entfernt wird, während die ersten Innenspacer 501 und die zweiten Innenspacer 503 zurückbleiben. 5 represents a removal of the common spacer 401 from the core area 102 and the I / O area 104, at the first Innenspacer 501 that the first recesses 201 fill, as well as second Innenspacer 503 stay behind, the second recesses 203 to fill. In one embodiment, removal of the common spacer 401 by using an etching process such as an anisotropic dry etching process such as reactive ion etching. However, any suitable etching process may be used in which the common spacer 401 is removed while the first interior spacer 501 and the second interior spacers 503 remain.

Wenn der gemeinsame Spacer 401 sowohl von dem Kernbereich 102 als auch von dem E/A-Bereich 104 entfernt worden ist, kann mithilfe eines Nassätzprozesses restliches Material von dem gemeinsamen Spacer 401 (z.B. Siliciumnitrid) von den Schichten (z.B. der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117) entfernt werden.If the common spacer 401 both from the core area 102 has also been removed from the I / O area 104, by means of a wet etching process, residual material from the common spacer 401 (For example, silicon nitride) from the layers (eg, the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 ) are removed.

Zusätzlich dazu bleiben, während der gemeinsame Spacer 401 komplett aus dem E/A-Bereich 104 entfernt wird, die ersten Innenspacer 501 zurück und füllen die ersten Ausnehmungen 201 in dem Kernbereich 102, und die zweiten Innenspacer 503 bleiben zurück und füllen die zweiten Ausnehmungen 203 in dem Kernbereich 102. Die ersten Innenspacer 501 nehmen an sich die Form der ersten Ausnehmungen 201 und die zweiten Innenspacer 503 die Form der zweiten Ausnehmungen 203 an. Die ersten Innenspacer 501 können an sich so ausgebildet werden, dass sie die erste Länge L1 und die erste Dicke T1 aufweisen. Zusätzlich dazu können die zweiten Innenspacer 503 so ausgebildet werden, dass sie die erste Länge L1 und die zweite Dicke T2 aufweisen. Es können jedoch beliebige geeignete Maße benutzt werden.In addition to this, stay while the common spacer 401 completely removed from the I / O area 104, the first interior spacer 501 back and fill the first recesses 201 in the core area 102 , and the second interior spacer 503 stay behind and fill in the second recesses 203 in the core area 102 , The first interior spacers 501 take on the form of the first recesses 201 and the second interior spacers 503 the shape of the second recesses 203 at. The first interior spacers 501 may be formed so as to have the first length L 1 and the first thickness T 1 . In addition to this, the second interior spacers 503 be formed so that they have the first length L 1 and the second thickness T 2 . However, any suitable dimensions can be used.

6 stellt eine Ausbildung von ersten epitaktischen Source/Drain-Gebieten 601 sowohl im Kernbereich 102 als auch im E/A-Bereich 104 sowie von zweiten epitaktischen Source/Drain-Gebieten 603 im Kernbereich 102 dar. Bei einer Ausführungsform können die ersten epitaktischen Source/Drain-Gebiete 601 dadurch ausgebildet werden, dass das zweite Bauelement 108 zunächst beispielsweise mit einem Fotolack oder einem anderen Maskierungsmaterial geschützt wird. Wenn das zweite Bauelement 108 geschützt ist, können die ersten epitaktischen Source/Drain-Gebiete 601 mithilfe eines Aufwachsprozesses wie eines gezielten Epitaxieprozesses mit einem Material wie Silicium ausgebildet werden. Für den epitaktischen Aufwachsprozess können Precursors wie Monosilan, Dichlorsilan, Monogerman und dergleichen verwendet werden, und er kann etwa 5 Minuten bis etwa 120 Minuten, wie etwa 30 Minuten, dauern. 6 provides an education of first epitaxial source / drain regions 601 both in the core area 102 as well as in the I / O area 104 as well as second epitaxial source / drain areas 603 in the core area 102 In one embodiment, the first epitaxial source / drain regions 601 be formed by the second component 108 initially protected for example with a photoresist or other masking material. If the second component 108 Protected, the first epitaxial source / drain regions 601 be formed using a growth process such as a targeted epitaxy process with a material such as silicon. For the epitaxial growth process, precursors such as monosilane, dichlorosilane, monogermin and the like may be used and may take from about 5 minutes to about 120 minutes, such as about 30 minutes.

Wenn die ersten epitaktischen Source/Drain-Gebiete 601 ausgebildet worden sind, können durch Implantieren entsprechender Dotierstoffe zur Ergänzung der Dotierstoffe im Rest des ersten Bauelements 106 und des dritten Bauelements 110 Dotierstoffe in die ersten epitaktischen Source/Drain-Gebiete 601 hinein implantiert werden. So können beispielsweise zum Ausbilden von NMOS-Bauelementen n-leitende Dotierstoffe wie Phosphor (zum Bilden von SiP), Arsen, Antimon oder dergleichen implantiert werden. Diese Dotierstoffe können unter Verwendung der Stapel 129, der ersten Spacer 131 und der zweiten Spacer 301 als Masken implantiert werden. Es sei angemerkt, dass Durchschnittsfachleuten klar sein wird, dass viele andere Prozesse, Schritte oder dergleichen zum Implantieren der Dotierstoffe verwendet werden können. Durchschnittsfachleuten wird zum Beispiel klar sein, dass mehrere Implantationen unter Verwendung verschiedener Kombinationen von Spacern und Beschichtungen zum Ausbilden von Source/Drain-Gebieten mit einer für einen bestimmten Zweck geeigneten spezifischen Form oder Eigenschaft vorgenommen werden können. Beliebige dieser Prozesse können zum Implantieren der Dotierstoffe verwendet werden, und die obige Beschreibung soll die vorliegende Erfindung nicht auf die oben präsentierten Schritte einschränken. When the first epitaxial source / drain regions 601 have been formed, by implanting corresponding dopants to supplement the dopants in the rest of the first device 106 and the third device 110 Dopants in the first epitaxial source / drain regions 601 be implanted in it. For example, to form NMOS devices, n-type dopants such as phosphorous (to form SiP), arsenic, antimony or the like may be implanted. These dopants can be made using the stacks 129 , the first spacer 131 and the second spacer 301 be implanted as masks. It should be appreciated that those of ordinary skill in the art will appreciate that many other processes, steps or the like may be used to implant the dopants. For example, one of ordinary skill in the art will appreciate that multiple implants may be made using various combinations of spacers and coatings to form source / drain regions having a specific shape or characteristic suitable for a particular purpose. Any of these processes may be used to implant the dopants, and the above description is not intended to limit the present invention to the steps presented above.

Bei einer anderen Ausführungsform können die Dotierstoffe der ersten epitaktischen Source/Drain-Gebiete 601 beim Aufwachsen der ersten epitaktischen Source/Drain-Gebiete 601 eingebracht werden. So kann zum Beispiel Phosphor an Ort und Stelle eingebracht werden, wenn die ersten epitaktischen Source/Drain-Gebiete 601 ausgebildet werden. Es kann ein beliebiger geeigneter Prozess zum Einbringen der Dotierstoffe in die ersten epitaktischen Source/Drain-Gebiete 601 benutzt werden, und sämtliche derartigen Prozesse sollen in den Schutzumfang der Ausführungsformen fallen.In another embodiment, the dopants of the first epitaxial source / drain regions 601 growing the first epitaxial source / drain regions 601 be introduced. For example, phosphorus may be introduced in place when the first epitaxial source / drain regions 601 be formed. There may be any suitable process for introducing the dopants into the first epitaxial source / drain regions 601 are used, and all such processes are intended to be within the scope of the embodiments.

Wenn die ersten epitaktischen Source/Drain-Gebiete 601 ausgebildet worden sind, können durch Entfernen des Schutzes von dem zweiten Bauelement 108 (z.B. durch einen Prozess wie Veraschen) und Schützen des ersten Bauelements 106 und des dritten Bauelements 110 beispielsweise mit einem Fotolack oder einem anderen Maskierungsmaterial die zweiten epitaktischen Source/Drain-Gebiete 603 ausgebildet werden. Wenn das erste Bauelement 106 und das dritte Bauelement 110 geschützt sind, kann mithilfe eines Prozesses wie epitaktisches Aufwachsen das zweite epitaktische Source/Drain-Gebiet 603 aus einem Material wie Siliciumgermanium gebildet werden, es kann jedoch ein beliebiges geeignetes Material oder ein beliebiger geeigneter Prozess benutzt werden. Zusätzlich dazu können entweder bei oder nach dem Aufwachsprozess Dotierstoffe wie Bor (für ein p-leitendes Bauelement) in das zweite epitaktische Source/Drain-Gebiet 603 eingebracht werden. Wenn die zweiten epitaktischen Source/Drain-Gebiete 603 ausgebildet sind, kann mithilfe eines Prozesses wie Veraschen der Schutz für das erste Bauelement 106 und das dritte Bauelement 110 entfernt werden.When the first epitaxial source / drain regions 601 may be formed by removing the protection from the second component 108 (eg by a process such as ashing) and protecting the first device 106 and the third device 110 For example, with a photoresist or other masking material, the second epitaxial source / drain regions 603 be formed. If the first component 106 and the third component 110 protected by a process such as epitaxial growth, the second epitaxial source / drain region 603 may be formed of a material such as silicon germanium, however, any suitable material or process may be used. In addition, either at or after the growth process, dopants such as boron (for a p-type device) may be introduced into the second epitaxial source / drain region 603 be introduced. When the second epitaxial source / drain regions 603 can be formed using a process such as ashing the protection for the first component 106 and the third component 110 be removed.

7 stellt eine Ausbildung einer Zwischenschichtdielektrikum-Schicht (ILD-Schicht - Inter Layer Dielectric) 701 auf dem ersten Bauelement 106, dem zweiten Bauelement 108 und dem dritten Bauelement 110 dar. Die ILD-Schicht 701 kann ein Material wie Borphosphorsilicatglas (BPSG) umfassen, es können jedoch beliebige geeignete Dielektrika verwendet werden. Die ILD-Schicht 701 kann mithilfe eines Prozesses wie PECVD ausgebildet werden, es können jedoch alternativ andere Prozesse wie LPCVD verwendet werden. Die ILD-Schicht 701 kann in einer Dicke von etwa 100 Ä bis etwa 3.000 Å ausgebildet werden. Wenn die ILD-Schicht 701 ausgebildet ist, kann sie z.B. mithilfe eines Planarisierungsprozesses wie eines chemisch-mechanischen Polierprozesses mit den ersten Spacern 131 und den zweiten Spacern 301 planarisiert werden, es kann jedoch ein beliebiger geeigneter Prozess benutzt werden. Zusätzlich dazu kann der Planarisierungsprozess auch die zweite Hartmaske 125 entfernen, während er an der ersten Hartmaske 123 stoppt. 7 illustrates a formation of an Inter Layer Dielectric (ILD) layer. 701 on the first component 106 , the second component 108 and the third component 110 dar. The ILD layer 701 may comprise a material such as borophosphosilicate glass (BPSG), but any suitable dielectrics may be used. The ILD layer 701 can be formed using a process such as PECVD, but other processes such as LPCVD can alternatively be used. The ILD layer 701 can be formed in a thickness of about 100 Å to about 3,000 Å. If the ILD layer 701 is formed, for example, by using a planarization process such as a chemical-mechanical polishing process with the first spacer 131 and the second spacers 301 can be planarized, however, any suitable process can be used. In addition, the planarization process may also include the second hardmask 125 remove while he's at the first hardmask 123 stops.

8 stellt ein Entfernen der ersten Hartmaske 123 sowie ein Entfernen der Dummy-Gate-Elektrode 121 dar. Bei einer Ausführungsform kann die erste Hartmaske 123 mithilfe eines Planarisierungsprozesses wie eines chemisch-mechanischen Polierprozesses zum Entfernen des Materials der ersten Hartmaske 123 und Planarisieren des Materials der Dummy-Gate-Elektrode 121 bis zum Material der ersten Spacer 131 und der zweiten Spacer 301 entfernt werden. Es kann jedoch ein beliebiges geeignetes Verfahren zum Entfernen der ersten Hartmaske 123 zwecks Freilegens des Materials der Dummy-Gate-Elektrode 121 benutzt werden. 8th represents a removal of the first hardmask 123 and removing the dummy gate electrode 121 In one embodiment, the first hardmask 123 using a planarization process such as a chemical mechanical polishing process to remove the material of the first hardmask 123 and planarizing the material of the dummy gate electrode 121 up to the material of the first spacer 131 and the second spacer 301 be removed. However, any suitable method of removing the first hardmask may be used 123 to expose the material of the dummy gate electrode 121 to be used.

Wenn die Dummy-Gate-Elektrode 121 freigelegt ist, kann sie zwecks Freilegens des darunterliegenden Dummy-Gate-Dielektrikums 119 entfernt werden. Bei einer Ausführungsform kann die Dummy-Gate-Elektrode 121 z.B. mithilfe eines oder mehrerer Nass- oder Trockenätzprozesse entfernt werden, die im Hinblick auf das Material der Dummy-Gate-Elektrode 121 materialspezifische Ätzmittel benutzen. Es kann jedoch ein beliebiger geeigneter Entfernungsprozess benutzt werden.If the dummy gate electrode 121 it may be exposed to expose the underlying dummy gate dielectric 119 be removed. In one embodiment, the dummy gate electrode 121 eg, by one or more wet or dry etch processes, which are in view of the material of the dummy gate electrode 121 use material-specific etchant. However, any suitable removal process may be used.

9A stellt dar, dass das Dummy-Gate-Dielektrikum 119, wenn es freigelegt ist, in dem ersten Bauelement 106 (z.B. dem n-leitenden Bauelement) entfernt werden kann, ohne dass es in dem zweiten Bauelement 108 oder dem dritten Bauelement 110 entfernt wird. Bei einer Ausführungsform kann das Dummy-Gate-Dielektrikum 119 von dem ersten Bauelement 106 entfernt werden, indem ein Schutzmaterial wie ein Fotolack oder ein anderes geeignetes Maskierungsmaterial an dem zweiten Bauelement 108 und dem dritten Bauelement 110 angebracht wird. Wenn das zweite Bauelement 108 und das dritte Bauelement 110 geschützt sind, kann das Dummy-Gate-Dielektrikum 119 in dem ersten Bauelement 106 z.B. mithilfe eines Nassätzprozesses entfernt werden, es kann jedoch ein beliebiger geeigneter Ätzprozess benutzt werden. 9A represents that the dummy gate dielectric 119 when exposed in the first component 106 (For example, the n-type device) can be removed without it in the second component 108 or the third component 110 Will get removed. In one embodiment, the dummy gate dielectric 119 of the first component 106 by removing a protective material such as a photoresist or other suitable masking material on the second device 108 and the third component 110 is attached. If the second component 108 and the third component 110 are protected, the dummy gate dielectric 119 in the first component 106 For example, using a wet etching process, however, any suitable etching process may be used.

Wenn das Dummy-Gate-Dielektrikum 119 von dem ersten Bauelement 106 entfernt worden ist, kann das Schutzmaterial auf dem zweiten Bauelement 108 und dem dritten Bauelement 110 entfernt werden. Bei einer Ausführungsform, bei der es sich bei dem Schutzmaterial um einen Fotolack handelt, kann dieses mithilfe eines Veraschungsprozesses (bei dem die Temperatur des Fotolacks erhöht wird, bis es zu einer Zersetzung des Fotolackmaterials kommt) oder eines Ablösungsprozesses (Stripping) entfernt werden. Es kann jedoch ein beliebiges geeignetes Verfahren zum Entfernen des Schutzmaterials benutzt werden.If the dummy gate dielectric 119 from the first component 106 has been removed, the protective material on the second component 108 and the third component 110 be removed. In one embodiment, where the protective material is a photoresist, it may be removed by an ashing process (in which the temperature of the photoresist is increased until decomposition of the photoresist material occurs) or a stripping process. However, any suitable method of removing the protective material may be used.

9A zeigt außerdem, dass nach dem Entfernen des Dummy-Gate-Dielektrikums 119 von dem ersten Bauelement 106 (wodurch, wie in der Querschnittsansicht in 9B zu sehen ist, auch die Seiten der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 freigelegt werden) die erste Halbleiterschicht 103, die dritte Halbleiterschicht 107, die fünfte Halbleiterschicht 111 und die siebente Halbleiterschicht 115 zwischen dem Halbleitersubstrat 101, der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 in dem ersten Bauelement 106 entfernt werden können. Bei einer Ausführungsform können die erste Halbleiterschicht 103, die dritte Halbleiterschicht 107, die fünfte Halbleiterschicht 111 und die siebente Halbleiterschicht 115 mithilfe eines Nassätzprozesses entfernt werden, der gezielt das Material der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 (z.B. Siliciumgermanium) entfernt, ohne das Material des Halbleitersubstrats 101, der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 (z.B. Silicium) maßgeblich zu entfernen. Es kann jedoch ein beliebiger geeigneter Entfernungsprozess benutzt werden. 9A also shows that after removing the dummy gate dielectric 119 from the first component 106 (whereby, as in the cross-sectional view in FIG 9B it is also possible to see the sides of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 exposed) the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 between the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 in the first component 106 can be removed. In one embodiment, the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 be removed by means of a wet etching process, which specifically the material of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 (eg silicon germanium), without the material of the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 (eg silicon) to remove significantly. However, any suitable removal process may be used.

Bei einer Ausführungsform, bei der es sich bei dem Material der ersten Halbleiterschicht 103 um Siliciumgermanium und bei der zweiten Halbleiterschicht 105 um Silicium handelt, kann das Entfernen der ersten Halbleiterschicht 103 beispielsweise mithilfe eines Ätzmittels erfolgen, das gezielt das Material der ersten Halbleiterschicht 103 (z.B. Siliciumgermanium) entfernt, ohne das Material der zweiten Halbleiterschicht 105 (z.B. Silicium) maßgeblich zu entfernen. Bei einer Ausführungsform kann es sich bei dem Ätzmittel um heißen HCl handeln. Zusätzlich dazu kann der Nassätzprozess bei einer Temperatur von etwa 400°C bis etwa 600°C, wie etwa 560°C, und für einen Zeitraum von etwa 100 Sekunden bis etwa 1000 Sekunden, wie etwa 300 Sekunden, erfolgen. Es können jedoch ein beliebiges geeignetes Ätzmittel, beliebige geeignete Prozessparameter und ein beliebiger geeigneter Zeitraum benutzt werden.In an embodiment in which the material of the first semiconductor layer 103 around silicon germanium and at the second semiconductor layer 105 is silicon, the removal of the first semiconductor layer 103 For example, by using an etchant, which specifically the material of the first semiconductor layer 103 (eg, silicon germanium) without the material of the second semiconductor layer 105 (eg silicon) to remove significantly. In one embodiment, the etchant may be hot HCl. In addition, the wet etching process may occur at a temperature of from about 400 ° C to about 600 ° C, such as 560 ° C, and for a period of from about 100 seconds to about 1000 seconds, such as about 300 seconds. However, any suitable etchant, any suitable process parameters, and any suitable period of time may be used.

Durch Entfernen des Materials der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 werden aus dem Material der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 in dem ersten Bauelement 106 erste Nanodrähte 901 in dem ersten Bauelement 106 gebildet, die durch die ersten Innenspacer 501 voneinander getrennt sind. Die ersten Nanodrähte 901 umfassen die Kanalbereiche des ersten Bauelements 106, die sich zwischen gegenüberliegenden der ersten epitaktischen Source/Drain-Gebiete 601 in dem ersten Bauelement 106 erstrecken, wenn dieses fertiggestellt ist.By removing the material of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 are made of the material of the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 in the first component 106 first nanowires 901 in the first component 106 formed by the first interior spacer 501 are separated from each other. The first nanowires 901 include the channel regions of the first device 106 extending between opposing the first epitaxial source / drain regions 601 in the first component 106 extend when this is completed.

9B stellt eine Querschnittsansicht des ersten Bauelements 106 entlang der Linie B-B' in 9A dar. Wie zu sehen ist, liegen, wenn das Dummy-Gate-Dielektrikum 119 entfernt worden ist, die Seiten der ersten Halbleiterschicht 103, der zweiten Halbleiterschicht 105, der dritten Halbleiterschicht 107, der vierten Halbleiterschicht 109, der fünften Halbleiterschicht 111, der sechsten Halbleiterschicht 113, der siebenten Halbleiterschicht 115 und der achten Halbleiterschicht 117 frei. Die erste Halbleiterschicht 103, die dritte Halbleiterschicht 107, die fünfte Halbleiterschicht 111 und die siebente Halbleiterschicht 115 können an sich dem Ätzmittel ausgesetzt und zum Ausbilden der ersten Nanodrähte 901 zwischen den anderen Schichten entfernt werden. 9B FIG. 12 illustrates a cross-sectional view of the first component. FIG 106 along the line BB 'in 9A As can be seen, when the dummy gate dielectric 119 has been removed, the sides of the first semiconductor layer 103 , the second semiconductor layer 105 , the third semiconductor layer 107 , the fourth semiconductor layer 109 , the fifth semiconductor layer 111 , the sixth semiconductor layer 113 , the seventh semiconductor layer 115 and the eighth semiconductor layer 117 free. The first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 can themselves be exposed to the etchant and to form the first nanowires 901 be removed between the other layers.

10A stellt dar, dass, wenn die ersten Nanodrähte 901 in dem ersten Bauelement 106 ausgebildet sind, durch Entfernen eines Abschnitts des Halbleitersubstrats 101, der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 zwischen der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 in dem zweiten Bauelement 108 zweite Nanodrähte 1001 in dem zweiten Bauelement 108 ausgebildet werden können. 10A represents that when the first nanowires 901 in the first component 106 are formed by removing a portion of the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 between the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 in the second component 108 second nanowires 1001 in the second component 108 can be trained.

Bei einer Ausführungsform kann das Dummy-Gate-Dielektrikum 119 von dem ersten Bauelement 108 entfernt werden, indem ein Schutzmaterial wie ein Fotolack oder anderes geeignetes Maskierungsmaterial an dem ersten Bauelement 106 und dem dritten Bauelement 110 angebracht wird. Wenn das erste Bauelement 106 und das dritte Bauelement 110 geschützt sind, kann das Dummy-Gate-Dielektrikum 119 in dem zweiten Bauelement 108 z.B. mithilfe eines Nassätzprozesses entfernt werden, es kann jedoch ein beliebiger geeigneter Ätzprozess benutzt werden. In one embodiment, the dummy gate dielectric 119 from the first component 108 be removed by applying a protective material such as a photoresist or other suitable masking material to the first device 106 and the third component 110 is attached. If the first component 106 and the third component 110 are protected, the dummy gate dielectric 119 in the second component 108 For example, using a wet etching process, however, any suitable etching process may be used.

Wenn das Dummy-Gate-Dielektrikum 119 von dem zweiten Bauelement 108 entfernt worden ist, kann das Schutzmaterial auf dem ersten Bauelement 106 und dem dritten Bauelement 110 entfernt werden. Bei einer Ausführungsform, bei der es sich bei dem Schutzmaterial um einen Fotolack handelt, kann dieses mithilfe eines Veraschungsprozesses (bei dem die Temperatur des Fotolacks erhöht wird, bis es zu einer Zersetzung des Fotolackmaterials kommt) oder eines Ablösungsprozesses (Stripping) entfernt werden. Es kann jedoch ein beliebiges geeignetes Verfahren zum Entfernen des Schutzmaterials benutzt werden.If the dummy gate dielectric 119 from the second component 108 has been removed, the protective material on the first component 106 and the third component 110 be removed. In one embodiment, where the protective material is a photoresist, it may be removed by an ashing process (in which the temperature of the photoresist is increased until decomposition of the photoresist material occurs) or a stripping process. However, any suitable method of removing the protective material may be used.

10A zeigt außerdem, dass nach dem Entfernen des Dummy-Gate-Dielektrikums 119 von dem zweiten Bauelement 108 (und, wie in 10B dargestellt ist, auch von den Seiten des Halbleitersubstrats 101, der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117) das Halbleitersubstrat 101, die zweite Halbleiterschicht 105, die vierte Halbleiterschicht 109, die sechste Halbleiterschicht 113 und die achte Halbleiterschicht 117 zwischen der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 entfernt werden können. 10A also shows that after removing the dummy gate dielectric 119 from the second component 108 (and, as in 10B is shown, also from the sides of the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 ) the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 between the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 can be removed.

Bei einer Ausführungsform können das Halbleitersubstrat 101, die zweite Halbleiterschicht 105, die vierte Halbleiterschicht 109, die sechste Halbleiterschicht 113 und die achte Halbleiterschicht 117 mithilfe eines Nassätzprozesses entfernt werden, der gezielt das Material des Halbleitersubstrats 101, der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 (z.B. Silicium) entfernt, ohne das Material der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 (z.B. Siliciumgermanium) maßgeblich zu entfernen. Es kann jedoch ein beliebiger geeigneter Prozess verwendet werden.In an embodiment, the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 be removed by means of a wet etching process, which specifically the material of the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 (eg, silicon) without the material of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 (eg silicon germanium) to remove significantly. However, any suitable process can be used.

Bei einer Ausführungsform, bei der es sich bei dem Material der ersten Halbleiterschicht 103 um Siliciumgermanium und bei der zweiten Halbleiterschicht 105 um Silicium handelt, kann das Entfernen der zweiten Halbleiterschicht 105 beispielsweise mithilfe eines Ätzmittels erfolgen, das gezielt das Material der zweiten Halbleiterschicht 105 (z.B. Silicium) entfernt, ohne das Material der ersten Halbleiterschicht 103 (z.B. Siliciumgermanium) maßgeblich zu entfernen. Bei einer Ausführungsform kann es sich bei dem Ätzmittel für das Entfernen der zweiten Halbleiterschicht 105 um ein Ätzmittel wie Tetramethylammoniumhydroxid (TMAH) oder Ammoniumhydroxidlösung handeln. Zusätzlich dazu kann der Nassätzprozess bei einer Temperatur von etwa 25°C bis etwa 100°C, wie etwa 30°C, und für einen Zeitraum von etwa 10 Sekunden bis etwa 200 Sekunden, wie etwa 60 Sekunden, erfolgen. Es können jedoch ein beliebiges geeignetes Ätzmittel, beliebige geeignete Prozessparameter und ein beliebiger geeigneter Zeitraum benutzt werden.In an embodiment in which the material of the first semiconductor layer 103 around silicon germanium and at the second semiconductor layer 105 is silicon, the removal of the second semiconductor layer 105 For example, by using an etchant, which specifically the material of the second semiconductor layer 105 (eg, silicon) without the material of the first semiconductor layer 103 (eg silicon germanium) to remove significantly. In one embodiment, the etchant may be for removing the second semiconductor layer 105 to act an etchant such as tetramethylammonium hydroxide (TMAH) or ammonium hydroxide solution. In addition, the wet etching process may be carried out at a temperature of from about 25 ° C to about 100 ° C, such as 30 ° C, and for a period of from about 10 seconds to about 200 seconds, such as about 60 seconds. However, any suitable etchant, any suitable process parameters, and any suitable period of time may be used.

Durch Entfernen des Materials des Halbleitersubstrats 101, der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 werden aus dem Material der ersten Halbleiterschicht 103, der dritten Halbleiterschicht 107, der fünften Halbleiterschicht 111 und der siebenten Halbleiterschicht 115 die zweiten Nanodrähte 1001 in dem zweiten Bauelement 108 gebildet, die durch die zweiten Innenspacer 503 voneinander getrennt sind. Die zweiten Nanodrähte 1001 umfassen die Kanalbereiche des zweiten Bauelements 108, die sich zwischen gegenüberliegenden der zweiten epitaktischen Source/Drain-Gebiete 603 in dem zweiten Bauelement 108 erstrecken, wenn dieses fertiggestellt ist.By removing the material of the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 are made of the material of the first semiconductor layer 103 , the third semiconductor layer 107 , the fifth semiconductor layer 111 and the seventh semiconductor layer 115 the second nanowires 1001 in the second component 108 formed by the second interior spacer 503 are separated from each other. The second nanowires 1001 include the channel regions of the second device 108 extending between opposing the second epitaxial source / drain regions 603 in the second component 108 extend when this is completed.

Wie in 10A zu sehen ist, bleibt außerdem beim Ausbilden der ersten Nanodrähte 901 und der zweiten Nanodrähte 1001 innerhalb des Kernbereichs 102 in dem ersten Bauelement 106 und dem zweiten Bauelement 108 der Grat 122 in dem dritten Bauelement 110 innerhalb des E/A-Bereichs 104 unstrukturiert und erstreckt sich von dem Halbleitersubstrat 101 aus durchgängig zwischen den ersten epitaktischen Source/Drain-Gebieten 601.As in 10A can also be seen in the formation of the first nanowires 901 and the second nanowires 1001 within the core area 102 in the first component 106 and the second component 108 the ridge 122 in the third component 110 within the I / O area 104 is unstructured and extends from the semiconductor substrate 101 from consistently between the first epitaxial source / drain regions 601 ,

10B stellt eine Querschnittsansicht des zweiten Bauelements 108 entlang der Linie B-B' in 10A dar. Wie zu sehen ist, liegen, wenn das Dummy-Gate-Dielektrikum 119 entfernt worden ist, die Seiten des Halbleitersubstrats 101, der zweiten Halbleiterschicht 105, der vierten Halbleiterschicht 109, der sechsten Halbleiterschicht 113 und der achten Halbleiterschicht 117 frei. Das Halbleitersubstrat 101, die zweite Halbleiterschicht 105, die vierte Halbleiterschicht 109, die sechste Halbleiterschicht 113 und die achte Halbleiterschicht 117 können an sich dem Ätzmittel ausgesetzt und zum Ausbilden der zweiten Nanodrähte 1001 zwischen den anderen Schichten entfernt werden. 10B FIG. 3 illustrates a cross-sectional view of the second component. FIG 108 along the line BB 'in 10A As can be seen, when the dummy gate dielectric 119 has been removed, the sides of the semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 free. The semiconductor substrate 101 , the second semiconductor layer 105 , the fourth semiconductor layer 109 , the sixth semiconductor layer 113 and the eighth semiconductor layer 117 can themselves be exposed to the etchant and to form the second nanowires 1001 be removed between the other layers.

11A stellt ein Entfernen des Dummy-Gate-Dielektrikums 119 in dem dritten Bauelement 110 in dem E/A-Bereich 104 und ein jeweiliges Ausbilden eines Gate-Dielektrikums 1101 in dem ersten Bauelement 106, dem zweiten Bauelement 108 und dem dritten Bauelement 110 dar. Bei einer Ausführungsform kann das Dummy-Gate-Dielektrikum 119 in dem dritten Bauelement 110 z.B. mithilfe eines Nassätzprozesses entfernt werden, es kann jedoch ein beliebiger geeigneter Ätzprozess benutzt werden. 11A represents a removal of the dummy gate dielectric 119 in the third component 110 in the I / O area 104 and forming a gate dielectric, respectively 1101 in the first component 106 , the second component 108 and the third component 110 In one embodiment, the dummy gate dielectric 119 in the third component 110 For example, using a wet etching process, however, any suitable etching process may be used.

Wenn das Dummy-Gate-Dielektrikum 119 von dem dritten Bauelement 110 entfernt worden ist, kann das Gate-Dielektrikum 1101 ausgebildet werden. Vor dem Ausbilden des Gate-Dielektrikums kann wahlweise eine erste und eine zweite Grenzschicht (nicht einzeln gezeigt) ausgebildet werden. Bei einer Ausführungsform kann es sich bei der ersten Grenzschicht um ein Grenzflächenmaterial wie Silicium handeln, es kann jedoch ein beliebiges geeignetes Material benutzt werden. Das Grenzflächenmaterial kann mithilfe eines Abscheidungsprozesses wie einer Atomlagenabscheidung oder einer chemischen Gasphasenabscheidung in einer nicht Null betragenden Dicke von weniger als 20 Å, wie etwa 10 Å, abgeschieden werden. Es kann jedoch ein beliebiges geeignetes Verfahren und eine beliebige geeignete Dicke benutzt werden.If the dummy gate dielectric 119 from the third component 110 has been removed, the gate dielectric 1101 be formed. Optionally, prior to forming the gate dielectric, first and second barrier layers (not shown individually) may be formed. In one embodiment, the first barrier may be an interface material such as silicon, but any suitable material may be used. The interfacial material may be deposited by a deposition process such as atomic layer deposition or chemical vapor deposition in a non-zero thickness of less than 20 Å, such as 10 Å. However, any suitable method and thickness may be used.

Bei einer Ausführungsform umfasst die zweite Grenzschicht ein Puffermaterial wie Siliciumoxid, es kann jedoch ein beliebiges geeignetes Material benutzt werden. Die zweite Grenzschicht kann mithilfe eines Prozesses wie CVD, PVD oder auch Oxidation in einer Dicke von etwa 1 Å bis etwa 20 Å, wie etwa 9 Å, ausgebildet werden. Es kann jedoch ein beliebiger geeigneter Prozess oder eine beliebige geeignete Dicke benutzt werden.In one embodiment, the second interface comprises a buffer material such as silicon oxide, but any suitable material may be used. The second barrier layer may be formed by a process such as CVD, PVD, or oxidation in a thickness of about 1 Å to about 20 Å, such as 9 Å. However, any suitable process or thickness may be used.

Bei einer Ausführungsform handelt es sich bei dem Gate-Dielektrikum 1101 um ein High-k-Material wie HfO2, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta2O5, Kombinationen davon oder dergleichen, das über einen Prozess wie Atomlagenabscheidung, chemische Gasphasenabscheidung oder dergleichen abgeschieden wird. Das Gate-Dielektrikum 1101 kann in einer Dicke von etwa 5 Å bis etwa 200 Å abgeschieden werden, es kann jedoch ein beliebiges geeignetes Material und eine beliebige geeignete Dicke benutzt werden. Wie dargestellt ist, umgibt das Gate-Dielektrikum 1101 die ersten Nanodrähte 901 und die zweiten Nanodrähte 1001 und bildet so Kanalbereiche des ersten Bauelements 106 beziehungsweise des zweiten Bauelements 108.In one embodiment, the gate dielectric is 1101 a high-k material such as HfO 2 , HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta 2 O 5 , combinations thereof or the like deposited by a process such as atomic layer deposition, chemical vapor deposition or the like. The gate dielectric 1101 can be deposited in a thickness of about 5 Å to about 200 Å, but any suitable material and thickness can be used. As shown, the gate dielectric surrounds 1101 the first nanowires 901 and the second nanowires 1001 and thus forms channel regions of the first component 106 or of the second component 108 ,

Wenn das Gate-Dielektrikum 1101 ausgebildet ist, wird die Gate-Elektrode 1103 so ausgebildet, dass sie nicht nur sowohl über den ersten Nanodrähten 901 (in dem ersten Bauelement 106) als auch über den zweiten Nanodrähten 1001 (in dem zweiten Bauelement 108) und der achten Halbleiterschicht 117 (in dem dritten Bauelement 110) liegt, sondern die ersten Nanodrähte 901 (in dem ersten Bauelement 106) und die zweiten Nanodrähte 1001 (in dem zweiten Bauelement 108) auch umgibt. Bei einer Ausführungsform wird die Gate-Elektrode 1103 mithilfe eines konformen Abscheidungsverfahrens wie der Atomlagenabscheidung (ALD - Atomic Layer Deposition) ausgebildet, welches das Füllen des Zwischenraums zwischen den ersten Nanodrähten 901 und den zweiten Nanodrähten 1001 ermöglicht. Es kann jedoch ein beliebiges geeignetes Material oder Verfahren zur Ausbildung benutzt werden.When the gate dielectric 1101 is formed, the gate electrode 1103 designed so that they not only cover both the first nanowires 901 (in the first component 106 ) as well as over the second nanowire 1001 (in the second component 108 ) and the eighth semiconductor layer 117 (in the third component 110 ), but the first nanowires 901 (in the first component 106 ) and the second nanowires 1001 (in the second component 108 ) also surrounds. In one embodiment, the gate electrode becomes 1103 formed by a conformal deposition method such as Atomic Layer Deposition (ALD), which fills the gap between the first nanowires 901 and the second nanowires 1001 allows. However, any suitable material or method of formation may be used.

Bei einer weiteren Ausführungsform kann die Gate-Elektrode 1103 mehrere Schichten umfassen, sie jeweils der Reihe nach aneinander angrenzend abgeschieden werden, wie beispielsweise ein erstes metallhaltiges Material, ein zweites metallhaltiges Material, ein Blockiermaterial und eine erste Nukleationsschicht. Das erste metallhaltige Material kann an das Gate-Dielektrikum 1101 angrenzend aus einem Metallmaterial wie mit Silicium dotiertem Titannitrid (TSN) ausgebildet werden, es können jedoch auch andere geeignete Materialien wie Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, andere Metalloxide, Metallnitride, Metallsilicate, Übergangsmetalloxide, Übergangsmetallnitride, Übergangsmetallsilicate, Oxynitride von Metallen, Metallaluminate, Zirconsilicat, Zirconaluminat, Kombinationen davon oder dergleichen benutzt werden. Bei einer Ausführungsform, bei der es sich bei dem ersten metallhaltigen Material um TSN handelt, kann dieses mithilfe eines Abscheidungsprozesses wie Atomlagenabscheidung abgeschieden werden, es können jedoch auch andere geeignete Prozesse, wie chemische Gasphasenabscheidung, Sputtern oder dergleichen, verwendet werden. Das erste metallhaltige Material kann in einer Dicke von etwa 5 Å bis etwa 200 Å abgeschieden werden, es kann jedoch eine beliebige geeignete Dicke verwendet werden.In a further embodiment, the gate electrode 1103 a plurality of layers, each deposited sequentially adjacent to each other, such as a first metal-containing material, a second metal-containing material, a blocking material and a first nucleation layer. The first metal-containing material may be attached to the gate dielectric 1101 may be formed adjacent to a metal material such as silicon doped titanium nitride (TSN), but other suitable materials such as Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, other metal oxides, Metal nitrides, metal silicates, transition metal oxides, transition metal nitrides, transition metal silicates, oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations thereof, or the like. In an embodiment where the first metal-containing material is TSN, it may be deposited by a deposition process such as atomic layer deposition, but other suitable processes such as chemical vapor deposition, sputtering or the like may be used. The first metal-containing material may be deposited in a thickness of about 5 Å to about 200 Å, but any suitable thickness may be used.

Wenn das erste metallhaltige Material ausgebildet worden ist, kann daran angrenzend das zweite metallhaltige Material ausgebildet werden. Bei einer Ausführungsform kann das zweite metallhaltige Material aus einem Austrittsarbeitsmetall wie TiAl, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, anderen Metalloxiden, Metallnitriden, Metallsilicaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilicaten, Oxynitriden von Metallen, Metallaluminaten, Zirconsilicat, Zirconaluminat, Kombinationen davon oder dergleichen ausgebildet werden. Außerdem kann das zweite metallhaltige Material mithilfe eines Abscheidungsprozesses wie Atomlagenabscheidung, chemischer Gasphasenabscheidung, Sputtern oder dergleichen in einer Dicke von etwa 5 Å bis etwa 200 Å abgeschieden werden, es kann jedoch ein beliebiger geeigneter Prozess oder eine beliebige geeignete Dicke verwendet werden.When the first metal-containing material has been formed, the second metal-containing material may be formed adjacent thereto. In one embodiment, the second metal-containing material may be a workfunction metal such as TiAl, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal oxides, transition metal nitrides, transition metal silicates , Oxynitrides of metals, metal aluminates, zirconium silicate, zirconium aluminate, combinations thereof, or the like. In addition, the second metal-containing material may be deposited by a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering or the like in a thickness of about 5 Å to about 200 Å, but it may be any one suitable process or any suitable thickness can be used.

Das Blockiermaterial kann zum Blockieren des Übergangs von Materialien aus dem dritten metallhaltigen Material in andere Bereiche verwendet werden. Bei einer Ausführungsform kann es sich bei dem Blockiermaterial um ein Material wie Titannitrid handeln, es kann jedoch ein beliebiges anderes geeignetes Material verwendet werden. Das Blockiermaterial kann mithilfe eines Prozesses wie Atomlagenabscheidung, chemischer Gasphasenabscheidung, Sputtern oder dergleichen in einer Dicke von etwa 15 Å abgeschieden werden, es kann jedoch ein beliebiger geeigneter Abscheidungsprozess oder eine beliebige geeignete Dicke verwendet werden.The blocking material may be used to block the transition of materials from the third metal-containing material to other regions. In one embodiment, the blocking material may be a material such as titanium nitride, but any other suitable material may be used. The blocking material may be deposited by a process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like to a thickness of about 15 Å, however, any suitable deposition process or thickness may be used.

Nach dem Abscheiden des Blockiermaterials wird die erste Nukleationsschicht ausgebildet, um eine erste Nukleation des dritten metallhaltigen Materials zu ermöglichen. Zusätzlich dazu wird die erste Nukleationsschicht bei einer Ausführungsform als fluorfreies Material ausgebildet, was dazu beiträgt, einen Übergang von Fluor in andere Abschnitte der Struktur zu verhindern. Bei einer bestimmten Ausführungsform, bei der es sich bei dem dritten metallhaltigen Material um Wolfram handelt, kann die erste Nukleationsschicht aus einem Material wie fluorfreiem Wolfram (FFW) bestehen.After depositing the blocking material, the first nucleation layer is formed to facilitate a first nucleation of the third metal-containing material. In addition, in one embodiment, the first nucleation layer is formed as a fluorine-free material, which helps to prevent fluorine from transferring to other portions of the structure. In a particular embodiment, where the third metal-containing material is tungsten, the first nucleation layer may be made of a material such as fluorine-free tungsten (FFW).

Das dritte metallhaltige Material füllt einen Rest der Öffnung, die durch das Entfernen der Dummy-Gate-Elektrode 121 entsteht. Bei einer Ausführungsform handelt es sich bei dem dritten metallhaltigen Material um ein Metallmaterial wie W, Al, Cu, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen davon oder dergleichen, das mithilfe eines Abscheidungsprozesses wie chemischer Gasphasenabscheidung abgeschieden werden kann, es kann jedoch ein beliebiger geeigneter Prozess, wie Atomlagenabscheidung, Sputtern oder dergleichen, zum Füllen oder Überfüllen der durch das Entfernen der Dummy-Gate-Elektrode 121 entstandenen Öffnung verwendet werden. Bei einer bestimmten Ausführungsform kann das dritte metallhaltige Material in einer Dicke von etwa 5 Å bis etwa 500 Å abgeschieden werden, es kann jedoch ein beliebiges geeignetes Material, ein beliebiger geeigneter Abscheidungsprozess und eine beliebige geeignete Dicke benutzt werden. Bei einer bestimmten Ausführungsform kann das dritte metallhaltige Material mithilfe eines chemischen Gasphasenabscheidungsprozesses ausgebildet werden. Es können beliebige geeignete Prozessbedingungen benutzt werden.The third metal-containing material fills a remainder of the opening caused by the removal of the dummy gate electrode 121 arises. In one embodiment, the third metal-containing material is a metal material such as W, Al, Cu, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni However, any suitable process such as atomic layer deposition, sputtering or the like may be used to fill or overfill by removing the dummy gate electrode 121 incurred opening can be used. In a particular embodiment, the third metal-containing material may be deposited to a thickness of about 5 Å to about 500 Å, however, any suitable material, any suitable deposition process, and any suitable thickness may be used. In a particular embodiment, the third metal-containing material may be formed by a chemical vapor deposition process. Any suitable process conditions may be used.

Wenn die durch das Entfernen der Dummy-Gate-Elektrode 121 entstandene Öffnung gefüllt worden ist, können die Materialien zum Entfernen von Material, das sich außerhalb der durch das Entfernen der Dummy-Gate-Elektrode 121 entstandenen Öffnung befindet, planarisiert werden. Bei einer bestimmten Ausführungsform kann das Entfernen mithilfe eines Planarisierungsprozesses wie chemisch-mechanisches Polieren erfolgen. Es kann jedoch ein beliebiger geeigneter Planarisierungs- und Entfernungsprozess benutzt werden.If by removing the dummy gate electrode 121 When the resulting opening has been filled, the materials used to remove the material can be removed by removing the dummy gate electrode 121 resulting opening is planarized. In a particular embodiment, the removal may be accomplished by a planarization process such as chemical mechanical polishing. However, any suitable planarization and removal process may be used.

11A stellt auch dar, dass nach dem Ausbilden der Gate-Elektrode 1103 durch die ILD-Schicht 701 hindurch verlaufende Silicidkontakte 1105 und Kontakte 1107 ausgebildet werden können, die für eine elektrische Verbindung mit den ersten epitaktischen Source/Drain-Gebieten 601 und dem zweiten epitaktischen Source/Drain-Gebiet 603 sorgen. Bei einer Ausführungsform können die Silicidkontakte 1105 und die Kontakte 1107 dadurch ausgebildet werden, dass zunächst durch die ILD-Schicht 701 verlaufende Öffnungen (in 11A nicht einzeln dargestellt) ausgebildet werden, um die ersten epitaktischen Source/Drain-Gebiete 601 und das zweite epitaktische Source/Drain-Gebiet 603 freizulegen. Die Öffnungen können z.B. mithilfe eines geeigneten fotolithografischen Maskierungs- und Ätzprozesses ausgebildet werden. 11A also illustrates that after forming the gate electrode 1103 through the ILD layer 701 passing silicide contacts 1105 and contacts 1107 can be formed, which for electrical connection to the first epitaxial source / drain regions 601 and the second epitaxial source / drain region 603 to care. In one embodiment, the silicide contacts 1105 and the contacts 1107 be formed by first by the ILD layer 701 extending openings (in 11A not shown individually) to the first epitaxial source / drain regions 601 and the second epitaxial source / drain region 603 expose. The openings may be formed, for example, by means of a suitable photolithographic masking and etching process.

Die Silicidkontakte 1105 können Titan, Nickel, Cobalt oder Erbium umfassen, damit sich die Höhe der Schottky-Barriere des Kontakts verringert. Es können jedoch auch andere Metalle wie Platin, Palladium und dergleichen verwendet werden. Die Silicidierung kann durch flächendeckendes Abscheiden einer geeigneten Metallschicht, gefolgt von einem Temperschritt erfolgen, der dazu führt, dass das Metall mit dem darunter befindlichen freiliegenden Silicium reagiert. Nicht umgesetztes Metall wird dann beispielsweise mit einem gezielten Ätzprozess entfernt. Die Dicke der Silicidkontakte 1105 kann etwa 5 nm bis etwa 50 nm betragen.The silicide contacts 1105 may include titanium, nickel, cobalt or erbium to reduce the height of the contact's Schottky barrier. However, other metals such as platinum, palladium and the like can also be used. The silicidation can be accomplished by blanketing a suitable metal layer, followed by an annealing step that causes the metal to react with the underlying exposed silicon. Unreacted metal is then removed, for example, with a targeted etching process. The thickness of the silicide contacts 1105 may be about 5 nm to about 50 nm.

Bei einer Ausführungsform können die Kontakte 1107 aus einem leitfähigen Material wie Al, Cu, W, Co, Ti, Ta, Ru, TiN, TiAl, TiAlN, TaN, TaC, NiSi, CoSi, Kombinationen davon oder dergleichen bestehen, es kann jedoch mithilfe eines Abscheidungsprozesses wie Sputtern, chemischer Gasphasenabscheidung, Galvanisieren, stromloses Plattieren oder dergleichen zum Füllen und/oder Überfüllen der Öffnungen ein beliebiges geeignetes Material darin abgeschieden werden. Wenn sie gefüllt sind, kann außerhalb der Öffnungen abgeschiedenes Material mithilfe eines Planarisierungsprozesses wie chemisch-mechanisches Polieren (CMP) entfernt werden. Es kann jedoch ein beliebiges geeignetes Material und ein beliebiger geeigneter Prozess zum Ausbilden benutzt werden.In one embodiment, the contacts 1107 may consist of a conductive material such as Al, Cu, W, Co, Ti, Ta, Ru, TiN, TiAl, TiAlN, TaN, TaC, NiSi, CoSi, combinations thereof, or the like, but it may be formed by a deposition process such as sputtering, chemical vapor deposition Plating, electroless plating or the like for filling and / or overfilling the openings, any suitable material is deposited therein. When filled, material deposited outside the openings may be removed by a planarization process such as chemical mechanical polishing (CMP). However, any suitable material and process may be used to form it.

Durch Ausbilden und Benutzen der ersten Nanodrähte 901 und der zweiten Nanodrähte 1001 in dem Kernbereich 102 lässt sich bei Kurzkanal-Bauelementen, bei denen der Kanal kürzer als 100 nm sein kann, eine hohe Leistung erzielen. Zusätzlich dazu lassen sich durch Benutzen der hier beschriebenen Ausführungsformen die Nachteile des Ausbildens von Nanodrähten (z.B. ein schlechteres Prozessfenster für das Füllen der Gate-Struktur) bei Langkanal-Bauelementen im E/A-Bereich 104 vermeiden, bei denen der Kanal länger als etwa 100 nm sein kann.By forming and using the first nanowires 901 and the second nanowires 1001 in the core area 102 can be achieved with short channel Devices in which the channel can be shorter than 100 nm, achieve high performance. Additionally, by using the embodiments described herein, the disadvantages of forming nanowires (eg, a worse process window for filling the gate structure) can be avoided for long channel devices in the I / O region 104 where the channel is longer than about 100 nm can be.

12 stellt eine weitere Ausführungsform dar, bei der, statt dass das dritte Bauelement 110 die Stapelschicht aus abwechselnden Materialien umfasst, ein einziges Material für den Grat 122 in dem dritten Bauelement 110 benutzt wird. Bei dieser Ausführungsform können vor dem Abscheiden des Gate-Dielektrikums 1101 die achte Halbleiterschicht 117, die siebente Halbleiterschicht 115, die sechste Halbleiterschicht 113, die fünfte Halbleiterschicht 111, die vierte Halbleiterschicht 109, die dritte Halbleiterschicht 107, die zweite Halbleiterschicht 105 und die erste Halbleiterschicht 103 mithilfe eines oder mehrerer Ätzprozesse entfernt werden, wenn das erste Bauelement 106 und das zweite Bauelement 108 z.B. mit einem Schutzmaterial wie einem Fotolack geschützt sind. 12 represents a further embodiment, in which, instead of that the third component 110 the stack layer of alternating materials comprises a single material for the burr 122 in the third component 110 is used. In this embodiment, prior to deposition of the gate dielectric 1101 the eighth semiconductor layer 117 , the seventh semiconductor layer 115 , the sixth semiconductor layer 113 , the fifth semiconductor layer 111 , the fourth semiconductor layer 109 , the third semiconductor layer 107 , the second semiconductor layer 105 and the first semiconductor layer 103 be removed using one or more etching processes when the first device 106 and the second component 108 Eg protected with a protective material such as a photoresist.

Wenn die achte Halbleiterschicht 117, die siebente Halbleiterschicht 115, die sechste Halbleiterschicht 113, die fünfte Halbleiterschicht 111, die vierte Halbleiterschicht 109, die dritte Halbleiterschicht 107, die zweite Halbleiterschicht 105 und die erste Halbleiterschicht 103 entfernt worden sind, kann mithilfe eines einzigen Materials 1201 der Grat 122 wieder aufgewachsen werden. Bei einer Ausführungsform kann es sich bei dem einen Material um ein Halbleitermaterial wie Silicium, Siliciumgermanium, ein III-V-Material oder dergleichen handeln, das entweder beim Ausbilden oder auch danach z.B. in einem Implantationsprozess dotiert werden kann. Wenn der Grat 122 wieder aufgewachsen worden ist, können das Gate-Dielektrikum 1101 und die Gate-Elektrode 1103 wie oben beschrieben ausgebildet werden.When the eighth semiconductor layer 117 , the seventh semiconductor layer 115 , the sixth semiconductor layer 113 , the fifth semiconductor layer 111 , the fourth semiconductor layer 109 , the third semiconductor layer 107 , the second semiconductor layer 105 and the first semiconductor layer 103 can be removed using a single material 1201 the ridge 122 to be raised again. In one embodiment, the one material may be a semiconductor material such as silicon, silicon germanium, a III-V material, or the like, which may be doped either during or after formation, eg, in an implantation process. If the burr 122 has regrown, can the gate dielectric 1101 and the gate electrode 1103 be formed as described above.

Bei einer Ausführungsform umfasst ein Verfahren zum Fertigen eines Halbleiterbauelements das Ausbilden einer ersten Halbleiterschicht sowohl auf einem ersten als auch auf einem zweiten Bereich eines Halbleitersubstrats, wobei die erste Halbleiterschicht ein erstes Material umfasst, das Ausbilden einer zweiten Halbleiterschicht auf dem ersten und dem zweiten Bereich, das Entfernen der ersten Halbleiterschicht auf dem ersten Bereich zwecks Ausbildens eines Nanodrahtkanals aus der zweiten Halbleiterschicht, wobei beim Entfernen der ersten Halbleiterschicht von dem ersten Bereich nicht die erste Halbleiterschicht auf dem zweiten Bereich entfernt wird, und das Ausbilden einer ersten Gate-Elektrode um den Nanodrahtkanal herum und das Ausbilden einer zweiten Gate-Elektrode auf der ersten Halbleiterschicht und der zweiten Halbleiterschicht in dem zweiten Bereich. Bei einer Ausführungsform erfolgt das Entfernen der ersten Halbleiterschicht zumindest teilweise durch einen Nassätzprozess. Bei einer Ausführungsform umfasst das Verfahren vor dem Entfernen der ersten Halbleiterschicht das Ausbilden eines an die zweite Halbleiterschicht angrenzenden Source/Drain-Gebiets. Bei einer Ausführungsform umfasst das Verfahren vor dem Entfernen der ersten Halbleiterschicht das Ausbilden eines Spacers in der ersten Halbleiterschicht und zwischen der zweiten Halbleiterschicht und dem Halbleitersubstrat. Bei einer Ausführungsform bilden die erste und die zweite Halbleiterschicht in dem zweiten Bereich einen Halbleitergrat eines FinFET. Bei einer Ausführungsform besteht die erste Halbleiterschicht aus Siliciumgermanium. Bei einer Ausführungsform besteht die zweite Halbleiterschicht aus Silicium.In one embodiment, a method of fabricating a semiconductor device includes forming a first semiconductor layer on both first and second regions of a semiconductor substrate, the first semiconductor layer comprising a first material, forming a second semiconductor layer on the first and second regions, removing the first semiconductor layer on the first region to form a nanowire channel from the second semiconductor layer, wherein removing the first semiconductor layer from the first region does not remove the first semiconductor layer on the second region, and forming a first gate electrode around the nanowire channel and forming a second gate electrode on the first semiconductor layer and the second semiconductor layer in the second region. In one embodiment, the removal of the first semiconductor layer takes place at least partially by a wet etching process. In one embodiment, prior to removing the first semiconductor layer, the method comprises forming a source / drain region adjacent to the second semiconductor layer. In one embodiment, prior to removing the first semiconductor layer, the method comprises forming a spacer in the first semiconductor layer and between the second semiconductor layer and the semiconductor substrate. In one embodiment, the first and second semiconductor layers in the second region form a semiconductor ridge of a FinFET. In one embodiment, the first semiconductor layer is silicon germanium. In one embodiment, the second semiconductor layer is silicon.

Bei einer Ausführungsform umfasst das Verfahren zum Fertigen eines Halbleiterbauelements das Ausbilden einer ersten Schicht aus Siliciumgermanium auf einem Siliciumsubstrat, das Ausbilden einer ersten Schicht aus Silicium auf der ersten Schicht aus Siliciumgermanium, das Strukturieren einer Öffnung durch die erste Schicht aus Siliciumgermanium und die erste Schicht aus Silicium zum Unterteilen der ersten Schicht aus Siliciumgermanium in einen ersten und einen zweiten Bereich, das Ausbilden einer ersten Ausnehmung in der ersten Schicht aus Siliciumgermanium nach dem Strukturieren der Öffnung durch die erste Schicht aus Siliciumgermanium, das Füllen der ersten Ausnehmung mit einem dielektrischen Material, das Entfernen des ersten Bereichs der ersten Schicht aus Siliciumgermanium, ohne den zweiten Bereich der ersten Schicht aus Siliciumgermanium zu entfernen, und das gleichzeitige Ausbilden eines ersten dielektrischen Materials um den ersten Bereich der ersten Schicht aus Silicium herum und eines zweiten dielektrischen Materials sowohl auf dem zweiten Bereich der ersten Schicht aus Siliciumgermanium als auch der ersten Schicht aus Silicium. Bei einer Ausführungsform umfasst das Verfahren das Ausbilden eines ersten Dummy-Gate-Dielektrikums auf der ersten Schicht aus Siliciumgermanium und eines zweiten Dummy-Gate-Dielektrikums auf der ersten Schicht aus Siliciumgermanium. Bei einer Ausführungsform umfasst das Verfahren das Entfernen des an den ersten Bereich der ersten Schicht aus Siliciumgermanium angrenzenden ersten Dummy-Gate-Dielektrikums ohne gleichzeitiges Entfernen des zweiten Dummy-Gate-Dielektrikums. Bei einer Ausführungsform umfasst das Verfahren das Ausbilden einer ersten Gate-Elektrode um die erste Schicht aus Silicium herum und einer zweiten Gate-Elektrode sowohl auf dem zweiten Bereich der ersten Schicht aus Siliciumgermanium als auch der ersten Schicht aus Silicium. Bei einer Ausführungsform erfolgt das Ausbilden der ersten Gate-Elektrode und der zweiten Gate-Elektrode gleichzeitig. Bei einer Ausführungsform befindet sich der zweite Bereich der ersten Schicht aus Siliciumgermanium in einem E/A-Bereich. Bei einer Ausführungsform befindet sich das um die erste Schicht aus Silicium herum liegende erste dielektrische Material in einem Kernbereich.In one embodiment, the method of fabricating a semiconductor device comprises forming a first layer of silicon germanium on a silicon substrate, forming a first layer of silicon on the first layer of silicon germanium, patterning an opening through the first layer of silicon germanium, and the first layer Silicon for dividing the first layer of silicon germanium into a first and a second region, forming a first recess in the first layer of silicon germanium after patterning the opening by the first layer of silicon germanium, filling the first recess with a dielectric material; Removing the first portion of the first layer of silicon germanium without removing the second portion of the first layer of silicon germanium; and simultaneously forming a first dielectric material about the first portion of the first layer of silicon and a second dielectric material on both the second region of the first layer of silicon germanium and the first layer of silicon. In one embodiment, the method includes forming a first dummy gate dielectric on the first layer of silicon germanium and a second dummy gate dielectric on the first layer of silicon germanium. In one embodiment, the method includes removing the first dummy gate dielectric adjacent to the first region of the first silicon germanium layer without removing the second dummy gate dielectric simultaneously. In one embodiment, the method includes forming a first gate electrode around the first layer of silicon and a second gate electrode on both the second region of the first layer of silicon germanium and the first layer of silicon. In one embodiment, forming the first gate electrode and the second gate electrode occurs simultaneously. at In one embodiment, the second region of the first layer of silicon germanium is in an I / O region. In one embodiment, the first dielectric material around the first layer of silicon is in a core region.

Bei einer Ausführungsform weist ein Halbleiterbauelement Folgendes auf: ein Halbleitersubstrat mit einem Kernbereich und einem E/A-Bereich, einen ersten Nanodraht auf einem zweiten Nanodraht in dem Kernbereich, einen ersten Innenspacer, der den ersten Nanodraht von dem zweiten Nanodraht trennt, ein Gate-Material, das sich zwischen dem ersten und dem zweiten Nanodraht befindet, einen Kanal, der sich in dem E/A-Bereich befindet, wobei der Kanal Folgendes umfasst: ein erstes Material, das sich in einer ersten Ebene mit dem ersten Nanodraht befindet, wobei der erste Nanodraht das erste Material umfasst, und ein zweites Material, das sich in einer zweiten Ebene mit dem Gate-Material befindet, wobei die erste Ebene parallel zur zweiten Ebene verläuft, wobei sich das zweite Material von dem ersten Material unterscheidet. Bei einer Ausführungsform weist das Bauelement einen dritten Nanodraht auf einem vierten Nanodraht in dem Kernbereich auf, wobei sich der dritte und der vierte Nanodraht in der zweiten Ebene befinden und das zweite Material umfassen. Bei einer Ausführungsform handelt es sich bei dem ersten Material um Silicium. Bei einer Ausführungsform handelt es sich bei dem zweiten Material um Siliciumgermanium. Bei einer Ausführungsform umfasst der Innenspacer Siliciumnitrid. Bei einer Ausführungsform weist der Innenspacer eine Dicke auf, die sich in einer ersten Richtung verringert.In one embodiment, a semiconductor device comprises: a semiconductor substrate having a core region and an I / O region, a first nanowire on a second nanowire in the core region, a first inner spacer separating the first nanowire from the second nanowire, a gate Material located between the first and second nanowires, a channel located in the I / O region, the channel comprising: a first material that is in a first plane with the first nanowire, wherein the first nanowire comprises the first material and a second material located in a second plane with the gate material, wherein the first plane is parallel to the second plane, wherein the second material is different from the first material. In one embodiment, the device comprises a third nanowire on a fourth nanowire in the core region, wherein the third and fourth nanowires are in the second plane and comprise the second material. In one embodiment, the first material is silicon. In one embodiment, the second material is silicon germanium. In one embodiment, the inner spacer comprises silicon nitride. In one embodiment, the inner spacer has a thickness that decreases in a first direction.

Der obige Text gibt einen Überblick über Merkmale verschiedener Ausführungsformen, damit die Aspekte der vorliegenden Offenbarung für Fachleute besser verständlich werden. Fachleuten dürfte klar sein, dass sie die vorliegende Offenbarung problemlos als Ausgangspunkt für die Konzipierung oder Modifizierung anderer Prozesse und Strukturen für die gleichen Zwecke und/oder zum Erzielen der gleichen Vorteile wie die hier vorgestellten Ausführungsformen verwenden können. Fachleuten dürfte ebenfalls klar sein, dass derartige äquivalente Konstruktionen nicht vom Gedanken und Schutzumfang der vorliegenden Offenbarung abweichen und dass sie daran diverse Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne vom Gedanken und Schutzumfang der vorliegenden Offenbarung abzuweichen.The above text provides an overview of features of various embodiments so that the aspects of the present disclosure will be better understood by those skilled in the art. Those skilled in the art will appreciate that they may readily use the present disclosure as a starting point for designing or modifying other processes and structures for the same purposes and / or for achieving the same advantages as the embodiments presented herein. It should also be apparent to those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that they can make various changes, substitutions and alterations thereto without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 62552737 [0001]US 62552737 [0001]

Claims (20)

Verfahren zum Fertigen eines Halbleiterbauelements, wobei das Verfahren Folgendes umfasst: Ausbilden einer ersten Halbleiterschicht sowohl auf einem ersten als auch auf einem zweiten Bereich eines Halbleitersubstrats, wobei die erste Halbleiterschicht ein erstes Material umfasst, Ausbilden einer zweiten Halbleiterschicht auf dem ersten und dem zweiten Bereich, Entfernen der ersten Halbleiterschicht auf dem ersten Bereich zwecks Ausbildens eines Nanodrahtkanals aus der zweiten Halbleiterschicht, wobei beim Entfernen der ersten Halbleiterschicht von dem ersten Bereich nicht die erste Halbleiterschicht auf dem zweiten Bereich entfernt wird, und Ausbilden einer ersten Gate-Elektrode um den Nanodrahtkanal herum und Ausbilden einer zweiten Gate-Elektrode auf der ersten Halbleiterschicht und der zweiten Halbleiterschicht in dem zweiten Bereich.A method of fabricating a semiconductor device, the method comprising: Forming a first semiconductor layer on both first and second regions of a semiconductor substrate, the first semiconductor layer comprising a first material, Forming a second semiconductor layer on the first and second regions, Removing the first semiconductor layer on the first region to form a nanowire channel from the second semiconductor layer, wherein removing the first semiconductor layer from the first region does not remove the first semiconductor layer on the second region, and Forming a first gate electrode around the nanowire channel and Forming a second gate electrode on the first semiconductor layer and the second semiconductor layer in the second region. Verfahren nach Anspruch 1, wobei das Entfernen der ersten Halbleiterschicht zumindest teilweise durch einen Nassätzprozess erfolgt.Method according to Claim 1 wherein the removal of the first semiconductor layer is at least partially carried out by a wet etching process. Verfahren nach Anspruch 1 oder 2, das ferner vor dem Entfernen der ersten Halbleiterschicht das Ausbilden eines an die zweite Halbleiterschicht angrenzenden Source/Drain-Gebiets umfasst.Method according to Claim 1 or 2 further comprising, prior to removal of the first semiconductor layer, forming a source / drain region adjacent to the second semiconductor layer. Verfahren nach einem der vorhergehenden Ansprüche, das ferner vor dem Entfernen der ersten Halbleiterschicht das Ausbilden eines Spacers in der ersten Halbleiterschicht und zwischen der zweiten Halbleiterschicht und dem Halbleitersubstrat umfasst.The method of claim 1, further comprising forming a spacer in the first semiconductor layer and between the second semiconductor layer and the semiconductor substrate before removing the first semiconductor layer. Verfahren nach einem der vorhergehenden Ansprüche, wobei die erste und die zweite Halbleiterschicht in dem zweiten Bereich einen Halbleitergrat eines FinFET bilden.The method of any one of the preceding claims, wherein the first and second semiconductor layers in the second region form a semiconductor ridge of a FinFET. Verfahren nach einem der vorhergehenden Ansprüche, wobei die erste Halbleiterschicht aus Siliciumgermanium besteht.Method according to one of the preceding claims, wherein the first semiconductor layer consists of silicon germanium. Verfahren nach Anspruch 6, wobei die zweite Halbleiterschicht aus Silicium besteht.Method according to Claim 6 wherein the second semiconductor layer is silicon. Verfahren zum Fertigen eines Halbleiterbauelements, wobei das Verfahren Folgendes umfasst: Ausbilden einer ersten Schicht aus Siliciumgermanium auf einem Siliciumsubstrat, Ausbilden einer ersten Schicht aus Silicium auf der ersten Schicht aus Siliciumgermanium, Strukturieren einer Öffnung durch die erste Schicht aus Siliciumgermanium und die erste Schicht aus Silicium zum Unterteilen der ersten Schicht aus Siliciumgermanium in einen ersten und einen zweiten Bereich, Ausbilden einer ersten Ausnehmung in der ersten Schicht aus Siliciumgermanium nach dem Strukturieren der Öffnung durch die erste Schicht aus Siliciumgermanium, Füllen der ersten Ausnehmung mit einem dielektrischen Material, Entfernen des ersten Bereichs der ersten Schicht aus Siliciumgermanium, ohne den zweiten Bereich der ersten Schicht aus Siliciumgermanium zu entfernen, und gleichzeitiges Ausbilden eines ersten dielektrischen Materials um den ersten Bereich der ersten Schicht aus Silicium herum und eines zweiten dielektrischen Materials sowohl auf dem zweiten Bereich der ersten Schicht aus Siliciumgermanium als auch der ersten Schicht aus Silicium.A method of fabricating a semiconductor device, the method comprising: Forming a first layer of silicon germanium on a silicon substrate, Forming a first layer of silicon on the first layer of silicon germanium, Patterning an opening through the first layer of silicon germanium and the first layer of silicon for dividing the first layer of silicon germanium into a first and a second area; Forming a first recess in the first layer of silicon germanium after patterning the opening through the first layer of silicon germanium, Filling the first recess with a dielectric material, Removing the first portion of the first layer of silicon germanium without removing the second portion of the first layer of silicon germanium, and simultaneously forming a first dielectric material around the first region of the first layer of silicon and a second dielectric material on both the second region of the first layer of silicon germanium and the first layer of silicon. Verfahren nach Anspruch 8, das ferner Folgendes umfasst: Ausbilden eines ersten Dummy-Gate-Dielektrikums auf der ersten Schicht aus Siliciumgermanium und Ausbilden eines zweiten Dummy-Gate-Dielektrikums auf der ersten Schicht aus Siliciumgermanium.Method according to Claim 8 further comprising forming a first dummy gate dielectric on the first layer of silicon germanium and forming a second dummy gate dielectric on the first layer of silicon germanium. Verfahren nach Anspruch 9, das ferner das Entfernen des an den ersten Bereich der ersten Schicht aus Siliciumgermanium angrenzenden ersten Dummy-Gate-Dielektrikums ohne gleichzeitiges Entfernen des zweiten Dummy-Gate-Dielektrikums umfasst.Method according to Claim 9 further comprising removing the first dummy gate dielectric adjacent the first region of the first silicon germanium layer without simultaneously removing the second dummy gate dielectric. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 10, das ferner Folgendes umfasst: Ausbilden einer ersten Gate-Elektrode um die erste Schicht aus Silicium herum und Ausbilden einer zweiten Gate-Elektrode sowohl auf dem zweiten Bereich der ersten Schicht aus Siliciumgermanium als auch der ersten Schicht aus Silicium.Method according to one of the preceding Claims 8 to 10 further comprising forming a first gate electrode around the first layer of silicon and forming a second gate electrode on both the second region of the first layer of silicon germanium and the first layer of silicon. Verfahren nach Anspruch 11, wobei das Ausbilden der ersten Gate-Elektrode und der zweiten Gate-Elektrode gleichzeitig erfolgt.Method according to Claim 11 wherein the formation of the first gate electrode and the second gate electrode occurs simultaneously. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 12, wobei sich der zweite Bereich der ersten Schicht aus Siliciumgermanium in einem E/A-Bereich befindet.Method according to one of the preceding Claims 8 to 12 , wherein the second region of the first layer of silicon germanium is in an I / O region. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 13, wobei sich das um die erste Schicht aus Silicium herum liegende erste dielektrische Material in einem Kernbereich befindet.Method according to one of the preceding Claims 8 to 13 , wherein the first dielectric material lying around the first layer of silicon is located in a core region. Halbleiterbauelement, das Folgendes umfasst: ein Halbleitersubstrat mit einem Kernbereich und einem E/A-Bereich, einen ersten Nanodraht auf einem zweiten Nanodraht in dem Kernbereich, einen ersten Innenspacer, der den ersten Nanodraht von dem zweiten Nanodraht trennt, ein Gate-Material, das sich zwischen dem ersten und dem zweiten Nanodraht befindet, einen Kanal, der sich in dem E/A-Bereich befindet, wobei der Kanal Folgendes umfasst: ein erstes Material, das sich in einer ersten Ebene mit dem ersten Nanodraht befindet, wobei der erste Nanodraht das erste Material umfasst, und ein zweites Material, das sich in einer zweiten Ebene mit dem Gate-Material befindet, wobei die erste Ebene parallel zur zweiten Ebene verläuft, wobei sich das zweite Material von dem ersten Material unterscheidet.A semiconductor device comprising: a semiconductor substrate having a core region and an I / O region, a first nanowire on a second nanowire in the core region, a first inner spacer separating the first nanowire from the second nanowire, a gate material located between the first and second nanowires, a channel located in the I / O region, the channel comprising: a first material that is in a first plane with the first nanowire, the first nanowire comprising the first material, and a second material that is in a second plane with the gate material, the first plane being parallel to the second Level, wherein the second material is different from the first material. Halbleiterbauelement nach Anspruch 15, das ferner einen dritten Nanodraht auf einem vierten Nanodraht in dem Kernbereich aufweist, wobei sich der dritte und der vierte Nanodraht in der zweiten Ebene befinden und das zweite Material umfassen.Semiconductor device according to Claim 15 further comprising a third nanowire on a fourth nanowire in the core region, wherein the third and fourth nanowires are in the second plane and comprise the second material. Halbleiterbauelement nach Anspruch 15 oder 16, wobei es sich bei dem ersten Material um Silicium handelt.Semiconductor device according to Claim 15 or 16 wherein the first material is silicon. Halbleiterbauelement nach Anspruch 17, wobei es sich bei dem zweiten Material um Siliciumgermanium handelt.Semiconductor device according to Claim 17 wherein the second material is silicon germanium. Halbleiterbauelement nach einem der vorhergehenden Ansprüche 15 bis 18, wobei der erste Innenspacer Siliciumnitrid umfasst.Semiconductor component according to one of the preceding Claims 15 to 18 wherein the first inner spacer comprises silicon nitride. Halbleiterbauelement nach einem der vorhergehenden Ansprüche 15 bis 19, wobei der erste Innenspacer eine Dicke aufweist, die sich in einer ersten Richtung verringert.Semiconductor component according to one of the preceding Claims 15 to 19 wherein the first inner spacer has a thickness that decreases in a first direction.
DE102018108598.2A 2017-08-31 2018-04-11 Semiconductor device and method Pending DE102018108598A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762552737P 2017-08-31 2017-08-31
US62/552,737 2017-08-31
US15/864,793 2018-01-08
US15/864,793 US10297508B2 (en) 2017-08-31 2018-01-08 Semiconductor device and method

Publications (1)

Publication Number Publication Date
DE102018108598A1 true DE102018108598A1 (en) 2019-02-28

Family

ID=65321630

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018108598.2A Pending DE102018108598A1 (en) 2017-08-31 2018-04-11 Semiconductor device and method

Country Status (2)

Country Link
CN (1) CN109427901B (en)
DE (1) DE102018108598A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417653B2 (en) * 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
EP4333071A1 (en) * 2022-08-29 2024-03-06 Samsung Electronics Co., Ltd. A method of manufacturing a nanowire field-effect tranistor with a step of interrupting an upper nanowire, as well as a corresponding device.

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220262925A1 (en) * 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Nano-Fet Semiconductor Device and Method of Forming

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102069609B1 (en) * 2013-08-12 2020-01-23 삼성전자주식회사 Semiconductor device and method for forming the same
EP3112316B1 (en) * 2015-07-02 2018-05-02 IMEC vzw Method for manufacturing transistor devices comprising multiple nanowire channels
CN106549043A (en) * 2015-09-22 2017-03-29 中国科学院微电子研究所 Method, semi-conductor device manufacturing method
US9620590B1 (en) * 2016-09-20 2017-04-11 International Business Machines Corporation Nanosheet channel-to-source and drain isolation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417653B2 (en) * 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
EP4333071A1 (en) * 2022-08-29 2024-03-06 Samsung Electronics Co., Ltd. A method of manufacturing a nanowire field-effect tranistor with a step of interrupting an upper nanowire, as well as a corresponding device.

Also Published As

Publication number Publication date
CN109427901A (en) 2019-03-05
CN109427901B (en) 2022-03-22

Similar Documents

Publication Publication Date Title
DE102017117795B4 (en) FETS AND METHOD OF PRODUCTION
DE102017123950B4 (en) FINFET COMPONENT AND METHOD OF MANUFACTURING THE SAME
DE102017128255B4 (en) Semiconductor device and method
DE102019116328B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102017117797A1 (en) Semiconductor device and methods of manufacture
DE102020119099B4 (en) SEMICONDUCTOR DEVICE AND METHOD OF PRODUCTION THEREOF
DE102018108598A1 (en) Semiconductor device and method
DE102017123359B4 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND PROCESS
DE102021105733A1 (en) CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
DE102021107846A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021101001A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021104817A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102022105643A1 (en) TRANSISTOR GATE STRUCTURES AND METHOD OF MAKING THEM
DE102021112360A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020110678B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020104370B4 (en) SEMICONDUCTOR DEVICE AND PRODUCTION METHOD
DE102021109560A1 (en) TRANSISTOR GATE STRUCTURES AND METHODS OF FORMING THEM
DE102018124815B4 (en) FIN field effect transistor device and method
DE102021102235A1 (en) INTEGRATED CIRCUIT WITH REAR CONTACT
DE102021113003A1 (en) NANO-FET SEMICONDUCTOR DEVICE AND FORMATION METHOD
DE102020120658A1 (en) Transistor gates and processes for their manufacture
DE102020128875A1 (en) TRANSISTOR GATE STRUCTURE AND METHOD OF MAKING THERE
DE102021105456A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102021120222B4 (en) SEMICONDUCTOR GATES AND METHOD OF MAKING THEM
DE102017128367A1 (en) SEMICONDUCTOR DEVICE AND METHOD

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication