DE102018107293A1 - PROCESS FOR MACHINING A SEMICONDUCTOR LAYER AND OPTOELECTRONIC SEMICONDUCTOR CHIP - Google Patents

PROCESS FOR MACHINING A SEMICONDUCTOR LAYER AND OPTOELECTRONIC SEMICONDUCTOR CHIP Download PDF

Info

Publication number
DE102018107293A1
DE102018107293A1 DE102018107293.7A DE102018107293A DE102018107293A1 DE 102018107293 A1 DE102018107293 A1 DE 102018107293A1 DE 102018107293 A DE102018107293 A DE 102018107293A DE 102018107293 A1 DE102018107293 A1 DE 102018107293A1
Authority
DE
Germany
Prior art keywords
layer
etching process
etching
marker
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102018107293.7A
Other languages
German (de)
Inventor
Tobias Meyer
Christian Eichinger
Sebastian Taeger
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ams Osram International GmbH
Original Assignee
Osram Opto Semiconductors GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Osram Opto Semiconductors GmbH filed Critical Osram Opto Semiconductors GmbH
Priority to DE102018107293.7A priority Critical patent/DE102018107293A1/en
Publication of DE102018107293A1 publication Critical patent/DE102018107293A1/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/20Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular shape, e.g. curved or truncated substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/005Processes
    • H01L33/0062Processes for devices with an active region comprising only III-V compounds

Abstract

In einer Ausführungsform umfasst das Verfahren zur Bearbeitung einer Halbleiterschichtenfolge einen Schritt A), in dem eine Halbleiterschichtenfolge (1) mit einer ersten Schicht (10), einer ersten Markerschicht (11), einer ersten Zwischenschicht (12) und einer Ätzstoppschicht (13), die in dieser Reihenfolge übereinander geschichtet sind, bereitgestellt wird. In einem Schritt B) wird ein erster Ätzprozess angewendet, mit dem die erste Schicht in einem ersten vorgegebenen Bereich der Halbleiterschichtenfolge weggeätzt wird, wobei zumindest bis zur ersten Markerschicht geätzt wird. In einem Schritt C) wird ein Signal erfasst, das ein Auftreffen eines im ersten Ätzprozess verwendeten ersten Ätzmittels auf der ersten Markerschicht signalisiert. In einem Schritt D) wird ein zweiter Ätzprozess angewendet, mit dem die Zwischenschicht in dem ersten vorgegebenen Bereich weggeätzt wird, wobei bis zur Ätzstoppschicht geätzt wird und wobei der zweite Ätzprozess so eingestellt wird, dass der zweite Ätzprozess beendet ist, bevor die Ätzstoppschicht vollständig durchgeätzt ist.In one embodiment, the method for processing a semiconductor layer sequence comprises a step A), in which a semiconductor layer sequence (1) having a first layer (10), a first marker layer (11), a first intermediate layer (12) and an etch stop layer (13), which are stacked in this order is provided. In a step B), a first etching process is used with which the first layer is etched away in a first predetermined region of the semiconductor layer sequence, wherein etching is carried out at least as far as the first marker layer. In a step C), a signal is detected which signals an impact of a first etchant used in the first etching process on the first marker layer. In a step D), a second etching process is used, with which the intermediate layer is etched away in the first predetermined region, etching up to the etching stop layer and wherein the second etching process is set so that the second etching process is completed before the etching stop layer is completely etched through is.

Description

Es wird ein Verfahren zur Bearbeitung einer Halbleiterschichtenfolge angegeben. Darüber hinaus wird ein optoelektronischer Halbleiterchip angegeben.A method for processing a semiconductor layer sequence is specified. In addition, an optoelectronic semiconductor chip is specified.

Eine zu lösende Aufgabe besteht darin, ein Verfahren zur Bearbeitung einer Halbleiterschichtenfolge anzugeben, mit Hilfe dessen Strukturen, wie Mesagräben oder Sacklöcher, mit einer hohen Tiefenuniformität hergestellt werden können. Eine weitere zu lösende Aufgabe besteht darin, einen optoelektronischen Halbleiterchip anzugeben, der mit einem solchen Verfahren herstellbar ist.An object to be solved is to provide a method for processing a semiconductor layer sequence, by means of which structures, such as mesa trenches or blind holes, can be produced with a high depth uniformity. Another object to be solved is to specify an optoelectronic semiconductor chip which can be produced by such a method.

Diese Aufgaben werden durch die Gegenstände der unabhängigen Patentansprüche gelöst. Vorteilhafte Ausgestaltungen und Weiterbildungen sind Gegenstand der abhängigen Patentansprüche.These objects are achieved by the subject-matter of the independent claims. Advantageous embodiments and further developments are the subject of the dependent claims.

Gemäß zumindest einer Ausführungsform umfasst das Verfahren zur Bearbeitung einer Halbleiterschichtenfolge einen Schritt A), in dem eine Halbleiterschichtenfolge mit einer ersten Schicht, einer ersten Markerschicht, einer ersten Zwischenschicht und einer Ätzstoppschicht bereitgestellt wird. Die genannten Schichten sind in dieser Reihenfolge übereinander geschichtet. Insbesondere sind diese Schichten alle epitaktisch gewachsen.In accordance with at least one embodiment, the method for processing a semiconductor layer sequence comprises a step A), in which a semiconductor layer sequence having a first layer, a first marker layer, a first intermediate layer and an etching stop layer is provided. The said layers are stacked in this order. In particular, these layers all epitaxially grown.

Die genannten Schichten sind alle Halbleiterschichten der Halbleiterschichtenfolge. Bevorzugt sind die genannten Schichten in dieser Reihenfolge unmittelbar übereinander gewachsen, ohne dass weitere Schichten zwischen ihnen angeordnet sind. Insbesondere die erste Schicht und/oder die erste Zwischenschicht können selbst aus mehreren Einzelschichten unterschiedlicher Zusammensetzung bestehen.The layers mentioned are all semiconductor layers of the semiconductor layer sequence. Preferably, the said layers have grown directly above one another in this order, without further layers being arranged between them. In particular, the first layer and / or the first intermediate layer may itself consist of several individual layers of different composition.

Die Halbleiterschichtenfolge umfasst bevorzugt auch eine aktive Schicht zur Erzeugung elektromagnetischer Strahlung. Die Halbleiterschichtenfolge basiert zum Beispiel auf einem III-V-Verbindungshalbleitermaterial. Bei dem Halbleitermaterial handelt es sich zum Beispiel um ein Nitrid-Verbindungshalbleitermaterial, wie AlnIn1-n-mGamN, oder um ein Phosphid-Verbindungshalbleitermaterial, wie AlnIn1-n-mGamP, oder um ein Arsenid-Verbindungshalbleitermaterial, wie AlnIn1-n-mGamAs oder AlnIn1-n-mGamAsP, wobei jeweils 0 ≤ n ≤ 1, 0 ≤ m ≤ 1 und m + n ≤ 1 ist. Dabei kann die Halbleiterschichtenfolge Dotierstoffe sowie zusätzliche Bestandteile aufweisen. Der Einfachheit halber sind jedoch nur die wesentlichen Bestandteile des Kristallgitters der Halbleiterschichtenfolge, also Al, As, Ga, In, N oder P, angegeben, auch wenn diese teilweise durch geringe Mengen weiterer Stoffe ersetzt und/oder ergänzt sein können. Bevorzugt basiert die Halbleiterschichtenfolge auf AlInGaN.The semiconductor layer sequence preferably also comprises an active layer for generating electromagnetic radiation. The semiconductor layer sequence is based, for example, on a III-V compound semiconductor material. The semiconductor material is, for example, a nitride compound semiconductor material such as Al n In 1 nm Ga m N, or a phosphide compound semiconductor material such as Al n In 1 nm Ga m P, or an arsenide compound semiconductor material. As Al n In 1-nm Ga m As or Al n In 1-nm Ga m AsP, wherein each 0 ≦ n ≦ 1, 0 ≦ m ≦ 1 and m + n ≦ 1. In this case, the semiconductor layer sequence may have dopants and additional constituents. For the sake of simplicity, however, only the essential constituents of the crystal lattice of the semiconductor layer sequence, that is to say Al, As, Ga, In, N or P, are indicated, even if these may be partially replaced and / or supplemented by small amounts of further substances. The semiconductor layer sequence is preferably based on AlInGaN.

Die aktive Schicht der Halbleiterschichtenfolge beinhaltet insbesondere wenigstens einen pn-Übergang und/oder mindestens eine Quantentopfstruktur und kann zum Beispiel im bestimmungsgemäßen Betrieb elektromagnetische Strahlung im blauen oder grünen oder roten Spektralbereich oder im UV-Bereich erzeugen. Die erste Schicht oder die erste Zwischenschicht können die aktive Schicht umfassen.The active layer of the semiconductor layer sequence contains in particular at least one pn junction and / or at least one quantum well structure and can, for example, generate electromagnetic radiation in the blue or green or red spectral range or in the UV range during normal operation. The first layer or the first intermediate layer may comprise the active layer.

Gemäß zumindest einer Ausführungsform umfasst das Verfahren einen Schritt B), in dem ein erster Ätzprozess angewendet wird, mit dem die erste Schicht in einem ersten vorgegebenen Bereich der Halbleiterschichtenfolge weggeätzt wird, wobei zumindest bis zur ersten Markerschicht geätzt wird. Insbesondere wird dabei von einer Oberseite der Halbleiterschichtenfolge aus in Richtung einer Unterseite der Halbleiterschichtenfolge geätzt. In dieser Ätzrichtung ist die erste Schicht vor der ersten Markerschicht angeordnet.In accordance with at least one embodiment, the method comprises a step B), in which a first etching process is used with which the first layer is etched away in a first predetermined region of the semiconductor layer sequence, wherein at least until the first marker layer is etched. In particular, it is etched from an upper side of the semiconductor layer sequence in the direction of an underside of the semiconductor layer sequence. In this etching direction, the first layer is arranged in front of the first marker layer.

Der erste vorgegebene Bereich kann beispielsweise durch die Verwendung einer Maske vorgegeben werden, die zum Beispiel auf der Oberseite angeordnet ist. Bei dem ersten vorgegebenen Bereich handelt es sich beispielsweise um einen Bereich, in dem ein oder mehrere Trenngräben oder ein oder mehrere Sacklöcher erzeugt werden sollen.The first predetermined range can be predetermined, for example, by the use of a mask which is arranged, for example, on the upper side. By way of example, the first predetermined area is an area in which one or more isolation trenches or one or more blind holes are to be created.

Bei dem ersten Ätzprozess wird ein erstes Ätzmittel verwendet. Während des Durchätzens der ersten Schicht und bis zum Erreichen der Markerschicht wird das erste Ätzmittel bevorzugt nicht verändert. Der erste Ätzprozess ist beispielsweise ein trockenchemischer Ätzprozess.In the first etching process, a first etchant is used. During the etching through of the first layer and until reaching the marker layer, the first etchant is preferably not changed. The first etching process is, for example, a dry chemical etching process.

Gemäß zumindest einer Ausführungsform umfasst das Verfahren einen Schritt C), in dem ein Signal erfasst wird, das ein Auftreffen eines im ersten Ätzprozess verwendeten ersten Ätzmittels auf der ersten Markerschicht signalisiert. Beispielsweise weist dazu die erste Markerschicht eine bestimmte chemische Zusammensetzung auf, die sich von der chemischen Zusammensetzung zumindest der ersten Schicht unterscheidet. Trifft das erste Ätzmittel auf die erste Markerschicht, so werden für die erste Markerschicht charakteristische Partikel oder Moleküle oder Atome freigesetzt, die gemessen werden können. Das Messen eines Anstiegs in der Konzentration dieser Partikel oder Moleküle oder Atome signalisiert dann das Erreichen der ersten Markerschicht.In accordance with at least one embodiment, the method comprises a step C), in which a signal is detected which signals an impact of a first etchant used in the first etching process on the first marker layer. For example, the first marker layer has a specific chemical composition that differs from the chemical composition of at least the first layer. If the first etchant strikes the first marker layer, characteristic particles or molecules or atoms are liberated for the first marker layer which can be measured. Measuring an increase in the concentration of these particles or molecules or atoms then signals the achievement of the first marker layer.

Beispielsweise basieren die erste Schicht und die erste Markerschicht auf AlInGaN, wobei die erste Markerschicht einen höheren Anteil an Al aufweist. Beim ersten Ätzprozess kann der Gehalt an freigesetztem Al und Ga gemessen werden. Wenn das Verhältnis zwischen Al und Ga ansteigt, signalisiert dies ein Erreichen der ersten Markerschicht.For example, the first layer and the first marker layer are based on AlInGaN, with the first marker layer having a higher proportion of Al having. In the first etching process, the content of released Al and Ga can be measured. As the ratio between Al and Ga increases, this indicates that the first marker layer has been reached.

Nach dem Erfassen des Signals, das das Auftreffen des im ersten Ätzprozess verwendeten ersten Ätzmittels auf der ersten Markerschicht signalisiert, wird der erste Ätzprozess beispielsweise beendet.After detecting the signal that signals the impact of the first etchant used in the first etching process on the first marker layer, the first etching process is terminated, for example.

Gemäß zumindest einer Ausführungsform umfasst das Verfahren einen Schritt D), in dem ein zweiter Ätzprozess angewendet wird, mit dem die Zwischenschicht im ersten vorgegebenen Bereich weggeätzt wird, wobei bis zur Ätzstoppschicht geätzt wird und wobei der zweite Ätzprozess so eingestellt wird, dass der zweite Ätzprozess beendet ist, bevor die Ätzstoppschicht vollständig durchgeätzt ist.In accordance with at least one embodiment, the method comprises a step D), in which a second etching process is used with which the intermediate layer is etched away in the first predetermined region, etching to the etch stop layer, and wherein the second etching process is set such that the second etching process is completed before the Ätzstoppschicht is completely etched through.

Bei dem zweiten Ätzprozess wird ein zweites Ätzmittel verwendet. Das zweite Ätzmittel kann sich von dem ersten Ätzmittel unterscheiden. Der zweite Ätzprozess wird bevorzugt aus der gleichen Richtung durchgeführt wie der erste Ätzprozess. Das heißt, es wird wiederum von der Oberseite der Halbleiterschichtenfolge aus geätzt. Beispielsweise wird dazu die gleiche Maske wie im ersten Ätzprozess verwendet. In dem ersten Ätzprozess erzeugte Trenngräben oder Sacklöcher werden durch den zweiten Ätzprozess bevorzugt vertieft.In the second etching process, a second etchant is used. The second etchant may be different from the first etchant. The second etching process is preferably performed from the same direction as the first etching process. That is, it is in turn etched from the top of the semiconductor layer sequence. For example, the same mask as in the first etching process is used for this purpose. Separation trenches or blind holes created in the first etching process are preferably recessed by the second etching process.

Der zweite Ätzprozess wird so eingestellt, dass der zweite Ätzprozess beendet ist, bevor die Ätzstoppschicht vollständig durchgeätzt ist. Das heißt, der zweite Ätzprozess wird nicht manuell beendet, sondern endet automatisch nach Ablauf einer vorgegebenen Zeitspanne und bevor die Ätzstoppschicht vollständig durchgeätzt ist. Beispielsweise weist die Ätzstoppschicht für das zweite Ätzmittel eine geringe Ätzrate auf, so dass das vollständige Durchätzen der Ätzstoppschicht eine längere Zeit in Anspruch nimmt. Die Zeitspanne des zweiten Ätzprozesses kann vor dem zweiten Ätzprozess so vorgegeben werden, dass die Dauer des zweiten Ätzprozesses nicht ausreicht, um die Ätzstoppschicht vollständig zu durchätzen. Mit dem zweiten Ätzprozess werden also insbesondere Schichten auf einer der Oberseite abgewandten Seite der Ätzstoppschicht nicht angegriffen oder geätzt.The second etching process is set so that the second etching process is completed before the etching stop layer is completely etched through. That is, the second etching process is not terminated manually, but ends automatically after a predetermined period of time and before the etch stop layer is completely etched through. For example, the etch stop layer for the second etchant has a low etch rate, so that the complete etching through of the etch stop layer takes a longer time. The time period of the second etching process can be predetermined before the second etching process such that the duration of the second etching process is not sufficient to completely etch through the etching stop layer. In particular, layers on a side of the etch stop layer facing away from the upper side are thus not attacked or etched with the second etching process.

Gemäß zumindest einer Ausführungsform werden die Schritte A) bis D) in der angegebenen Reihenfolge und/oder nacheinander ausgeführt.In accordance with at least one embodiment, steps A) to D) are carried out in the stated order and / or in succession.

In mindestens einer Ausführungsform umfasst das Verfahren zur Bearbeitung einer Halbleiterschichtenfolge einen Schritt A), in dem eine Halbleiterschichtenfolge mit einer ersten Schicht, einer ersten Markerschicht, einer ersten Zwischenschicht und einer Ätzstoppschicht, die in dieser Reihenfolge übereinander geschichtet sind, bereitgestellt wird. In einem Schritt B) wird ein erster Ätzprozess angewendet, mit dem die erste Schicht in einem ersten vorgegebenen Bereich der Halbleiterschichtenfolge weggeätzt wird, wobei zumindest bis zur ersten Markerschicht geätzt wird. In einem Schritt C) wird ein Signal erfasst, das ein Auftreffen eines im ersten Ätzprozess verwendeten ersten Ätzmittels auf der ersten Markerschicht signalisiert. In einem Schritt D) wird ein zweiter Ätzprozess angewendet, mit dem die Zwischenschicht in dem ersten vorgegebenen Bereich weggeätzt wird, wobei bis zur Ätzstoppschicht geätzt wird und wobei der zweite Ätzprozess so eingestellt wird, dass der zweite Ätzprozess beendet ist, bevor die Ätzstoppschicht vollständig durchgeätzt ist.In at least one embodiment, the method for processing a semiconductor layer sequence comprises a step A), in which a semiconductor layer sequence having a first layer, a first marker layer, a first intermediate layer and an etch stop layer, which are stacked in this order, is provided. In a step B), a first etching process is used with which the first layer is etched away in a first predetermined region of the semiconductor layer sequence, wherein etching is carried out at least as far as the first marker layer. In a step C), a signal is detected which signals an impact of a first etchant used in the first etching process on the first marker layer. In a step D), a second etching process is used, with which the intermediate layer is etched away in the first predetermined region, etching up to the etching stop layer and wherein the second etching process is set so that the second etching process is completed before the etching stop layer is completely etched through is.

Die vorliegende Erfindung beruht unter anderem auf der Aufgabe, Strukturierungen mit einer hohen Tiefenuniformität in eine Halbleiterschichtenfolge einzubringen. Dies wird vorliegend insbesondere durch die Verwendung einer Ätzstoppschicht erreicht, in der der zweite Ätzprozess automatisch endet. Da die Ätzstoppschicht epitaktisch gewachsen ist, kann deren Position und Homogenität innerhalb der Halbleiterschichtenfolge sehr präzise eingestellt werden. Entsprechend hoch ist die Homogenität der geätzten Struktur. Damit der zweite Ätzprozess auch wirklich automatisch in der Ätzstoppschicht endet, wird meist das zweite Ätzmittel entsprechend gewählt. Das gewählte zweite Ätzmittel kann aber Nachteile aufweisen, beispielsweise eine geringe Ätzrate für die Schichten vor der Ätzstoppschicht oder eine geringe Steilheit der Flanken in den entstehenden Strukturen oder Ähnliches.The present invention is based inter alia on the task of introducing structurings having a high depth uniformity into a semiconductor layer sequence. In the present case, this is achieved, in particular, by the use of an etching stop layer, in which the second etching process ends automatically. Since the etch stop layer has grown epitaxially, its position and homogeneity within the semiconductor layer sequence can be set very precisely. The homogeneity of the etched structure is correspondingly high. So that the second etching process really automatically ends in the etch stop layer, usually the second etchant is selected accordingly. However, the selected second etchant may have disadvantages such as a low etch rate for the layers before the etch stop layer or a small slope of the edges in the resulting structures or the like.

Die Erfindung macht daher zusätzlich von einer ersten Markerschicht Gebrauch. Dadurch kann zunächst ein erster Ätzprozess mit einem ersten Ätzmittel durchgeführt werden, wobei das erste Ätzmittel vorteilhafterweise die oben genannten Nachteile nicht aufweist. Bei diesem ersten Ätzmittel muss nicht auf eine hohe Tiefenuniformität beziehungsweise auf eine geringe Ätzrate für die Ätzstoppschicht geachtet werden, denn bevor das erste Ätzmittel überhaupt zur Ätzstoppschicht gelangt, wird das Erreichen der ersten Markerschicht anhand eines charakteristischen Signals erfasst. Daraufhin wird der erste Ätzprozess beendet und auf den zweiten Ätzprozess umgestellt, der die hohe Tiefenuniformität gewährleistet.The invention therefore additionally makes use of a first marker layer. As a result, firstly a first etching process can be carried out with a first etching agent, wherein the first etching agent advantageously does not have the abovementioned disadvantages. With this first etchant, it is not necessary to pay attention to a high depth uniformity or to a low etching rate for the etching stop layer, because before the first etchant reaches the etch stop layer, the reaching of the first marker layer is detected by means of a characteristic signal. Then the first etching process is terminated and switched to the second etching process, which ensures the high depth uniformity.

Insgesamt kann durch das verwendete Verfahren also eine hohe Tiefenhomogenität mit anderen Anforderungen an die geätzten Strukturen realisiert werden.Overall, therefore, a high depth homogeneity with other requirements for the etched structures can be realized by the method used.

Gemäß zumindest einer Ausführungsform ist im zweiten Ätzprozess die Ätzrate für die erste Zwischenschicht zumindest 20-mal größer oder zumindest 40-mal größer oder zumindest 60-mal größer als für die Ätzstoppschicht. Dies gilt insbesondere auch für alle Einzelschichten der ersten Zwischenschicht. Das im zweiten Ätzprozess verwendete Ätzmittel wird beispielsweise während des Durchätzens der ersten Zwischenschicht und bis zum Erreichen der Ätzstoppschicht nicht geändert.In accordance with at least one embodiment, in the second etching process, the etching rate for the first intermediate layer is at least 20 times greater, or at least 40 times greater, or at least 60 times greater than for the etching stop layer. This is especially true also for all single layers of the first intermediate layer. The etchant used in the second etching process is not changed, for example, during the etching through of the first intermediate layer and until the etching stop layer has been reached.

Gemäß zumindest einer Ausführungsform weist das im ersten Ätzprozess verwendete erste Ätzmittel eine andere Zusammensetzung auf als ein im zweiten Ätzprozess verwendetes zweites Ätzmittel.In accordance with at least one embodiment, the first etchant used in the first etching process has a different composition than a second etchant used in the second etching process.

Gemäß zumindest einer Ausführungsform ist die Ätzrate beim Durchätzen der ersten Zwischenschicht im zweiten Ätzprozess geringer als die Ätzrate beim Durchätzen der ersten Schicht im ersten Ätzprozess. Dies gilt insbesondere auch für alle Einzelschichten der ersten Zwischenschicht und der ersten Schicht. Beispielsweise ist die Ätzrate für die erste Schicht im ersten Ätzprozess zumindest doppelt so groß oder zumindest fünfmal so groß oder zumindest zehnmal so groß wie die Ätzrate für die erste Zwischenschicht im zweiten Ätzprozess.In accordance with at least one embodiment, the etching rate when etching through the first intermediate layer in the second etching process is lower than the etching rate when etching through the first layer in the first etching process. This applies in particular to all individual layers of the first intermediate layer and the first layer. For example, the etching rate for the first layer in the first etching process is at least twice as large, or at least five times as large, or at least ten times greater than the etching rate for the first intermediate layer in the second etching process.

Gemäß zumindest einer Ausführungsform ist die Ätzstoppschicht nach dem zweiten Ätzprozess im gesamten ersten vorgegebenen Bereich freigelegt. Bevorzugt erstreckt sich die freigelegte Ätzstoppschicht über den gesamten ersten vorgegebenen Bereich. Der Abschnitt der freigelegten Ätzstoppschicht ist bevorzugt zusammenhängend, insbesondere einfach zusammenhängend ausgebildet. Das heißt, die Ätzstoppschicht ist im ersten vorgegebenen Bereich an keiner Stelle vollständig durchätzt.According to at least one embodiment, the etch stop layer is exposed after the second etching process in the entire first predetermined range. Preferably, the exposed etch stop layer extends over the entire first predetermined range. The section of the exposed etch stop layer is preferably continuous, in particular simply coherent. That is, the etch stop layer is completely etched through in the first predetermined area at any point.

Beispielsweise beträgt die mittlere Rauheit der freigelegten Ätzstoppschicht höchstens 5 nm oder höchstens 2 nm oder höchstens 1 nm. Bevorzugt ist die freigelegte Ätzstoppschicht über den gesamten ersten vorgegebenen Bereich flach.For example, the average roughness of the exposed etch stop layer is at most 5 nm or at most 2 nm or at most 1 nm. Preferably, the exposed etch stop layer is flat over the entire first predetermined range.

Gemäß zumindest einer Ausführungsform wird mit den Schritten B) und D) zumindest 2 µm oder zumindest 3 µm oder zumindest 4 µm durch die Halbleiterschichtenfolge geätzt, bevor die Ätzstoppschicht erreicht wird. Insbesondere beträgt ein Abstand zwischen der Ätzstoppschicht und der Oberseite der Halbleiterschichtenfolge also einen der oben genannten Werte.In accordance with at least one embodiment, at least 2 μm or at least 3 μm or at least 4 μm is etched through the semiconductor layer sequence with steps B) and D) before the etching stop layer is reached. In particular, a distance between the etch stop layer and the upper side of the semiconductor layer sequence is therefore one of the abovementioned values.

Gemäß zumindest einer Ausführungsform basiert die Halbleiterschichtenfolge auf einem III-Nitrid-Halbleitermaterial. Bevorzugt basieren alle bisher genannten Schichten der Halbleiterschichtenfolge auf einem solchen III-Nitrid-Halbleitermaterial. Besonders bevorzugt handelt es sich bei dem III-Nitrid-Halbleitermaterial um AlInGaN.In accordance with at least one embodiment, the semiconductor layer sequence is based on a III-nitride semiconductor material. Preferably, all previously mentioned layers of the semiconductor layer sequence are based on such a III-nitride semiconductor material. Most preferably, the III-nitride semiconductor material is AlInGaN.

Gemäß zumindest einer Ausführungsform basieren die erste Markerschicht und die Ätzstoppschicht auf AlnGamIn1-m-nN, wobei n ≥ 0,05 ist. Der Al-Gehalt von n ≥ 0,05 liegt bevorzugt überall in der ersten Markerschicht und der Ätzstoppschicht vor.In accordance with at least one embodiment, the first marker layer and the etch stop layer are based on Al n Ga m In 1-mn N, where n ≥ 0.05. The Al content of n ≥ 0.05 is preferably everywhere in the first marker layer and the etch stop layer.

Gemäß zumindest einer Ausführungsform wird für den ersten Ätzprozess und den zweiten Ätzprozess jeweils ein trockenchemisches Ätzverfahren verwendet.In accordance with at least one embodiment, a dry-chemical etching method is used in each case for the first etching process and the second etching process.

Gemäß zumindest einer Ausführungsform wird für den zweiten Ätzprozess gegenüber dem ersten Ätzprozess zusätzlich Fluor und/oder Sauerstoff zum Ätzmittel dazugegeben. Der Fluor- und/oder Sauerstoff-Gehalt des zweiten Ätzmittels ist also größer als der des ersten Ätzmittels. Beispielsweise handelt es sich bei dem ersten Ätzmittel des ersten Ätzprozesses um Chlor. Bei dem zweiten Ätzmittel des zweiten Ätzprozess handelt es sich beispielsweise um Chlor gemischt mit Fluor und/oder Sauerstoff.In accordance with at least one embodiment, in addition to the first etching process, fluorine and / or oxygen is additionally added to the etchant for the second etching process. The fluorine and / or oxygen content of the second etchant is therefore greater than that of the first etchant. By way of example, the first etchant of the first etching process is chlorine. The second etchant of the second etching process is, for example, chlorine mixed with fluorine and / or oxygen.

Umfasst die Ätzstoppschicht Al, so kann beispielsweise der Sauerstoff des zweiten Ätzmittels mit dem Aluminium der Ätzstoppschicht reagieren und Aluminiumoxid bilden, das innerhalb der Ätzstoppschicht eine Schutzschicht gegen das zweite Ätzmittel bildet und so zu der geringen Ätzrate in der Ätzstoppschicht führt.For example, if the etch stop layer comprises Al, then the oxygen of the second etchant may react with the aluminum of the etch stop layer to form alumina, which forms a protective layer against the second etchant within the etch stop layer and thus results in the slow etch rate in the etch stop layer.

Gemäß zumindest einer Ausführungsform weist die Ätzstoppschicht eine Dicke von zumindest 10 nm oder zumindest 30 nm oder zumindest 50 nm auf. Alternativ oder zusätzlich kann die Dicke der Ätzstoppschicht höchstens 200 nm oder höchstens 100 nm oder höchstens 80 nm sein.In accordance with at least one embodiment, the etch stop layer has a thickness of at least 10 nm or at least 30 nm or at least 50 nm. Alternatively or additionally, the thickness of the etching stop layer may be at most 200 nm or at most 100 nm or at most 80 nm.

Gemäß zumindest einer Ausführungsform weist die erste Markerschicht eine Dicke von zumindest 10 nm oder zumindest 30 nm oder zumindest 50 nm auf. Alternativ oder zusätzlich kann die Dicke der ersten Markerschicht höchstens 200 nm oder höchstens 100 nm oder höchstens 80 nm sein.In accordance with at least one embodiment, the first marker layer has a thickness of at least 10 nm or at least 30 nm or at least 50 nm. Alternatively or additionally, the thickness of the first marker layer may be at most 200 nm or at most 100 nm or at most 80 nm.

Gemäß zumindest einer Ausführungsform weist die erste Zwischenschicht eine Dicke von zumindest 20 nm oder zumindest 100 nm oder zumindest 200 nm auf. Alternativ oder zusätzlich kann die Dicke der ersten Zwischenschicht höchstens 1000 nm oder höchstens 800 nm oder höchstens 500 nm sein. Bevorzugt steht die erste Zwischenschicht sowohl mit der ersten Markerschicht als auch mit der Ätzstoppschicht in direktem Kontakt. Der Abstand zwischen der Ätzstoppschicht und der ersten Markerschicht entspricht dann der Dicke der ersten Zwischenschicht.In accordance with at least one embodiment, the first intermediate layer has a thickness of at least 20 nm or at least 100 nm or at least 200 nm. Alternatively or additionally, the thickness of the first intermediate layer may be at most 1000 nm or at most 800 nm or at most 500 nm. The first intermediate layer is preferably in direct contact both with the first marker layer and with the etching stop layer. The distance between the etch stop layer and the first marker layer then corresponds to the thickness of the first intermediate layer.

Unter der Dicke einer Schicht wird beispielsweise die mittlere Dicke oder die maximale Dicke gemessen entlang der gesamten lateralen Ausdehnung dieser Schicht in der Halbleiterschichtenfolge verstanden. Die laterale Ausdehnung ist die Ausdehnung entlang einer Haupterstreckungsebene der Halbleiterschichtenfolge.The thickness of a layer is understood as meaning, for example, the average thickness or the maximum thickness measured along the entire lateral extent of this layer in the semiconductor layer sequence. The lateral extent is the Expansion along a main extension plane of the semiconductor layer sequence.

Gemäß zumindest einer Ausführungsform umfasst die Halbleiterschichtenfolge eine zweite Schicht auf einer der ersten Schicht abgewandten Seite der ersten Ätzstoppschicht. Die zweite Schicht ist ebenfalls eine Halbleiterschicht der Halbleiterschichtenfolge und kann auf einem der oben genannten Materialien basieren. Die zweite Schicht ist bevorzugt ebenfalls epitaktisch gewachsen. Ferner kann die zweite Schicht aus einer Mehrzahl von Einzelschichten bestehen.In accordance with at least one embodiment, the semiconductor layer sequence comprises a second layer on a side of the first etching stop layer which is remote from the first layer. The second layer is likewise a semiconductor layer of the semiconductor layer sequence and can be based on one of the abovementioned materials. The second layer has preferably also grown epitaxially. Furthermore, the second layer may consist of a plurality of individual layers.

Gemäß zumindest einer Ausführungsform umfasst das Verfahren einen weiteren Schritt E), bei dem ein dritter Ätzprozess durchgeführt wird, mit dem die zweite Schicht in einem zweiten vorgegebenen Bereich weggeätzt wird. Bei dem dritten Ätzprozess wird bevorzugt ausgehend von der Unterseite in Richtung der Oberseite geätzt. Das heißt, in dem dritten Ätzprozess ist die Ätzrichtung entgegengesetzt zur Ätzrichtung im ersten und zweiten Ätzprozess.In accordance with at least one embodiment, the method comprises a further step E), in which a third etching process is carried out with which the second layer is etched away in a second predetermined region. In the third etching process is preferably etched from the bottom in the direction of the top. That is, in the third etching process, the etching direction is opposite to the etching direction in the first and second etching processes.

Der zweite vorgegebene Bereich kann sich von dem ersten vorgegebenen Bereich unterscheiden. Beim Blick entlang einer Wachstumsrichtung der Halbleiterschichtenfolge können der erste vorgegebene Bereich und der zweite vorgegebene Bereich miteinander überlappen oder zueinander versetzt sein. Beispielsweise ist der zweite vorgegebene Bereich größer als der erste vorgegebene Bereich. Der zweite vorgegebene Bereich kann sich beispielsweise über die gesamte laterale Ausdehnung der Halbleiterschichtenfolge erstrecken.The second predetermined range may be different from the first predetermined range. When looking along a growth direction of the semiconductor layer sequence, the first predetermined area and the second predetermined area may overlap each other or be offset from each other. For example, the second predetermined range is greater than the first predetermined range. The second predetermined region may extend over the entire lateral extent of the semiconductor layer sequence, for example.

Bei dem dritten Ätzprozess wird ein drittes Ätzmittel verwendet. Das dritte Ätzmittel kann das gleiche Ätzmittel wie das erste oder zweite Ätzmittel sein oder sich von dem ersten und zweiten Ätzmittel unterscheiden. Während des gesamten dritten Ätzprozesses wird das dritte Ätzmittel beispielsweise nicht geändert.In the third etching process, a third etchant is used. The third etchant may be the same etchant as the first or second etchant or different from the first and second etchants. For example, during the entire third etching process, the third etchant is not changed.

Der Schritt E) wird bevorzugt nach dem Schritt D) ausgeführt.The step E) is preferably carried out after the step D).

Gemäß zumindest einer Ausführungsform wird im dritten Ätzprozess bis zur ersten Ätzstoppschicht geätzt. Beispielsweise ist in diesem Fall das dritte Ätzmittel wie das zweite Ätzmittel gewählt. Weiterhin kann in diesem Fall die zweite Schicht in direktem Kontakt zur Ätzstoppschicht stehen. Innerhalb der Ätzstoppschicht kommt der dritte Ätzprozess bevorzugt zum Erliegen, beispielsweise durch Vorgabe einer Zeitspanne für den dritten Ätzprozess, so wie es oben im Zusammenhang mit dem zweiten Ätzprozess beschrieben ist. In dem dritten Ätzprozess wird dann also die Ätzstoppschicht im zweiten vorgegebenen Bereich freigelegt.In accordance with at least one embodiment, the third etching process etches to the first etching stop layer. For example, in this case, the third etchant is selected as the second etchant. Furthermore, in this case, the second layer may be in direct contact with the etch stop layer. Within the etch stop layer, the third etching process preferably comes to a standstill, for example by specifying a time period for the third etching process, as described above in connection with the second etching process. In the third etching process, therefore, the etching stop layer is then exposed in the second predetermined region.

Gemäß zumindest einer Ausführungsform umfasst die Halbleiterschichtenfolge eine zweite Markerschicht zwischen der zweiten Schicht und der Ätzstoppschicht sowie eine zweite Zwischenschicht zwischen der Ätzstoppschicht und der zweiten Markerschicht. Auch die zweite Markerschicht und die zweite Zwischenschicht sind Halbleiterschichten der Halbleiterschichtenfolge, beispielsweise basierend auf einem der oben genannten Materialien. Auch die zweite Zwischenschicht und die zweite Markerschicht sind bevorzugt epitaktisch gewachsen. Die zweite Zwischenschicht kann aus mehreren Einzelschichten unterschiedlicher Zusammensetzung bestehen.In accordance with at least one embodiment, the semiconductor layer sequence comprises a second marker layer between the second layer and the etch stop layer and a second intermediate layer between the etch stop layer and the second marker layer. The second marker layer and the second intermediate layer are also semiconductor layers of the semiconductor layer sequence, for example based on one of the abovementioned materials. The second intermediate layer and the second marker layer have also grown epitaxially. The second intermediate layer can consist of several individual layers of different composition.

Die zweite Zwischenschicht kann in direktem Kontakt mit der zweiten Markerschicht und der Ätzstoppschicht stehen. Die zweite Markerschicht wiederum kann in direktem Kontakt mit der zweiten Schicht stehen. Die Dicken der zweiten Markerschicht und der zweiten Zwischenschicht können wie im Zusammenhang mit der ersten Markerschicht und der ersten Zwischenschicht beschrieben gewählt sein. Die zweite Markerschicht kann so aufgebaut sein, wie es im Zusammenhang mit der ersten Markerschicht beschrieben ist.The second interlayer may be in direct contact with the second marker layer and the etch stop layer. The second marker layer, in turn, may be in direct contact with the second layer. The thicknesses of the second marker layer and the second intermediate layer may be selected as described in connection with the first marker layer and the first intermediate layer. The second marker layer may be constructed as described in connection with the first marker layer.

Gemäß zumindest einer Ausführungsform wird im Schritt E) zumindest bis zur zweiten Markerschicht geätzt.In accordance with at least one embodiment, etching is carried out at least until the second marker layer in step E).

Gemäß zumindest einer Ausführungsform umfasst das Verfahren einen Schritt F), in dem ein Signal erfasst wird, das ein Auftreffen eines im dritten Ätzprozess verwendeten dritten Ätzmittels auf der zweiten Markerschicht signalisiert. Die im Zusammenhang mit dem Schritt C) beziehungsweise dem ersten Ätzprozess gemachten Ausführungen können entsprechend für den Schritt F) beziehungsweise den dritten Ätzprozess gelten. Bevorzugt wird der Schritt F) nach dem Schritt E) ausgeführt.In accordance with at least one embodiment, the method comprises a step F), in which a signal is detected that signals an impact of a third etchant used in the third etching process on the second marker layer. The statements made in connection with step C) or the first etching process may apply correspondingly to step F) or the third etching process. Preferably, step F) is carried out after step E).

Gemäß zumindest einer Ausführungsform umfasst das Verfahren einen Schritt G), in dem ein vierter Ätzprozess angewendet wird, mit dem die zweite Zwischenschicht in dem zweiten vorgegebenen Bereich weggeätzt wird, wobei bis zu der Ätzstoppschicht geätzt wird und wobei der vierte Ätzprozess so eingestellt wird, dass der vierte Ätzprozess beendet ist, bevor die Ätzstoppschicht vollständig durchgeätzt ist. Die im Zusammenhang mit dem Schritt D) beziehungsweise dem zweiten Ätzprozess gemachten Ausführungen können dann entsprechend für den Schritt G) beziehungsweise den vierten Ätzprozess gelten. Der Schritt G) wird insbesondere nach dem Schritt F) durchgeführt. Nach dem Schritt G) ist die Ätzstoppschicht bevorzugt im gesamten zweiten vorgegebenen Bereich freigelegt.In accordance with at least one embodiment, the method comprises a step G), in which a fourth etching process is used with which the second intermediate layer is etched away in the second predetermined region, etching up to the etching stop layer and the fourth etching process being set such that the fourth etching process is completed before the etch stop layer is completely etched through. The statements made in connection with step D) or the second etching process can then apply correspondingly to step G) or the fourth etching process. The step G) is carried out in particular after the step F). After the step G), the etching stop layer is preferably exposed in the entire second predetermined range.

Gemäß zumindest einer Ausführungsform umfasst das Verfahren weiter einen Schritt H), in dem ein fünfter Ätzprozess durchgeführt wird, mit dem die Ätzstoppschicht in dem zweiten vorgegebenen Bereich weggeätzt wird, insbesondere vollständig weggeätzt wird. Der Schritt H) wird bevorzugt nach dem Schritt E) oder nach den Schritten F) und G) durchgeführt. Beispielsweise wird dafür ein anderes Ätzmittel als im vierten Ätzprozess verwendet.In accordance with at least one embodiment, the method further comprises a step H), in which a fifth etching process is carried out with which the etch stop layer is etched away in the second predetermined region, in particular is completely etched away. Step H) is preferably carried out after step E) or after steps F) and G). For example, a different etchant is used for this than in the fourth etching process.

Gemäß zumindest einer Ausführungsform wird mit dem Verfahren eine Mehrzahl von Halbleiterchips, insbesondere optoelektronischer Halbleiterchips, hergestellt.In accordance with at least one embodiment, the method produces a plurality of semiconductor chips, in particular optoelectronic semiconductor chips.

Gemäß zumindest einer Ausführungsform ist die im Schritt A) bereitgestellte Halbleiterschichtenfolge auf einem Aufwachsubstrat aufgewachsen und umfasst noch das Aufwachsubstrat. Bei dem Aufwachsubstrat handelt es sich beispielsweise um Saphir. Die erste Schicht der Halbleiterschichtenfolge ist dem Aufwachsubstrat abgewandt und die zweite Schicht der Halbleiterschichtenfolge ist dem Aufwachsubstrat zugewandt. Die zweite Schicht ist beispielsweise direkt auf dem Aufwachssubstrat aufgewachsen.In accordance with at least one embodiment, the semiconductor layer sequence provided in step A) is grown on a growth substrate and still comprises the growth substrate. The growth substrate is, for example, sapphire. The first layer of the semiconductor layer sequence faces away from the growth substrate, and the second layer of the semiconductor layer sequence faces the growth substrate. The second layer is, for example, grown directly on the growth substrate.

Gemäß zumindest einer Ausführungsform werden durch den ersten und zweiten Ätzprozess Trenngräben zwischen zu entstehenden, benachbarten Halbleiterchips geätzt. Das heißt, der erste vorgegebene Bereich entspricht der Position und lateralen Ausdehnung der zu ätzenden Trenngräben. Durch die Trenngräben werden also die lateralen Ausdehnungen der zu entstehenden Halbleiterchips vorgegeben.In accordance with at least one embodiment, separating trenches between neighboring semiconductor chips to be formed are etched by the first and second etching processes. That is, the first predetermined range corresponds to the position and lateral extent of the separation trenches to be etched. By the separation trenches so the lateral dimensions of the resulting semiconductor chips are given.

Gemäß zumindest einer Ausführungsform wird nach dem Schritt D) und vor dem Schritt E) die Halbleiterschichtenfolge auf einen Hilfsträger aufgebracht, so dass das Aufwachsubstrat dem Hilfsträger abgewandt ist, wobei anschließend das Aufwachsubstrat entfernt wird. Das Aufwachsubstrat kann beispielsweise mittels Laser-Lift-Off abgetrennt werden.According to at least one embodiment, after step D) and before step E), the semiconductor layer sequence is applied to a subcarrier so that the growth substrate faces away from the subcarrier, with the growth substrate subsequently being removed. The growth substrate can be separated for example by means of laser lift-off.

Gemäß zumindest einer Ausführungsform wird nach dem Schritt E), zum Beispiel nach dem Schritt G) oder nach dem Schritt H), die Halbleiterschichtenfolge zu einer Mehrzahl von Halbleiterchips vereinzelt. Die Vereinzelungslinien verlaufen dann insbesondere durch die Trenngräben.According to at least one embodiment, after step E), for example after step G) or after step H), the semiconductor layer sequence is singulated into a plurality of semiconductor chips. The separation lines then run in particular through the separation trenches.

Gemäß zumindest einer Ausführungsform werden mit der Durchführung der Schritte A) bis D) Sacklöcher in die Halbleiterschichtenfolge eingebracht. Nach den Schritten A) bis D) sind die Sacklöcher lateral, das heißt parallel zu einer Haupterstreckungsebene der Halbleiterschichtenfolge, vollständig von der ersten Schicht, der ersten Markerschicht und der ersten Zwischenschicht umgeben. Die Sacklöcher werden im späteren Verfahren beispielsweise mit einem Metall oder einem anderen elektrisch leitenden Material aufgefüllt und bilden dann Durchkontaktierungen durch die Halbleiterschichtenfolge. Bevorzugt durchdringen die Sacklöcher auch eine aktive Schicht der Halbleiterschichtenfolge und sind nach Fertigstellung lateral vollständig von der aktiven Schicht umgeben.According to at least one embodiment, blind holes are introduced into the semiconductor layer sequence when steps A) to D) are carried out. After the steps A) to D), the blind holes are laterally, ie parallel to a main extension plane of the semiconductor layer sequence, completely surrounded by the first layer, the first marker layer and the first intermediate layer. The blind holes are filled in the later process, for example, with a metal or other electrically conductive material and then form through holes through the semiconductor layer sequence. The blind holes preferably also penetrate an active layer of the semiconductor layer sequence and after completion are completely laterally surrounded by the active layer.

Darüber hinaus wird ein optoelektronischer Halbleiterchip angegeben. Der optoelektronische Halbleiterchip kann beispielsweise mit dem wie eben beschriebenen Verfahren hergestellt werden. Das heißt, sämtliche in Verbindung mit dem Verfahren offenbarten Merkmale sind auch für den optoelektronischen Halbleiterchip offenbart und umgekehrt.In addition, an optoelectronic semiconductor chip is specified. The optoelectronic semiconductor chip can be produced, for example, by the method just described. That is, all features disclosed in connection with the method are also disclosed for the optoelectronic semiconductor chip and vice versa.

Gemäß zumindest einer Ausführungsform umfasst der optoelektronische Halbleiterchip eine Halbleiterschichtenfolge mit einer ersten Schicht, einer ersten Markerschicht, einer ersten Zwischenschicht und einer Ätzstoppschicht, die in dieser Reihenfolge übereinander geschichtet sind.In accordance with at least one embodiment, the optoelectronic semiconductor chip comprises a semiconductor layer sequence with a first layer, a first marker layer, a first intermediate layer and an etch stop layer, which are stacked in this order.

Gemäß zumindest einer Ausführungsform umfasst der Halbleiterchip eine aktive Schicht, in der im bestimmungsgemäßen Betrieb des Halbleiterchips elektromagnetische Strahlung erzeugt wird. Die aktive Schicht ist Teil der Halbleiterschichtenfolge.In accordance with at least one embodiment, the semiconductor chip comprises an active layer in which electromagnetic radiation is generated during normal operation of the semiconductor chip. The active layer is part of the semiconductor layer sequence.

Gemäß zumindest einer Ausführungsform basieren die erste Markerschicht und die Ätzstoppschicht jeweils auf AlnGamIn1-m-nN, wobei n ≥ 0,05 ist.According to at least one embodiment, based the first marker layer and the etch stop layer in each case on Al n Ga m In 1 - mn N, n being ≥ 0.05.

Gemäß zumindest einer Ausführungsform basiert die erste Zwischenschicht auf AlxGayIn1-y-xN, wobei der Al-Anteil kleiner ist als in der ersten Markerschicht und der Ätzstoppschicht. Dies gilt bevorzugt auch für alle Einzelschichten der ersten Zwischenschicht.In accordance with at least one embodiment, the first intermediate layer is based on Al x Ga y In 1- y x N, wherein the Al content is smaller than in the first marker layer and the etching stop layer. This preferably also applies to all individual layers of the first intermediate layer.

Gemäß zumindest einer Ausführungsform beträgt ein Abstand zwischen der ersten Markerschicht und der Ätzstoppschicht zwischen einschließlich 20 nm und 1000 nm.According to at least one embodiment, a distance between the first marker layer and the etch stop layer is between 20 nm and 1000 nm inclusive.

Gemäß zumindest einer Ausführungsform ist in die Halbleiterschichtenfolge ein Sackloch eingebracht, das sich durch die erste Markerschicht hindurch erstreckt und in die Ätzstoppschicht mündet. Lateral ist das Sackloch bevorzugt vollständig von der Markerschicht, der ersten Zwischenschicht und der aktiven Schicht umgeben.According to at least one embodiment, a blind hole is introduced into the semiconductor layer sequence, which extends through the first marker layer and opens into the etching stop layer. Laterally, the blind hole is preferably completely surrounded by the marker layer, the first intermediate layer and the active layer.

Nachfolgend werden ein hier beschriebenes Verfahren zur Bearbeitung einer Halbleiterschichtenfolge sowie ein hier beschriebener optoelektronischer Halbleiterchip unter Bezugnahme auf Zeichnungen anhand von Ausführungsbeispielen näher erläutert. Gleiche Bezugszeichen geben dabei gleiche Elemente in den einzelnen Figuren an. Es sind dabei jedoch keine maßstäblichen Bezüge dargestellt, vielmehr können einzelne Elemente zum besseren Verständnis übertrieben groß dargestellt sein.Hereinafter, a method described here for processing a semiconductor layer sequence as well as an optoelectronic semiconductor chip described herein with reference to drawings using exemplary embodiments will be explained in more detail. The same reference numerals indicate the same elements in the individual figures. However, no scale relationships are shown here, Rather, individual elements may be exaggerated in size for better understanding.

Es zeigen:

  • 1A bis 1C verschiedene Positionen in einem ersten Ausführungsbeispiel des Verfahrens,
  • 2A bis 2G verschiedene Positionen in einem zweiten Ausführungsbeispiel des Verfahrens,
  • 3 ein Ausführungsbeispiel eines optoelektronischen Halbleiterchips in Querschnittsansicht.
Show it:
  • 1A to 1C different positions in a first embodiment of the method,
  • 2A to 2G different positions in a second embodiment of the method,
  • 3 an embodiment of an optoelectronic semiconductor chip in cross-sectional view.

In der 1A ist eine erste Position in einem ersten Ausführungsbeispiel des Verfahrens zur Bearbeitung einer Halbleiterschichtenfolge gezeigt. Eine Halbleiterschichtenfolge 1 mit einer Oberseite 20 und einer der Oberseite gegenüberliegenden Unterseite 21 ist bereitgestellt. Die Halbleiterschichtenfolge 1 umfasst ausgehend von der Unterseite 21 eine zweite Schicht 16, eine Ätzstoppschicht 13, eine erste Zwischenschicht 12, eine erste Markerschicht 11 und eine erste Schicht 10. Alle genannten Schichten basieren auf einem Halbleitermaterial, vorliegend zum Beispiel AlInGaN. Die genannten Schichten sind in der angegebenen Reihenfolge übereinander angeordnet und epitaktisch gewachsen. Die Unterseite 21 der Halbleiterschichtenfolge 1 ist beispielsweise auf einem Aufwachsubstrat, insbesondere Saphir, gewachsen (nicht gezeigt).In the 1A is shown a first position in a first embodiment of the method for processing a semiconductor layer sequence. A semiconductor layer sequence 1 with a top 20 and an underside opposite the top 21 is provided. The semiconductor layer sequence 1 includes starting from the bottom 21 a second layer 16 , an etch stop layer 13 , a first intermediate layer 12 , a first marker layer 11 and a first layer 10 , All of these layers are based on a semiconductor material, in this case for example AlInGaN. The layers mentioned are arranged one above the other in the stated order and grown epitaxially. The bottom 21 the semiconductor layer sequence 1 is for example grown on a growth substrate, in particular sapphire (not shown).

In der 1B ist eine zweite Position des Verfahrens gezeigt. Auf die Oberseite 20 der Halbleiterschichtenfolge 1 ist eine Maske 3, beispielsweise eine Fotomaske, aufgebracht. Die Maske 3 umfasst ein Loch, das einen ersten Bereich vorgibt, in dem die Halbleiterschichtenfolge 1 geätzt werden soll. Der vorgegebene erste Bereich definiert beispielsweise einen Bereich, in dem durch den Ätzprozess ein Trenngraben 23 oder ein Sackloch 22 entstehen soll.In the 1B a second position of the method is shown. On the top 20 the semiconductor layer sequence 1 is a mask 3 , For example, a photomask applied. The mask 3 includes a hole defining a first region in which the semiconductor layer sequence 1 should be etched. The predetermined first area defines, for example, a region in which a separation trench is created by the etching process 23 or a blind hole 22 should arise.

Ferner ist in der 1B ein erster Ätzprozess gezeigt (durch den Pfeil angedeutet), der ausgehend von der Oberseite 20 durchgeführt wird. Mit dem ersten Ätzprozess wird die erste Schicht 10 in dem ersten vorgegebenen Bereich durchgeätzt und zumindest bis zur ersten Markerschicht 11 geätzt. Der erste Ätzprozess ist beispielsweise ein trockenchemischer Ätzprozess, wobei als ein erstes Ätzmittel zum Beispiel Chlor verwendet wird.Furthermore, in the 1B shown a first etching process (indicated by the arrow), starting from the top 20 is carried out. The first etching process becomes the first layer 10 etched through in the first predetermined region and at least until the first marker layer 11 etched. The first etching process is, for example, a dry chemical etching process using as a first etchant, for example, chlorine.

Sobald das erste Ätzmittel des ersten Ätzprozesses die erste Markerschicht 11 erreicht, wird ein Signal erfasst, das signalisiert, dass das erste Ätzmittel die erste Markerschicht 11 erreicht hat. Vorliegend ist der Al-Gehalt in der ersten Markerschicht 11 zum Beispiel größer als der Al-Gehalt in der ersten Schicht 10. Während des ersten Ätzprozesses wird zum Beispiel das Verhältnis von durch den ersten Ätzprozess freigesetzten Al-Atomen zu Ga-Atomen gemessen. Ein Anstieg in diesem Verhältnis signalisiert das Auftreffen des ersten Ätzmittels auf der ersten Markerschicht 11. Anschließend wird der erste Ätzprozess beendet.Once the first etchant of the first etching process, the first marker layer 11 is reached, a signal is detected, which signals that the first etchant, the first marker layer 11 has reached. In the present case, the Al content is in the first marker layer 11 for example, greater than the Al content in the first layer 10 , For example, during the first etching process, the ratio of Al atoms released by the first etching process to Ga atoms is measured. An increase in this ratio signals the impact of the first etchant on the first marker layer 11 , Subsequently, the first etching process is terminated.

Der erste Ätzprozess kann beispielsweise so gewählt sein, dass er die erste Zwischenschicht 10 besonders schnell durchätzt oder eine besonders hohe Steilheit an den Flanken des Trenngraben 23 oder des Sacklochs 22 erreicht wird.The first etching process may, for example, be chosen to be the first intermediate layer 10 etched particularly quickly or a particularly high slope on the flanks of the separation trench 23 or the blind hole 22 is reached.

In dem rechten Bild der 1B ist der im linken Bild durch ein gestricheltes Kästchen eingerahmte Ausschnitt vergrößert dargestellt. Zu erkennen ist, dass mit dem ersten Ätzprozess eine geringe Tiefenuniformität erreicht wird.In the right picture of the 1B is shown in the left image by a dashed box framed section enlarged. It can be seen that a low depth uniformity is achieved with the first etching process.

In der 1C ist eine dritte Position des Verfahrens gezeigt, in der ein zweiter Ätzprozess durchgeführt wird, der auf den ersten Ätzprozess folgt. Der zweite Ätzprozess kann wiederum ein trockenchemischer Ätzprozess sein. Gegenüber dem ersten Ätzmittel aus Chlor kann das zweite Ätzmittel eine Mischung aus Chlor und Sauerstoff/Fluor verwendet werden.In the 1C a third position of the method is shown, in which a second etching process is performed, which follows the first etching process. The second etching process may again be a dry chemical etching process. Compared with the first chlorine etchant, the second etchant can be a mixture of chlorine and oxygen / fluorine.

Mit dem zweiten Ätzprozess wird die zweite Zwischenschicht 12 bis hin zur Ätzstoppschicht 13 durchgeätzt. Der zweite Ätzprozess ist dabei so eingestellt, dass der zweite Ätzprozess beendet ist, bevor die Ätzstoppschicht 13 vollständig durchgeätzt ist. Beispielsweise weist die Ätzstoppschicht 13 eine zumindest 20-mal geringere Ätzrate für das zweite Ätzmittel auf als die erste Zwischenschicht 12, so dass in einer vorgegebenen Zeitspanne für den zweiten Ätzprozess die Ätzstoppschicht 13 von dem zweiten Ätzmittel nicht durchgeätzt werden kann. Dazu umfasst die Ätzstoppschicht 13 beispielsweise einen Al-Gehalt von mehr als 5 % und eine Dicke von zumindest 10 nm.With the second etching process, the second intermediate layer becomes 12 up to the etching stop layer 13 etched. The second etching process is set so that the second etching process is completed before the etching stop layer 13 completely etched through. For example, the etch stop layer 13 an at least 20 times lower etch rate for the second etchant than the first interlayer 12 such that in a predetermined period of time for the second etching process, the etch stop layer 13 can not be etched through by the second etchant. This includes the etch stop layer 13 For example, an Al content of more than 5% and a thickness of at least 10 nm.

In dem rechten Bild der 1C ist der im linken Bild mit dem gestrichelten Kästchen umrandete Ausschnitt vergrößert dargestellt. Zu erkennen ist, dass mit dem zweiten Ätzprozess die Ätzstoppschicht 13 im ersten vorgegebenen Bereich freigelegt wird. Der zweite Ätzprozess wird durch die Ätzstoppschicht 13 gestoppt und die Ätzstoppschicht 13 wird kaum durchgeätzt. Da die Ätzstoppschicht 13 epitaktisch gewachsen ist, ist deren Uniformität und Position innerhalb der Halbleiterschichtenfolge besonders präzise eingestellt. Entsprechend hoch ist die Tiefenuniformität der durch die Ätzprozesse erzeugten Struktur in der Halbleiterschichtenfolge 1.In the right picture of the 1C the section in the left picture with the dashed box is enlarged. It can be seen that with the second etching process, the etch stop layer 13 is exposed in the first predetermined area. The second etching process is performed by the etch stop layer 13 stopped and the etch stop layer 13 is hardly etched through. Because the etch stop layer 13 has grown epitaxially, their uniformity and position within the semiconductor layer sequence is set very precisely. The depth uniformity of the structure produced by the etching processes in the semiconductor layer sequence is correspondingly high 1 ,

In der 2A ist eine erste Position in einem zweiten Ausführungsbeispiel des Verfahrens gezeigt. Wiederum ist zunächst eine Halbleiterschichtenfolge 1 bereitgestellt. Neben den im Zusammenhang mit der 1A genannten Schichten umfasst diese Halbleiterschichtenfolge 1 auf einer der Oberseite 20 abgewandten Seite der Ätzstoppschicht 13 noch eine zweite Zwischenschicht 14 und eine zweite Markerschicht 15. Die Halbleiterschichtenfolge 1 ist auf einem Aufwachsubstrat 18, zum Beispiel Saphir, aufgewachsen.In the 2A a first position is shown in a second embodiment of the method. Again, first is a semiconductor layer sequence 1 provided. In addition to those related to the 1A said layers comprises this semiconductor layer sequence 1 on one of the top 20 opposite side of the etch stop layer 13 still a second intermediate layer 14 and a second marker layer 15 , The semiconductor layer sequence 1 is on a growth substrate 18 , for example sapphire, grew up.

In den 2B und 2C sind Positionen gezeigt, in denen der erste Ätzprozess und der zweite Ätzprozess durchgeführt werden. Vorliegend werden mit dem ersten Ätzprozess und dem zweiten Ätzprozess im ersten vorgegebenen Bereich Trenngräben 23 zwischen zu entstehenden Halbleiterchips ausgebildet.In the 2 B and 2C Positions are shown in which the first etching process and the second etching process are performed. In the present case, with the first etching process and the second etching process in the first predetermined area separation trenches 23 formed between emerging semiconductor chips.

In der Position der 2D ist die Halbleiterschichtenfolge 1 mit den gebildeten Trenngräben 23 auf einen Hilfsträger 2 aufgebracht, derart dass die zweite Schicht 16 dem Hilfsträger 2 abgewandt ist. Das Aufwachsubstrat 18 ist abgelöst, beispielsweise mittels eines Laser-Lift-Off-Prozesses.In the position of 2D is the semiconductor layer sequence 1 with the formed separating trenches 23 to a subcarrier 2 applied, such that the second layer 16 the subcarrier 2 turned away. The growth substrate 18 is replaced, for example by means of a laser lift-off process.

In der Position der 2E ist ein dritter Ätzprozess angewendet, bei dem in einem zweiten vorgegebenen Bereich ausgehend von der Unterseite 21 der Halbleiterschichtenfolge 1 durch die zweite Schicht 16 in Richtung der Oberseite 20 zumindest bis zur zweiten Markerschicht 15 geätzt wird. Vorliegend wird der zweite vorgegebene Bereich durch die gesamte laterale Ausdehnung der Halbleiterschichtenfolge 1 gebildet. Das heißt, die erste Schicht 16 wird überall auf der Halbleiterschichtenfolge 1 durchgeätzt oder weggeätzt.In the position of 2E a third etching process is applied, in which in a second predetermined range starting from the bottom 21 the semiconductor layer sequence 1 through the second layer 16 towards the top 20 at least until the second marker layer 15 is etched. In the present case, the second predetermined range is the entire lateral extent of the semiconductor layer sequence 1 educated. That is, the first layer 16 becomes everywhere on the semiconductor layer sequence 1 etched through or etched away.

Beim dritten Ätzprozess wird wieder ein Signal erfasst, das das Auftreffen des im dritten Ätzprozess verwendeten dritten Ätzmittels auf der zweiten Markerschicht 15 signalisiert. Dazu können die zweite Markerschicht 15 und das dritte Ätzmittel, wie im Zusammenhang mit der ersten Markerschicht 11 und dem ersten Ätzmittel beschrieben, gewählt sein. Beim oder nach Erreichen der zweiten Markerschicht 15 wird der dritte Ätzprozess beendet.In the third etching process, a signal is again detected, which is the impact of the third etchant used in the third etching process on the second marker layer 15 signaled. These can be the second marker layer 15 and the third etchant, as in the context of the first marker layer 11 and the first etchant described. During or after reaching the second marker layer 15 the third etching process is ended.

In der Position der 2F ist auf einen vierten Ätzprozess umgestellt, mit dem im zweiten vorgegebenen Bereich die zweite Zwischenschicht 14 durchgeätzt beziehungsweise weggeätzt wird und bis zur Ätzstoppschicht 13 geätzt wird. Der vierte Ätzprozess wird zum Beispiel analog zum zweiten Ätzprozess durchgeführt. Das heißt, der vierte Ätzprozess ist wieder so gewählt, dass der vierte Ätzprozess in der Ätzstoppschicht zum Erliegen kommt. Beispielsweise wird in dem vierten Ätzprozess das gleiche Ätzmittel wie in dem zweiten Ätzprozess verwendet.In the position of 2F is converted to a fourth etching process, with the second intermediate layer in the second predetermined area 14 is etched through or etched away and until the etch stop layer 13 is etched. The fourth etching process is performed, for example, analogously to the second etching process. That is, the fourth etching process is again selected so that the fourth etching process comes to a halt in the etching stop layer. For example, in the fourth etching process, the same etchant as in the second etching process is used.

Nach dem vierten Ätzprozess ist die Ätzstoppschicht im gesamten zweiten vorgegebenen Bereich freigelegt.After the fourth etching process, the etch stop layer is exposed in the entire second predetermined range.

In der 2G ist eine Position gezeigt, bei dem mittels eines fünften Ätzprozesses die Ätzstoppschicht 13 weggeätzt wird. Dadurch ist die ursprünglich zusammenhängende Halbleiterschichtenfolge 1 in eine Mehrzahl von einzelnen Halbleiterchips 100 unterteilt, die voneinander durch die eingeätzten Trenngräben 23 beabstandet sind. Aufgrund des verwendeten Verfahrens weisen alle Halbleiterchips 100 nahezu identische Dicken auf.In the 2G a position is shown in which by means of a fifth etching process, the etch stop layer 13 is etched away. This is the originally contiguous semiconductor layer sequence 1 into a plurality of individual semiconductor chips 100 divided by each other through the etched separation trenches 23 are spaced. Due to the method used, all semiconductor chips 100 almost identical thicknesses.

In der 3 ist ein Ausführungsbeispiel eines optoelektronischen Halbleiterchips 200 gezeigt. Der optoelektronische Halbleiterchip 200 umfasst eine im Zusammenhang mit der 2A spezifizierte Halbleiterschichtenfolge 1. Die erste Schicht 10 der Halbleiterschichtenfolge 1 umfasst ferner eine aktive Schicht 17, in der im bestimmungsgemäßen Betrieb des optoelektronischen Halbleiterchips 200 elektromagnetische Strahlung, beispielsweise im blauen Spektralbereich oder im UV-Bereich, erzeugt wird.In the 3 is an embodiment of an optoelectronic semiconductor chip 200 shown. The optoelectronic semiconductor chip 200 includes one related to the 2A specified semiconductor layer sequence 1 , The first shift 10 the semiconductor layer sequence 1 further comprises an active layer 17 , in the normal operation of the optoelectronic semiconductor chip 200 electromagnetic radiation, for example in the blue spectral range or in the UV range, is generated.

Die Halbleiterschichtenfolge 1 weist ein Sackloch 22 auf, das sich ausgehend von der Oberseite 20 der Halbleiterschichtenfolge 1 durch die erste Schicht 10 inklusive der aktiven Schicht 17, durch die erste Markerschicht 11 und die erste Zwischenschicht 12 erstreckt und in die Ätzstoppschicht 13 mündet. Eine Bodenfläche des Sacklochs 22 ist durch die Ätzstoppschicht 13 gebildet. Das Sackloch 22 kann beispielsweise mit dem im Zusammenhang mit den 1A bis 1C erläuterten Verfahren in die Halbleiterschichtenfolge 1 eingebracht sein. Das Sackloch 22 kann weiter mit elektrisch leitendem Material aufgefüllt sein, um eine elektrische Durchkontaktierung zu realisieren.The semiconductor layer sequence 1 has a blind hole 22 on, starting from the top 20 the semiconductor layer sequence 1 through the first layer 10 including the active layer 17 , through the first marker layer 11 and the first intermediate layer 12 extends and into the etch stop layer 13 empties. A bottom surface of the blind hole 22 is through the etch stop layer 13 educated. The blind hole 22 For example, with the related to the 1A to 1C explained method in the semiconductor layer sequence 1 be introduced. The blind hole 22 may be further filled with electrically conductive material to realize an electrical via.

Die Erfindung ist nicht durch die Beschreibung anhand der Ausführungsbeispiele auf diese beschränkt. Vielmehr umfasst die Erfindung jedes neue Merkmal sowie jede Kombination von Merkmalen, was insbesondere jede Kombination von Merkmalen in den Patentansprüchen beinhaltet, auch wenn diese Merkmale oder diese Kombination selbst nicht explizit in den Patentansprüchen oder Ausführungsbeispielen angegeben ist.The invention is not limited by the description based on the embodiments of these. Rather, the invention encompasses any novel feature as well as any combination of features, including in particular any combination of features in the claims, even if these features or this combination itself is not explicitly stated in the patent claims or exemplary embodiments.

BezugszeichenlisteLIST OF REFERENCE NUMBERS

11
HalbleiterschichtenfolgeSemiconductor layer sequence
22
Hilfsträgersubcarrier
33
Maskemask
1010
erste Schichtfirst shift
1111
erste Markerschichtfirst marker layer
1212
erste Zwischenschichtfirst intermediate layer
1313
Ätzstoppschichtetch stop layer
1414
zweite Zwischenschichtsecond intermediate layer
1515
zweite Markerschichtsecond marker layer
1616
zweite Schichtsecond layer
1717
aktive Schichtactive layer
1818
Aufwachssubstratgrowth substrate
2020
Oberseitetop
2121
Unterseitebottom
2222
Sacklochblind
2323
Trenngrabenseparating trench
100100
HalbleiterchipSemiconductor chip
200200
optoelektronischer Halbleiterchipoptoelectronic semiconductor chip

Claims (17)

Verfahren zur Bearbeitung einer Halbleiterschichtenfolge (1), umfassend die Schritte: A) Bereitstellen einer Halbleiterschichtenfolge (1) mit einer ersten Schicht (10), einer ersten Markerschicht (11), einer ersten Zwischenschicht (12) und einer Ätzstoppschicht (13), die in dieser Reihenfolge übereinander geschichtet sind; B) Anwenden eines ersten Ätzprozesses, mit dem die erste Schicht (10) in einem ersten vorgegebenen Bereich der Halbleiterschichtenfolge (1) weggeätzt wird, wobei zumindest bis zur ersten Markerschicht (11) geätzt wird; C) Erfassen eines Signals, das ein Auftreffen eines im ersten Ätzprozess verwendeten ersten Ätzmittels auf der ersten Markerschicht (11) signalisiert; D) Anwenden eines zweiten Ätzprozesses, mit dem die Zwischenschicht (12) in dem ersten vorgegebenen Bereich weggeätzt wird, wobei bis zur Ätzstoppschicht (13) geätzt wird und wobei der zweite Ätzprozesses so eingestellt wird, dass der zweite Ätzprozess beendet ist, bevor die Ätzstoppschicht (13) vollständig durchgeätzt ist.Method for processing a semiconductor layer sequence (1), comprising the steps: A) providing a semiconductor layer sequence (1) having a first layer (10), a first marker layer (11), a first intermediate layer (12) and an etch stop layer (13) stacked in this order; B) applying a first etching process, with which the first layer (10) is etched away in a first predetermined region of the semiconductor layer sequence (1), wherein at least until the first marker layer (11) is etched; C) detecting a signal indicative of an impact of a first etchant used in the first etching process on the first marker layer (11); D) applying a second etching process by etching away the intermediate layer (12) in the first predetermined region, etching to the etch stop layer (13), and setting the second etching process such that the second etching process is completed before the etch stop layer (13) is completely etched through. Verfahren nach Anspruch 1, wobei im zweiten Ätzprozess die Ätzrate für die erste Zwischenschicht (12) zumindest 20-mal größer ist als für die Ätzstoppschicht (13).Method according to Claim 1 In the second etching process, the etching rate for the first intermediate layer (12) is at least 20 times greater than for the etching stop layer (13). Verfahren nach Anspruch 1 oder 2, wobei das im ersten Ätzprozess verwendete erste Ätzmittel eine andere Zusammensetzung aufweist als ein im zweiten Ätzprozess verwendetes zweites Ätzmittel.Method according to Claim 1 or 2 wherein the first etchant used in the first etching process has a different composition than a second etchant used in the second etching process. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Ätzrate beim Durchätzen der ersten Zwischenschicht (12) im zweiten Ätzprozess geringer ist als die Ätzrate beim Durchätzen der ersten Schicht (10) im ersten Ätzprozess.Method according to one of the preceding claims, wherein the etching rate when etching through the first intermediate layer (12) in the second etching process is lower than the etching rate when etching through the first layer (10) in the first etching process. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Ätzstoppschicht (13) nach dem zweiten Ätzprozess im gesamten ersten vorgegebenen Bereich freigelegt ist.Method according to one of the preceding claims, wherein the etching stop layer (13) after the second etching process in the entire first predetermined range is exposed. Verfahren nach einem der vorhergehenden Ansprüche, wobei mit den Schritten B) und D) zumindest 2 µm durch die Halbleiterschichtenfolge (1) geätzt wird, bevor die Ätzstoppschicht (13) erreicht wird.Method according to one of the preceding claims, wherein with the steps B) and D) at least 2 microns is etched through the semiconductor layer sequence (1) before the Ätzstoppschicht (13) is reached. Verfahren nach einem der vorhergehenden Ansprüche, wobei - die Halbleiterschichtenfolge (1) auf einem III-Nitrid-Halbleitermaterial basiert, - die erste Markerschicht (11) und die Ätzstoppschicht (13) auf AlnGamIn1-m-nN basieren, wobei n ≥ 0,05 ist.Method according to one of the preceding claims, wherein - the semiconductor layer sequence (1) is based on a III-nitride semiconductor material, - the first marker layer (11) and the etch stop layer (13) are based on Al n Ga m In 1-mn N, where n ≥ 0.05. Verfahren nach einem der vorhergehenden Ansprüche, wobei - für den ersten Ätzprozess und den zweiten Ätzprozess jeweils ein trockenchemisches Ätzverfahren verwendet wird, - für den zweiten Ätzprozess gegenüber dem ersten Ätzprozess zusätzlich Fluor und/oder Sauerstoff zum Ätzmittel dazugegeben wird.Method according to one of the preceding claims, wherein a dry-chemical etching process is used in each case for the first etching process and the second etching process, - For the second etching process compared to the first etching process additionally fluorine and / or oxygen is added to the etchant. Verfahren nach einem der vorhergehenden Ansprüche, wobei - die Ätzstoppschicht (13) eine Dicke von zumindest 10 nm aufweist, - die erste Markerschicht (11) eine Dicke von zumindest 10 nm aufweist, - die erste Zwischenschicht (12) eine Dicke zwischen einschließlich 20 nm und 1000 nm aufweist.Method according to one of the preceding claims, wherein the etching stop layer (13) has a thickness of at least 10 nm, the first marker layer (11) has a thickness of at least 10 nm, - The first intermediate layer (12) has a thickness between 20 nm and 1000 nm inclusive. Verfahren nach einem der vorhergehenden Ansprüche, wobei - die Halbleiterschichtenfolge (1) eine zweite Schicht (16) auf einer der ersten Schicht (10) abgewandten Seite der ersten Ätzstoppschicht (12) umfasst, - das Verfahren weiter den Schritt umfasst: E) Anwenden eines dritten Ätzprozesses, mit dem die zweite Schicht (16) in einem zweiten vorgegebenen Bereich weggeätzt wird.Method according to one of the preceding claims, wherein - the semiconductor layer sequence (1) comprises a second layer (16) on a side of the first etching stop layer (12) facing away from the first layer (10), the method further comprises the step of: E) applying a third etching process, with which the second layer (16) is etched away in a second predetermined region. Verfahren nach Anspruch 10, wobei im dritten Ätzprozess bis zu der ersten Ätzstoppschicht (13) geätzt wird.Method according to Claim 10 wherein in the third etching process is etched to the first Ätzstoppschicht (13). Verfahren nach Anspruch 10, wobei - die Halbleiterschichtenfolge (1) eine zweite Markerschicht (15) zwischen der zweiten Schicht (16) und der Ätzstoppschicht (13) sowie eine zweite Zwischenschicht (14) zwischen der Ätzstoppschicht (13) und der zweiten Markerschicht (15) umfasst, - im Schritt E) zumindest bis zur zweiten Markerschicht (15) geätzt wird, - das Verfahren weiter die Schritte umfasst: F) Erfassen eines Signals, das ein Auftreffen eines im dritten Ätzprozess verwendeten dritten Ätzmittels auf der zweiten Markerschicht (15) signalisiert; G) Anwenden eines vierten Ätzprozesses, mit dem die zweite Zwischenschicht (14) in dem zweiten vorgegebenen Bereich weggeätzt wird, wobei bis zu der Ätzstoppschicht (13) geätzt wird und wobei der vierte Ätzprozesses so eingestellt wird, dass der vierte Ätzprozess beendet ist, bevor die Ätzstoppschicht (13) vollständig durchgeätzt ist.Method according to Claim 10 in which - the semiconductor layer sequence (1) comprises a second marker layer (15) between the second layer (16) and the etch stop layer (13) and a second intermediate layer (14) between the etch stop layer (13) and the second marker layer (15), in step E) is etched at least as far as the second marker layer (15), the method further comprises the steps: F) detecting a signal indicative of an impact of a third etchant used in the third etching process on the second marker layer (15); G) applying a fourth etching process, with which the second intermediate layer (14) is etched away in the second predetermined region, etching up to the etch stop layer (13), and wherein the fourth etching process is set so that the fourth etching process is completed before the etch stop layer (13) is fully etched through. Verfahren nach einem der Ansprüche 11 oder 12, weiter umfassend einen Schritt H), in dem ein fünfter Ätzprozess durchgeführt wird, mit dem die Ätzstoppschicht (13) in dem zweiten vorgegebenen Bereich weggeätzt wird.Method according to one of Claims 11 or 12 further comprising a step H), in which a fifth etching process is performed, with which the etching stop layer (13) is etched away in the second predetermined region. Verfahren nach einem der Ansprüche 10 bis 13, mit dem eine Mehrzahl von Halbleiterchips (100) hergestellt wird, wobei - die im Schritt A) bereitgestellte Halbleiterschichtenfolge (1) auf einem Aufwachssubstrat (18) aufgewachsen ist, wobei die erste Schicht (10) dem Aufwachssubstrat (18) abgewandt und die zweite Schicht (16) dem Aufwachssubstrat (18) zugewandt ist, - durch den ersten und zweiten Ätzprozess Trenngräben (23) zwischen zu entstehenden, benachbarten Halbleiterchips (100) geätzt werden, - nach dem Schritt D) und vor dem Schritt E) die Halbleiterschichtenfolge (1) auf einen Hilfsträger (2) aufgebracht wird, sodass das Aufwachssubstrat (18) dem Hilfsträger (2) abgewandt ist, wobei anschließend das Aufwachssubstrat (18) entfernt wird, - nach dem Schritt E) die Halbleiterschichtenfolge (1) zu einer Mehrzahl von Halbleiterchips (100) vereinzelt wird.Method according to one of Claims 10 to 13 , with which a plurality of semiconductor chips (100) is produced, wherein - the semiconductor layer sequence (1) provided in step A) is grown on a growth substrate (18), the first layer (10) facing away from the growth substrate (18) and the second Layer (16) facing the growth substrate (18), - are etched by the first and second etching process separation trenches (23) between neighboring semiconductor chips (100) to be etched, - after step D) and before step E) the semiconductor layer sequence ( 1) is applied to a subcarrier (2) so that the growth substrate (18) faces away from the subcarrier (2), the growth substrate (18) being subsequently removed, after the step E) the semiconductor layer sequence (1) into a plurality of Semiconductor chips (100) is isolated. Verfahren nach einem der vorhergehenden Ansprüche, wobei mit Durchführung der Schritte A) bis D) Sacklöcher (22) in die Halbleiterschichtenfolge (1) eingebracht werden.Method according to one of the preceding claims, wherein with the implementation of steps A) to D) blind holes (22) are introduced into the semiconductor layer sequence (1). Optoelektronischer Halbleiterchip (200), umfassend: - eine Halbleiterschichtenfolge (1) mit einer ersten Schicht (10), einer ersten Markerschicht (11), einer ersten Zwischenschicht (12) und einer Ätzstoppschicht (13), die in dieser Reihenfolge übereinander geschichtet sind; - eine aktive Schicht (17), in der im bestimmungsgemäßen Betrieb des Halbleiterchips (200) elektromagnetische Strahlung erzeugt wird; wobei - die erste Markerschicht (11) und die Ätzstoppschicht (13) jeweils auf AlnGamIn1-m-nN basieren, wobei n ≥ 0,05 ist, - die erste Zwischenschicht auf AlxGayIn1-y-xN basiert, wobei der Al-Anteil keiner ist als in der ersten Markerschicht (11) und der Ätzstoppschicht (13), - ein Abstand zwischen der ersten Markerschicht (11) und der Ätzstoppschicht (13) zwischen einschließlich 20 nm und 1000 nm beträgt.An optoelectronic semiconductor chip (200) comprising: - a semiconductor layer sequence (1) having a first layer (10), a first marker layer (11), a first intermediate layer (12) and an etch stop layer (13) stacked in this order; - An active layer (17) in which electromagnetic radiation is generated during normal operation of the semiconductor chip (200); wherein - the first marker layer (11) and the etch stop layer (13) are each based on Al n Ga m In 1-mn N, where n ≥ 0.05, - the first intermediate layer is based on Al x Ga y In 1- y x N. wherein the Al content is none other than in the first marker layer (11) and the etch stop layer (13), a distance between the first marker layer (11) and the etch stop layer (13) is between 20 nm and 1000 nm inclusive. Optoelektronischer Halbleiterchip (200) nach Anspruch 16, wobei in die Halbleiterschichtenfolge (1) ein Sackloch (22) eingebracht ist, das sich durch die erste Markerschicht (11) hindurch erstreckt und in die Ätztoppschicht (13) mündet.Optoelectronic semiconductor chip (200) according to Claim 16 , wherein in the semiconductor layer sequence (1) a blind hole (22) is introduced, which extends through the first marker layer (11) and opens into the Ätztoppschicht (13).
DE102018107293.7A 2018-03-27 2018-03-27 PROCESS FOR MACHINING A SEMICONDUCTOR LAYER AND OPTOELECTRONIC SEMICONDUCTOR CHIP Withdrawn DE102018107293A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102018107293.7A DE102018107293A1 (en) 2018-03-27 2018-03-27 PROCESS FOR MACHINING A SEMICONDUCTOR LAYER AND OPTOELECTRONIC SEMICONDUCTOR CHIP

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102018107293.7A DE102018107293A1 (en) 2018-03-27 2018-03-27 PROCESS FOR MACHINING A SEMICONDUCTOR LAYER AND OPTOELECTRONIC SEMICONDUCTOR CHIP

Publications (1)

Publication Number Publication Date
DE102018107293A1 true DE102018107293A1 (en) 2019-10-02

Family

ID=67909991

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018107293.7A Withdrawn DE102018107293A1 (en) 2018-03-27 2018-03-27 PROCESS FOR MACHINING A SEMICONDUCTOR LAYER AND OPTOELECTRONIC SEMICONDUCTOR CHIP

Country Status (1)

Country Link
DE (1) DE102018107293A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060226412A1 (en) * 2005-04-11 2006-10-12 Saxler Adam W Thick semi-insulating or insulating epitaxial gallium nitride layers and devices incorporating same
EP2149161A1 (en) * 2007-04-26 2010-02-03 OSRAM Opto Semiconductors GmbH Optoelectronic component
US8188459B2 (en) * 2007-04-12 2012-05-29 Massachusetts Institute Of Technology Devices based on SI/nitride structures
US20140339566A1 (en) * 2011-12-14 2014-11-20 Seoul Viosys Co., Ltd. Semiconductor device and method of fabricating the same
DE102015111046A1 (en) * 2015-07-08 2017-01-12 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060226412A1 (en) * 2005-04-11 2006-10-12 Saxler Adam W Thick semi-insulating or insulating epitaxial gallium nitride layers and devices incorporating same
US8188459B2 (en) * 2007-04-12 2012-05-29 Massachusetts Institute Of Technology Devices based on SI/nitride structures
EP2149161A1 (en) * 2007-04-26 2010-02-03 OSRAM Opto Semiconductors GmbH Optoelectronic component
US20140339566A1 (en) * 2011-12-14 2014-11-20 Seoul Viosys Co., Ltd. Semiconductor device and method of fabricating the same
DE102015111046A1 (en) * 2015-07-08 2017-01-12 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip

Similar Documents

Publication Publication Date Title
DE102012109355B4 (en) Method for producing a semiconductor component with a test process
EP1643567B1 (en) Light emitting diode chip with conversion layer and method of manufacturing the same
DE102009020819B4 (en) A method of forming a pattern on a group III nitride semiconductor substrate and method of making a group III nitride semiconductor light emitting device
EP1592076B1 (en) Optoelectronic device with several current spreading layers and its method of fabrication
DE2303798A1 (en) METHOD FOR PRODUCING SEMICONDUCTOR ARRANGEMENTS AND SEMICONDUCTOR ARRANGEMENTS PRODUCED BY THIS METHOD
DE102015104665A1 (en) Optoelectronic semiconductor body and method for producing an optoelectronic semiconductor body
WO2017121701A1 (en) Optoelectronic component and method for producing an optoelectronic component
DE112011100134B4 (en) Continuous silicon connection with lithographic alignment and registration
DE3317222A1 (en) METHOD FOR PRODUCING A SEMICONDUCTOR STRUCTURE
EP2235759B9 (en) Optical-electronic component and method for production thereof
DE102010029528A1 (en) Semiconductor device having a chip border with a gradual density of structure
DE2047799A1 (en) Semiconductor component
DE112013004761B4 (en) Method for separating areas of a semiconductor layer
WO2020035498A1 (en) Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
DE2504500A1 (en) METHOD FOR PRODUCING A PATTERN FROM ONE OR MORE LAYERS ON A SURFACE BY THE LOCAL REMOVAL OF THIS LAYER OR LAYERS BY SPUTTER ETCHING AND OBJECTS, IN PARTICULAR SEMI-CONDUCTOR ARRANGEMENTS, WHICH ARE USED THIS PROCESS
DE102018107293A1 (en) PROCESS FOR MACHINING A SEMICONDUCTOR LAYER AND OPTOELECTRONIC SEMICONDUCTOR CHIP
DE102013105736B4 (en) Use of a metallization scheme as an etching mask
DE10137575A1 (en) Process for producing a mask and process for producing a semiconductor device
DE102014107167B4 (en) Method for producing a semiconductor component having a structure layer with a plurality of three-dimensional structure elements and radiation-emitting semiconductor component having a structure layer with a plurality of three-dimensional structure elements
DE102008060275B4 (en) Method for structuring a bonded wafer
WO2022100951A2 (en) Method for producing a plurality of semiconductor lasers, and semiconductor laser
DE102014116276A1 (en) An epitaxial wafer, device and method of making an epitaxial wafer and a device
DE19741442A1 (en) Semiconductor especially radiation emitting chips are produced from a wafer
DE102004052626B3 (en) Method for determining edge coverage in coating processes and apparatus for carrying out the method
DE102019103756A1 (en) METHOD OF MANUFACTURING A SEMICONDUCTOR COMPONENT USING A STRUCTURED DIELECTRIC MASK AND SEMICONDUCTOR COMPONENT

Legal Events

Date Code Title Description
R163 Identified publications notified
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee