DE102018106268A1 - GATE SPACER HOLDER STRUCTURES FOR SEMICONDUCTOR COMPONENTS AND METHOD THEREFOR - Google Patents

GATE SPACER HOLDER STRUCTURES FOR SEMICONDUCTOR COMPONENTS AND METHOD THEREFOR Download PDF

Info

Publication number
DE102018106268A1
DE102018106268A1 DE102018106268.0A DE102018106268A DE102018106268A1 DE 102018106268 A1 DE102018106268 A1 DE 102018106268A1 DE 102018106268 A DE102018106268 A DE 102018106268A DE 102018106268 A1 DE102018106268 A1 DE 102018106268A1
Authority
DE
Germany
Prior art keywords
layer
spacer
gate
sidewall
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102018106268.0A
Other languages
German (de)
Inventor
Kuo-Chang Huang
Fu-Peng Lu
Chun-Chang Liu
Chen-Chiu Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/891,074 external-priority patent/US10312348B1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018106268A1 publication Critical patent/DE102018106268A1/en
Granted legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • H01L21/0455Making n or p doped regions or layers, e.g. using diffusion
    • H01L21/046Making n or p doped regions or layers, e.g. using diffusion using ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30617Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Ein Halbleiter-Bauelement weist Folgendes auf: ein Substrat mit einem Kanalbereich; einen Gate-Stapel über dem Kanalbereich; einen Dichtungsabstandshalter, der eine Seitenwand des Gate-Stapels bedeckt, wobei der Dichtungsabstandshalter Siliziumnitrid aufweist; einen Gate-Abstandshalter, der eine Seitenwand des Dichtungsabstandshalters bedeckt, wobei der Gate-Abstandshalter Siliziumoxid aufweist und einen ersten vertikalen Teil und einen ersten horizontalen Teil hat; und eine erste dielektrische Schicht, die eine Seitenwand des Gate-Abstandshalters bedeckt, wobei die erste dielektrische Schicht Siliziumnitrid aufweist.A semiconductor device comprises: a substrate having a channel region; a gate stack over the channel area; a seal spacer covering a sidewall of the gate stack, the seal spacer comprising silicon nitride; a gate spacer covering a side wall of the seal spacer, the gate spacer comprising silicon oxide and having a first vertical part and a first horizontal part; and a first dielectric layer covering a sidewall of the gate spacer, the first dielectric layer comprising silicon nitride.

Description

Prioritätsanspruchpriority claim

Diese Anmeldung beansprucht die Priorität der am 22. November 2017 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/590.003 und dem Titel „Semiconductor Device Gate Spacer Structures and Methods Thereof“ („Gate-Abstandshalterstrukturen für Halbleiter-Bauelemente und Verfahren dafür“), die durch Bezugnahme aufgenommen ist.This application claims priority to US provisional patent application Ser. No. 62 / 590,003, filed Nov. 22, 2017, entitled "Semiconductor Device Gate Spacer Structures and Methods Thereof." The invention relates to "Gate Spacer Structures for Semiconductor Devices and Methods Therefor", which is incorporated by reference.

Hintergrundbackground

Die IC-Branche (IC: integrierter Halbleiter-Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Entwürfen haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionsleistung und die Senkung der entsprechenden Kosten. Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen bei der IC-Bearbeitung und -Herstellung erforderlich.The IC (integrated circuit semiconductor integrated circuit) industry has experienced exponential growth. Technological advances in IC materials and designs have spawned generations of ICs, with each generation having smaller and more complex circuits than the previous generation. In the course of IC evolution, the functional density (i.e., the number of interconnected devices per die area) has generally increased while the feature size (i.e., the smallest component or line that can be produced with a fabrication process) has decreased. This process of downsizing generally provides benefits by increasing production output and reducing the associated costs. However, this downsizing has also increased the complexity of processing and manufacturing ICs, and in order for these advances to be realized, similar developments in IC processing and fabrication are required.

Zum Beispiel ist es im Allgemeinen wünschenswert, die Streukapazität unter den Strukturelementen von Feldeffekttransistoren zu verringern, wie etwa die Kapazität zwischen einer Gate-Struktur und Source-/Drain-Kontakten, um die Schaltgeschwindigkeit zu erhöhen, den Schaltenergieverbrauch zu senken und/oder das Kopplungsrauschen der Transistoren zu verringern. Es sind bestimmte Low-k-Materialien als Isoliermaterialien, die Gate-Strukturen umschließen, vorgeschlagen worden, um eine niedrigere Dielektrizitätskonstante (oder relative Permittivität) bereitzustellen und die Streukapazität zu verringern. Da sich jedoch die Halbleitertechnologie zu kleineren Geometrien hin entwickelt, werden die Abstände zwischen der Gate-Struktur und den Source-/Drain-Kontakten weiter verringert, was dazu führt, dass die Streukapazität immer noch hoch ist. Zwar sind bestehende Ansätze bei der Herstellung von Transistoren bisher im Großen und Ganzen für ihren angestrebten Zweck geeignet gewesen, aber sie sind nicht in jeder Hinsicht völlig zufriedenstellend.For example, it is generally desirable to reduce stray capacitance among the features of field effect transistors, such as the capacitance between a gate structure and source / drain contacts, to increase switching speed, reduce switching power consumption, and / or coupling noise to reduce the transistors. Certain low-k materials have been proposed as insulating materials that encapsulate gate structures to provide a lower dielectric constant (or relative permittivity) and to reduce stray capacitance. However, as semiconductor technology evolves into smaller geometries, the distances between the gate structure and the source / drain contacts are further reduced, resulting in stray capacitance still being high. While existing approaches to transistor fabrication have been broadly appropriate for their intended purpose, they are not entirely satisfactory in every respect.

Figurenlistelist of figures

Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.

  • Die 1A, 1B und 1C zeigen Ablaufdiagramme eines Verfahrens zur Herstellung eines Halbleiter-Bauelements gemäß verschiedenen Aspekten der vorliegenden Erfindung.
  • Die 2 bis 17 sind Schnittansichten eines Teils eines Halbleiter-Bauelements während eines Herstellungsprozesses gemäß dem Verfahren der 1A bis 1C, gemäß einigen Ausführungsformen.
Aspects of the present invention will be best understood from the following detailed description taken in conjunction with the accompanying drawings. It should be noted that, according to common practice in the industry, various elements are not drawn to scale. Rather, for the sake of clarity of the discussion, the dimensions of the various elements can be arbitrarily increased or reduced.
  • The 1A . 1B and 1C 12 show flowcharts of a method for manufacturing a semiconductor device according to various aspects of the present invention.
  • The 2 to 17 are sectional views of a portion of a semiconductor device during a fabrication process according to the method of FIG 1A to 1C according to some embodiments.

Detaillierte BeschreibungDetailed description

Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following description provides many different embodiments or examples for implementing various features of the provided subject matter. Hereinafter, specific examples of components and arrangements will be described in order to simplify the present invention. Of course these are just examples and should not be limiting. For example, the manufacture of a first element over or on a second element in the description below may include embodiments in which the first and second elements are made in direct contact, and may also include embodiments in which additional elements are interposed between the first and second elements the second element can be made so that the first and the second element are not in direct contact. Moreover, in the present invention, reference numerals and / or letters may be repeated in the various examples. This repetition is for simplicity and clarity and as such does not dictate any relationship between the various embodiments and / or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den

  • Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den
  • Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Das Bauelement kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
Moreover, spatially relative terms such as "underlying", "below", "lower" / "lower", "above", "upper", "upper", and the like, may be simply used Description of the relationship of an element or structure to one or more other elements or structures used in the
  • Figures are shown. The spatially relative terms are in addition to those in the
  • Figures illustrated orientation other orientations of the in use or operating component include. The device may be reoriented (rotated 90 degrees or in a different orientation), and the spatially relative descriptors used herein may also be interpreted accordingly.

Die vorliegende Erfindung betrifft allgemein Halbleiter-Bauelemente und Verfahren zu deren Herstellung. Insbesondere betrifft die vorliegende Erfindung die Bereitstellung von Low-k-Gate-Abstandshalterstrukturen und Verfahren zu deren Herstellung, um die Streukapazität zwischen einer Gate-Struktur und Source-/Drain-Kontakten von Feldeffekttransistoren (FETs) bei der Halbleiterherstellung zu senken. Bei der Herstellung von FETs wird angestrebt, die Schaltgeschwindigkeit zu erhöhen, den Schaltenergieverbrauch zu senken und das Kopplungsrauschen zu verringern. Die Streukapazität, insbesondere die Streukapazität zwischen einer Gate-Struktur und Source-/Drain-Kontakten, hat im Allgemeinen einen negativen Einfluss auf diese Parameter. Wenn sich die Halbleitertechnologie hin zu kleineren Geometrien entwickelt, werden die Abstände zwischen dem Gate und den Source-/Drain-Kontakten kleiner, was zu einer größeren Streukapazität führt. Folglich ist die Streukapazität bei FETs problematischer geworden. Die vorliegende Erfindung bietet Lösungen bei der Herstellung von Low-k-Gate-Abstandshalterstrukturen, die Gate-Stapel umschließen, wie etwa Polysilizium-Gates oder Metall-Gates. Die Low-k-Gate-Abstandshalterstrukturen senken die Dielektrizitätskonstante (oder relative Permittivität) zwischen dem Gate-Stapel und den Source-/Drain-Kontakten im Vergleich zu herkömmlichen Gate-Abstandshaltern, die aus Siliziumnitrid (z. B. Si3N4) bestehen, wodurch ihre Streukapazität verringert wird. Außerdem tragen die Low-k-Gate-Abstandshalterstrukturen zum Verringern der Grenzflächenspannung zwischen Gate-Stapeln und Source-/Drain-Bereichen bei und verbessern somit die Trägerbeweglichkeit des Kanals.The present invention relates generally to semiconductor devices and methods of making the same. More particularly, the present invention relates to the provision of low-k gate spacer structures and methods of making same in order to reduce stray capacitance between a gate structure and source / drain contacts of field effect transistors (FETs) in semiconductor fabrication. In the manufacture of FETs, the goal is to increase the switching speed, lower the switching power consumption and reduce the coupling noise. The stray capacitance, in particular the stray capacitance between a gate structure and source / drain contacts, generally has a negative influence on these parameters. As semiconductor technology evolves toward smaller geometries, the gaps between the gate and the source / drain contacts become smaller, resulting in greater stray capacitance. As a result, stray capacitance has become more problematic in FETs. The present invention provides solutions in the fabrication of low-k gate spacer structures that enclose gate stacks, such as polysilicon gates or metal gates. The low-k gate spacer structures reduce the dielectric constant (or relative permittivity) between the gate stack and the source / drain contacts as compared to conventional silicon nitride (eg, Si 3 N 4 ) gate spacers. exist, reducing their stray capacitance. In addition, the low-k gate spacer structures help to reduce the interfacial voltage between gate stacks and source / drain regions, thus improving the carrier mobility of the channel.

Die 1A, 1B und 1C zeigen Ablaufdiagramme eines Verfahrens 100 zur Herstellung von Halbleiter-Bauelementen gemäß der vorliegenden Erfindung. Das Verfahren 100 ist ein Beispiel, und es soll die vorliegende Erfindung nicht über das hinaus beschränken, was explizit in den Ansprüchen dargelegt ist. Weitere Schritte können vor, während und nach dem Verfahren 100 vorgesehen werden, und einige der beschriebenen Schritte können bei weiteren Ausführungsformen des Verfahrens ersetzt, weggelassen oder verschoben werden. Das Verfahren 100 wird nachstehend unter Bezugnahme auf die 2 bis 16 beschrieben, die Schnittansichten eines Halbleiter-Bauelements 200 auf verschiedenen Herstellungsstufen gemäß einigen Ausführungsformen des Verfahrens 100 zeigen.The 1A . 1B and 1C show flowcharts of a method 100 for producing semiconductor devices according to the present invention. The procedure 100 is an example, and it is not intended to limit the present invention beyond what is explicitly set forth in the claims. Further steps may be taken before, during and after the procedure 100 can be provided, and some of the steps described can be replaced, omitted or moved in further embodiments of the method. The procedure 100 is described below with reference to the 2 to 16 described the sectional views of a semiconductor device 200 at various stages of manufacture according to some embodiments of the method 100 demonstrate.

Das Bauelement 200 kann ein Zwischenbauelement sein, das während der Bearbeitung eines integrierten Schaltkreises (IC), oder eines Teils davon, hergestellt wird und Folgendes umfassen kann: SRAM- und/oder Logikschaltungen (SRAM: statischer Direktzugriffsspeicher); passive Komponenten, wie etwa Widerstände, Kondensatoren und Induktoren; und aktive Komponenten, wie etwa p-FETs (PFETs), n-FETs (NFETs), FinFETs, Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs), CMOS-Transistoren (CMOS: komplementärer Metall-Oxid-Halbleiter), Bipolartransistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere Speicherzellen und Kombinationen davon. Darüber hinaus werden die verschiedenen Strukturelemente, die bei verschiedenen Ausführungsformen der vorliegenden Erfindung Transistoren, Gate-Stapel, aktive Bereiche, Trennstrukturen und andere Strukturelemente umfassen, zur Vereinfachung und zum besseren Verständnis bereitgestellt, und sie beschränken die Ausführungsformen nicht unbedingt auf eine Art von Bauelementen, eine Anzahl von Bauelementen, eine Anzahl von Bereichen oder eine Konfiguration von Strukturen oder Bereichen.The component 200 may be an intermediate device fabricated during processing of an integrated circuit (IC), or part thereof, and may include: SRAM and / or logic circuits (SRAM: static random access memory); passive components, such as resistors, capacitors and inductors; and active components such as p-FETs (PFETs), n-FETs (NFETs), FinFETs, metal oxide semiconductor field effect transistors (MOSFETs), CMOS transistors (CMOS: complementary metal oxide semiconductor), bipolar transistors, high voltage transistors , High frequency transistors, other memory cells and combinations thereof. Moreover, the various structural elements that comprise transistors, gate stacks, active areas, isolation structures, and other structural elements in various embodiments of the present invention are provided for simplicity and clarity, and do not necessarily limit the embodiments to one type of device. a number of devices, a number of regions, or a configuration of structures or regions.

Im Schritt 102 wird bei dem Verfahren 100 (1A) eine Bauelementstruktur 200 (2) bereitgestellt. Der einfachen Erläuterung halber wird die Bauelementstruktur 200 auch als Bauelement 200 bezeichnet. Das Bauelement 200 kann ein Substrat 202 und verschiedene Strukturelemente aufweisen, die darin und darauf hergestellt sind. Das Substrat 202 ist bei der dargestellten Ausführungsform ein Siliziumsubstrat. Alternativ kann das Substrat 202 Folgendes aufweisen: einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Bei einer weiteren Alternative ist das Substrat 202 ein Halbleiter auf Isolator (SOI). Bei einigen Ausführungsformen weist das Substrat 202 finnenähnliche Bereiche („Finnen“) zum Herstellen von FinFETs auf. Die Finnen können mit einem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen mit einem oder mehreren fotolithografischen Prozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die andernfalls mit einem einzelnen direkten fotolithografischen Prozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform eine Opferschicht über dem Substrat 202 hergestellt, die dann mit einem fotolithografischen Prozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Dann wird die Opferschicht entfernt, und die verbliebenen Abstandshalter, oder Dorne, können dann zum Strukturieren des Substrats 202 zum Herstellen der Finnen verwendet werden. Bei einigen Ausführungsformen können die Finnen eine oder mehrere Schichten aus epitaxial aufgewachsenen Halbleitermaterialien aufweisen.In step 102 will in the process 100 ( 1A) a component structure 200 ( 2 ) provided. For ease of explanation, the component structure will become 200 also as a component 200 designated. The component 200 can be a substrate 202 and have various structural elements fabricated therein and thereon. The substrate 202 In the illustrated embodiment, it is a silicon substrate. Alternatively, the substrate 202 Comprising: another elemental semiconductor such as germanium; a compound semiconductor such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof. In another alternative, the substrate is 202 a semiconductor on insulator (SOI). In some embodiments, the substrate 202 fin-like regions ("fins") for making FinFETs. The fins can be structured using a suitable method. For example, the fins may be patterned with one or more photolithographic processes, such as double patterning or multiple patterning processes. In general, double structuring or multiple structuring processes combine photolithographic and self-aligned processes to create structures having, for example, pitches that are smaller than those of which can otherwise be achieved with a single direct photolithographic process. For example, in one embodiment, a sacrificial layer is over the substrate 202 which is then patterned using a photolithographic process. Spacers are made along the patterned sacrificial layer using a self-aligned process. Then the sacrificial layer is removed and the remaining spacers, or mandrels, can then be used to pattern the substrate 202 used to make the fins. In some embodiments, the fins may include one or more layers of epitaxially grown semiconductor materials.

Bei einigen Ausführungsformen weist das Substrat 202 einen Isolator (oder eine Trennstruktur) auf, die aus Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Fluorsilicatglas (FSG), einem dielektrischen Low-k-Material und/oder einem anderen geeigneten Isoliermaterial bestehen kann. Der Isolator kann STI-Strukturelemente (STI: flache Grabenisolation) umfassen. Bei einer Ausführungsform wird der Isolator wie folgt hergestellt: Ätzen von Gräben in dem Substrat 202 (zum Beispiel als Teil des vorstehend erörterten Finnenherstellungsprozesses); Füllen der Gräben mit einem Isoliermaterial; und Durchführen einer chemisch-mechanischen Planarisierung (CMP) an dem Substrat 202, das das Isoliermaterial aufweist. Das Substrat kann auch andere Trennstrukturen aufweisen, wie etwa Lokale Oxidation von Silizium (LOCOS). Das Substrat 202 kann eine mehrschichtige Trennstruktur aufweisen.In some embodiments, the substrate 202 an insulator (or separation structure) which may be silicon oxide, silicon nitride, silicon oxynitride, fluorosilicate glass (FSG), a low-k dielectric material, and / or other suitable insulating material. The insulator may comprise STI structural elements (STI: shallow trench isolation). In one embodiment, the insulator is fabricated as follows: etching trenches in the substrate 202 (for example, as part of the fin fabrication process discussed above); Filling the trenches with an insulating material; and performing chemical mechanical planarization (CMP) on the substrate 202 that has the insulating material. The substrate may also have other separation structures, such as Local Oxidation of Silicon (LOCOS). The substrate 202 may have a multi-layered release structure.

Im Schritt 104 wird bei dem Verfahren 100 (1A) ein Gate-Stapel 208 über dem Substrat 202 hergestellt (2). Bei verschiedenen Ausführungsformen ist der Gate-Stapel 208 eine Mehrschichtstruktur. Bei einigen Ausführungsformen ist der Gate-Stapel 208 eine Polysilizium-Gate-Struktur, die eine Zwischenschicht 210 mit Siliziumoxid oder Siliziumoxidnitrid und eine Elektrodenschicht 212 mit Polysilizium umfasst. Daher umfasst bei einigen Ausführungsformen die Herstellung des Gate-Stapels 208 die folgenden Schritte: Abscheiden der Zwischenschicht 210 über dem Substrat 202 durch chemische Oxidation, thermische Oxidation, Atomlagenabscheidung (ALD), chemische Aufdampfung (CVD) oder mit anderen geeigneten Verfahren; Abscheiden der Elektrodenschicht 212 über der Zwischenschicht 210 durch chemische Aufdampfung bei Tiefdruck (LPCVD) oder mit anderen geeigneten Verfahren; und anschließendes Strukturieren der Zwischenschicht 210 und der Elektrodenschicht 212 in einem lithografischen Prozess, um den Gate-Stapel 208 herzustellen. Der Gate-Stapel 208 definiert einen Kanalbereich 215 unter ihm in dem Substrat 202 oder in einer Finne des Substrats 202. Bei der dargestellten Ausführungsform hat der Kanalbereich 215 eine Kanallänge D, die in dem Bereich von etwa 5 nm bis etwa 180 nm liegt.In step 104 will in the process 100 ( 1A) a gate stack 208 above the substrate 202 manufactured ( 2 ). In various embodiments, the gate stack is 208 a multi-layered structure. In some embodiments, the gate stack is 208 a polysilicon gate structure, which is an intermediate layer 210 with silicon oxide or silicon nitride and an electrode layer 212 comprising polysilicon. Thus, in some embodiments, the fabrication of the gate stack includes 208 the following steps: depositing the interlayer 210 above the substrate 202 by chemical oxidation, thermal oxidation, atomic layer deposition (ALD), chemical vapor deposition (CVD) or other suitable methods; Depositing the electrode layer 212 above the interlayer 210 by low pressure chemical vapor deposition (LPCVD) or by other suitable methods; and then structuring the intermediate layer 210 and the electrode layer 212 in a lithographic process to the gate stack 208 manufacture. The gate stack 208 defines a channel area 215 under him in the substrate 202 or in a fin of the substrate 202 , In the illustrated embodiment, the channel area 215 a channel length D ranging from about 5 nm to about 180 nm.

Bei einer speziellen Ausführungsform umfasst das Verfahren 100 einen Gate-Ersetzungsprozess, der später näher beschrieben wird. Die Zwischenschicht 210 kann eine temporäre Zwischenschicht mit Siliziumoxid oder Siliziumoxidnitrid sein, und die Elektrodenschicht 212 kann eine temporäre Elektrodenschicht mit Polysilizium sein.In a specific embodiment, the method comprises 100 a gate replacement process which will be described later. The intermediate layer 210 may be a temporary intermediate layer of silicon oxide or silicon nitride, and the electrode layer 212 may be a temporary electrode layer with polysilicon.

Der Schritt 104 kann weiterhin das Herstellen einer Dichtungsabstandshalterschicht 214, die das Bauelement 200 bedeckt, umfassen. Bei der dargestellten Ausführungsform wird die Dichtungsabstandshalterschicht 214 als eine Schutzschicht über einer Oberseite und Seitenwänden des Gate-Stapels 208 und über einer Oberseite des Substrats 202 abgeschieden. Außerdem weist bei der dargestellten Ausführungsform die Dichtungsabstandshalterschicht 214 Siliziumnitrid (z. B. Si3N4) auf, und sie kann durch plasmaunterstützte chemische Aufdampfung (PECVD), LPCVD, ALD oder mit anderen geeigneten Verfahren abgeschieden werden. Die Dichtungsabstandshalterschicht 214 kann mit einer Dicke von etwa 0,5 nm bis etwa 10 nm, z. B. etwa 3 nm, abgeschieden werden.The step 104 may further include forming a seal spacer layer 214 that the building element 200 covered, cover. In the illustrated embodiment, the seal spacer layer becomes 214 as a protective layer over a top and sidewalls of the gate stack 208 and over an upper surface of the substrate 202 deposited. In addition, in the illustrated embodiment, the seal spacer layer 214 Silicon nitride (eg, Si 3 N 4 ), and may be deposited by plasma enhanced chemical vapor deposition (PECVD), LPCVD, ALD, or other suitable methods. The seal spacer layer 214 may be from about 0.5 nm to about 10 nm thick, e.g. B. about 3 nm, are deposited.

Im Schritt 106 wird bei dem Verfahren 100 (1A) ein anisotroper Ätzprozess an der Dichtungsabstandshalterschicht 214 durchgeführt (3). Der anisotrope Ätzprozess ist so konzipiert, dass er selektiv die Dichtungsabstandshalterschicht 214 ätzt, aber nicht das Substrat 202 ätzt. In dem Schritt 106 werden Teile der Dichtungsabstandshalterschicht 214 von der Oberseite des Substrats 202 entfernt, sodass die Oberseite des Substrats 202 freigelegt wird. Der Teil der Dichtungsabstandshalterschicht 214 auf den Seitenwänden des Gate-Stapels 208 bleibt auf Grund der stark gerichteten Ätzung im Wesentlichen ungeätzt. Außerdem kann die Oberseite des Gate-Stapels 208 mit diesem anisotropen Ätzprozess freigelegt werden oder auch nicht. Bei einer Ausführungsform, bei der die Dichtungsabstandshalterschicht 214 Siliziumnitrid aufweist, kann für den Schritt 106 eine O2/N2-Fernentladung mit einem fluorhaltigen Gas, wie etwa CF4, NF3 oder SF6, und zusätzlich Wasserstoff (H2) oder CH4 verwendet werden. Verschiedene andere Verfahren zum selektiven Ätzen der Dichtungsabstandshalterschicht 214 sind ebenfalls möglich. Der Einfachheit halber kann die strukturierte Dichtungsabstandshalterschicht 214 als Dichtungsabstandshalter 214 bezeichnet werden. Bei einer speziellen Ausführungsform ist der Dichtungsabstandshalter 214 mit der Seitenwand des Gate-Stapels 208 konform, und er hat ein konisches Profil dicht an der Unterseite des Gate-Stapels 208. Der Dichtungsabstandshalter 214 kann als ein Dichtungsabstandshalter angesehen werden, der einen horizontalen Teil 214a, der auf dem konischen Profil beruht, und einen vertikalen Teil 214b aufweist. Der horizontale Teil 214a schließt sich an die Unterseite des vertikalen Teils 214b an und verläuft seitlich in einer Richtung von dem Gate-Stapel 208 weg. Der horizontale Teil 122a kann eine Breite (entlang der x-Richtung) von etwa 0,5 nm bis etwa 5 nm, z. B. etwa 3 nm, haben.In step 106 will in the process 100 ( 1A) an anisotropic etching process on the seal spacer layer 214 carried out ( 3 ). The anisotropic etch process is designed to selectively seal the spacer layer 214 etched, but not the substrate 202 etched. In the step 106 become parts of the seal spacer layer 214 from the top of the substrate 202 removed, leaving the top of the substrate 202 is exposed. The part of the seal spacer layer 214 on the side walls of the gate stack 208 remains substantially unetched due to the highly directional etch. In addition, the top of the gate stack 208 be exposed or not with this anisotropic etch process. In an embodiment wherein the seal spacer layer 214 Silicon nitride may, for the step 106 an O 2 / N 2 furnace discharge with a fluorine-containing gas, such as CF 4 , NF 3 or SF 6 , and additionally hydrogen (H 2 ) or CH 4 may be used. Various other methods of selectively etching the seal spacer layer 214 are also possible. For simplicity, the structured seal spacer layer 214 as a seal spacer 214 be designated. In a particular embodiment, the seal spacer is 214 with the sidewall of the gate stack 208 compliant, and has a conical profile close to the bottom of the gate stack 208 , The seal spacer 214 can be considered as a gasket spacer, which is a horizontal part 214a standing on the conical profile based, and a vertical part 214b having. The horizontal part 214a joins the bottom of the vertical part 214b and extends laterally in one direction from the gate stack 208 path. The horizontal part 122a For example, a width (along the x-direction) of about 0.5 nm to about 5 nm, e.g. B. about 3 nm, have.

Im Schritt 108 werden bei dem Verfahren 100 (1A) leicht dotierte Source-/Drain-Bereiche (LDD-Bereiche) 216 in dem Substrat 202 durch Durchführen eines Ionenimplantationsprozesses 218 hergestellt (4). Für den Ionenimplantationsprozess 218 können n-Dotanden, wie etwa Phosphor (P) oder Arsen (As), für NFETs oder p-Dotanden, wie etwa Bor (B) oder Indium (In), für PFETs verwendet werden. Die LDD-Bereiche 216 sind mit dem Gate-Stapel 208 und dem Dichtungsabstandshalter 214 selbstjustiert. Es kann eine Maskenschicht (nicht dargestellt) zum Abdecken anderer Bereiche des Substrats 202 verwendet werden, wenn die LDD-Bereiche 216 dem Ionenimplantationsprozess 218 unterzogen werden. Bei einigen Ausführungsformen ist die Maskenschicht ein strukturiertes Fotoresist. Bei einigen Ausführungsformen ist die Maskenschicht eine strukturierte Hartmaske aus einem Material wie Siliziumoxid, Siliziumnitrid oder Siliziumoxidnitrid oder aus einer Kombination davon. Die Maskenschicht wird nach der Beendigung der LDD-Implantation in den LDD-Bereichen 216 entfernt. Bei der in 4 dargestellten Ausführungsform wird der Schritt 108 nach dem Schritt 106 ausgeführt. Bei einer alternativen Ausführungsform wird der Schritt 108 vor dem Schritt 106 ausgeführt.In step 108 be in the process 100 ( 1A) lightly doped source / drain regions (LDD regions) 216 in the substrate 202 by performing an ion implantation process 218 manufactured ( 4 ). For the ion implantation process 218 For example, n-dopants such as phosphorus (P) or arsenic (As) may be used for NFETs or p-dopants such as boron (B) or indium (In) for PFETs. The LDD areas 216 are with the gate stack 208 and the seal spacer 214 self-aligned. It may be a masking layer (not shown) for covering other areas of the substrate 202 used when the LDD areas 216 the ion implantation process 218 be subjected. In some embodiments, the mask layer is a patterned photoresist. In some embodiments, the mask layer is a patterned hard mask of a material such as silicon oxide, silicon nitride or silicon oxynitride, or a combination thereof. The mask layer becomes in the LDD areas after completion of LDD implantation 216 away. At the in 4 illustrated embodiment, the step 108 after the step 106 executed. In an alternative embodiment, the step 108 before the step 106 executed.

Im Schritt 110 wird bei dem Verfahren 100 (1A) eine Gate-Abstandshalterschicht 220 so hergestellt, dass sie das Bauelement 200 bedeckt (5). Bei der dargestellten Ausführungsform wird die Gate-Abstandshalterschicht 220 als eine Schutzschicht über Seitenwänden des Dichtungsabstandshalters 214, über einer Oberseite des Gate-Stapels 208 und über der Oberseite des Substrats 202 abgeschieden. In einigen Bauelementen ist bisher Siliziumnitrid als ein Material für Gate-Abstandshalter bei der Halbleiterherstellung verwendet worden. Siliziumnitrid hat jedoch eine relativ hohe Dielektrizitätskonstante, die normalerweise 6,8 bis 8,3, z. B. etwa 7,5, beträgt, was in einigen Fällen zu einer hohen Streukapazität zwischen einem Gate-Stapel und Source-/Drain-Kontakten und/oder anderen FET-Strukturelementen führt. Um die Streukapazität zu verringern, müssen für Gate-Abstandshalter andere Materialien als Siliziumnitrid, die relativ niedrige Dielektrizitätskonstanten haben, verwendet werden. Bei einer Ausführungsform weist die Gate-Abstandshalterschicht 220 Siliziumoxid (z. B. SiO2) auf. Siliziumoxid hat eine niedrigere Dielektrizitätskonstante als Siliziumnitrid, und sie beträgt normalerweise 3,4 bis 4,2, z. B. etwa 3,9. Bei einigen Ausführungsformen umfasst die Abscheidung der Gate-Abstandshalterschicht 220 das Einbringen einer siliziumhaltigen Verbindung und einer sauerstoffhaltigen Verbindung, die zu einem dielektrischen Material reagieren. Die Gate-Abstandshalterschicht 220 kann undotiertes Silicatglas (USG), Fluorsilicatglas (FSG), Phosphorsilicatglas (PSG) oder Borphosphorsilicatglas (BPSG) aufweisen. Bei einer anderen Ausführungsform weist die Gate-Abstandshalterschicht 220 Germaniumoxid (z. B. GeO2) auf. Die Gate-Abstandshalterschicht 220 kann mit einem geeigneten Verfahren wie PECVD, LPCVD und ALD hergestellt werden. Bei der dargestellten Ausführungsform weist die Gate-Abstandshalterschicht 220 Siliziumdioxid auf, und sie wird mit einem konformen Abscheidungsverfahren, wie etwa ALD, abgeschieden. Die Gate-Abstandshalterschicht 220 kann mit einer Dicke T1 abgeschieden werden, die etwa 10 % bis etwa 70 % der Länge D des Kanalbereichs 215 beträgt. Bei einigen Ausführungsformen beträgt die Dicke T1 etwa 3 nm bis etwa 20 nm, z. B. etwa 5 nm.In step 110 will in the process 100 ( 1A) a gate spacer layer 220 Made to be the component 200 covered ( 5 ). In the illustrated embodiment, the gate spacer layer becomes 220 as a protective layer over sidewalls of the gasket spacer 214 , above a top of the gate stack 208 and over the top of the substrate 202 deposited. In some devices, silicon nitride has heretofore been used as a material for gate spacers in semiconductor manufacturing. However, silicon nitride has a relatively high dielectric constant, usually 6.8 to 8.3, e.g. About 7.5, which in some cases results in high stray capacitance between a gate stack and source / drain contacts and / or other FET devices. To reduce stray capacitance, materials other than silicon nitride, which have relatively low dielectric constants, must be used for gate spacers. In one embodiment, the gate spacer layer 220 Silicon oxide (eg SiO 2 ) on. Silicon oxide has a lower dielectric constant than silicon nitride, and is usually 3.4 to 4.2, e.g. B. about 3.9. In some embodiments, the deposition of the gate spacer layer comprises 220 introducing a silicon-containing compound and an oxygen-containing compound that react to form a dielectric material. The gate spacer layer 220 may include undoped silicate glass (USG), fluorosilicate glass (FSG), phosphosilicate glass (PSG), or borophosphosilicate glass (BPSG). In another embodiment, the gate spacer layer 220 Germanium oxide (eg GeO 2 ). The gate spacer layer 220 can be prepared by a suitable method such as PECVD, LPCVD and ALD. In the illustrated embodiment, the gate spacer layer 220 Silica on, and it is deposited by a conformal deposition method, such as ALD. The gate spacer layer 220 can with a thickness T 1 about 10% to about 70% of the length D of the channel region 215 is. In some embodiments, the thickness is T 1 about 3 nm to about 20 nm, e.g. B. about 5 nm.

Im Schritt 112 wird bei dem Verfahren 100 (1A) eine Hartmaskenschicht 224 so hergestellt, dass sie die Gate-Abstandshalterschicht 220 bedeckt (6). Die Hartmaskenschicht 224 kann ein dielektrisches Material aufweisen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, Siliziumcarbonitrid oder Siliziumcarbooxidnitrid, oder andere dielektrische Materialien oder Kombinationen davon. Die Zusammensetzung der Hartmaskenschicht 224 wird so gewählt, dass die Hartmaskenschicht 224 eine gewisse Ätzselektivität in Bezug zu der Gate-Abstandshalterschicht 220 hat. Bei einigen Ausführungsformen weist die Hartmaskenschicht 224 Siliziumnitrid (z. B. Si3N4) auf. Die Hartmaskenschicht 224 kann mit einem geeigneten Verfahren wie PECVD, LPCVD und ALD hergestellt werden. Bei der dargestellten Ausführungsform wird die Hartmaskenschicht 224 durch LPCVD abgeschieden. Die Hartmaskenschicht 224 wird mit einer Dicke T2 abgeschieden, die etwa 10 % bis etwa 70 % der Länge D des Kanalbereichs 215 beträgt. Bei einigen Ausführungsformen beträgt die Dicke T2 etwa 3 nm bis etwa 20 nm, z. B. etwa 4 nm. Bei einigen Ausführungsformen ist die Hartmaskenschicht 224 dünner als die Gate-Abstandshalterschicht 220 (T2 < T1 ), zum Beispiel um bis zu 1 nm dünner.In step 112 will in the process 100 ( 1A) a hardmask layer 224 made to be the gate spacer layer 220 covered ( 6 ). The hard mask layer 224 may include a dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, silicon carbonitride, or silicon carboxide nitride, or other dielectric materials or combinations thereof. The composition of the hard mask layer 224 is chosen so that the hard mask layer 224 a certain etch selectivity with respect to the gate spacer layer 220 Has. In some embodiments, the hardmask layer 224 Silicon nitride (eg, Si 3 N 4 ). The hard mask layer 224 can be prepared by a suitable method such as PECVD, LPCVD and ALD. In the illustrated embodiment, the hard mask layer becomes 224 deposited by LPCVD. The hard mask layer 224 comes with a thickness T2 deposited, which is about 10% to about 70% of the length D of the channel region 215 is. In some embodiments, the thickness is T 2 about 3 nm to about 20 nm, e.g. About 4 nm. In some embodiments, the hardmask layer is 224 thinner than the gate spacer layer 220 ( T 2 < T 1 ), for example up to 1 nm thinner.

Im Schritt 114 werden bei dem Verfahren 100 (1A) die Hartmaskenschicht 224 und die Gate-Abstandshalterschicht 220 einem Ätzprozess unterzogen (7). Der Ätzprozess umfasst bei einer Ausführungsform eine anisotrope Ätzung. Ein Teil der Hartmaskenschicht 224 auf den Seitenwänden der Gate-Abstandshalterschicht 220 bleibt auf Grund der stark gerichteten Ätzung im Wesentlichen ungeätzt, wie in 7 gezeigt ist. Bei einer Ausführungsform, bei der die Hartmaskenschicht 224 Siliziumnitrid aufweist, kann für den Schritt 114 eine O2/N2-Fernentladung mit einem fluorhaltigen Gas, wie etwa CF4, NF3 oder SF6, und zusätzlich Wasserstoff (H2 ) oder CH4 verwendet werden. Bei der anisotropen Ätzung kann außerdem die Gate-Abstandshalterschicht 220 geätzt werden, die nach der Entfernung von Teilen der Hartmaskenschicht 224 freiliegt. Alternativ kann der Ätzprozess mehrere Ätzschritte mit unterschiedlichen Ätzchemikalien umfassen, wie etwa eine anisotrope Ätzung, die ein spezielles Material der Hartmaskenschicht 224 targetiert, und anschließend eine Nassätzung oder Trockenätzung, die die Gate-Abstandshalterschicht 220 targetiert, unter Verwendung der nicht-geätzten Hartmaskenschicht 224 als eine Ätzmaske. Die Oberseite des Gate-Stapels 208 kann mit diesem Ätzprozess freigelegt werden oder auch nicht.In step 114 be in the process 100 ( 1A) the hardmask layer 224 and the gate spacer layer 220 subjected to an etching process ( 7 ). The etching process in one embodiment includes an anisotropic etch. Part of the hard mask layer 224 on the sidewalls of the gate spacer layer 220 remains substantially unetched due to the highly directional etch, as in 7 is shown. In an embodiment wherein the hardmask layer 224 Silicon nitride may, for the step 114 an O 2 / N 2 - Remote discharge with a fluorine-containing gas, such as CF 4 , NF 3 or SF 6 , and additionally hydrogen ( H 2 ) or CH 4 can be used. In the anisotropic etching, moreover, the gate spacer layer 220 etched after removal of parts of the hardmask layer 224 exposed. Alternatively, the etching process may include multiple etching steps with different etching chemicals, such as an anisotropic etch, which is a particular hard mask layer material 224 and subsequently wet etching or dry etching the gate spacer layer 220 targeted, using the non-etched hardmask layer 224 as an etching mask. The top of the gate stack 208 can be exposed or not with this etching process.

Bleiben wir bei 7. Die strukturierte Gate-Abstandshalterschicht 220 kann der Einfachheit halber als Gate-Abstandshalter 220 bezeichnet werden, während die strukturierte Hartmaskenschicht 224 als Hartmaske 224 bezeichnet werden kann. Der Gate-Abstandshalter 220 umfasst einen horizontalen Teil 220a, der sich direkt unter der Hartmaske 224 befindet, und einen vertikalen Teil 220b, der Seitenwände des Dichtungsabstandshalters 214 bedeckt. Der vertikale Teil 220b weist eine Seitenwand 225 auf. Die Seitenwand 225 ist von der Hartmaske 224 bedeckt. Bei einigen Ausführungsformen ist die Seitenwand 225 im Wesentlichen senkrecht (d. h. entlang der z-Achse) zu der Oberseite des Substrats 202. Der horizontale Teil 220a umfasst eine Oberseite 226 und eine Seitenwand 228. Die Seitenwand 228 kann im Wesentlichen senkrecht (d. h. entlang der z-Achse) zu der Oberseite des Substrats 202 sein. Die Seitenwand 225, die Oberseite 226 und die Seitenwand 228 bilden ein Stufenprofil. Die Hartmaske 224 ist direkt über der Oberseite 226 angeordnet. Bei einer Ausführungsform bedeckt die Hartmaske 224 vollständig die Oberseite 226. Bei einer anderen Ausführungsform ist auf Grund eines höheren Seitenwand-Ätzverlusts der Hartmaske 224 während des Schritts 114 die Hartmaske 224 dünner als die Breite W1 des horizontalen Teils 220a (T2 < W1 ). Daher wird ein Teil der Oberseite 226, der zu der Seitenwand 228 benachbart ist, freigelegt, und er kann eine Breite entlang der x-Achse von etwa 0,5 nm bis etwa 2 nm haben. Die Oberseite 226 schneidet die Seitenwand 225, sodass ein Winkel Θ zwischen der Oberseite 226 und der Seitenwand 225 entsteht. Bei einigen Ausführungsformen beträgt der Winkel Θ etwa 85° bis etwa 95°, und die Oberseite 226 kann als im Wesentlichen senkrecht zu der Seitenwand 225 angesehen werden. Bei verschiedenen Ausführungsformen beträgt eine Höhe H1 des horizontalen Teils 220a etwa 10 % bis etwa 70 % der Länge D des Kanalbereichs 215. Bei einer speziellen Ausführungsform ist die Höhe H1 gleich der Dicke T1 des vertikalen Teils 220b (H1 = T1 ). Bei einer Ausführungsform ist die Höhe H1 von der Dicke T1 des vertikalen Teils 220b verschieden (H1 T1 ), und H1 ist zum Beispiel um 1 nm kleiner oder größer als die Dicke T1 . Ein oberster Punkt des horizontalen Teils 220a kann höher als ein oberster Punkt des horizontalen Teils 214a des Dichtungsabstandshalters 214 sein.We stay with you 7 , The structured gate spacer layer 220 can be used as a gate spacer for simplicity 220 while the patterned hardmask layer 224 as a hard mask 224 can be designated. The gate spacer 220 includes a horizontal part 220a that is directly under the hard mask 224 located, and a vertical part 220b , the side walls of the seal spacer 214 covered. The vertical part 220b has a side wall 225 on. The side wall 225 is from the hard mask 224 covered. In some embodiments, the sidewall is 225 substantially perpendicular (ie, along the z-axis) to the top of the substrate 202 , The horizontal part 220a includes a top 226 and a side wall 228 , The side wall 228 may be substantially perpendicular (ie, along the z-axis) to the top of the substrate 202 his. The side wall 225 , the top 226 and the side wall 228 form a step profile. The hard mask 224 is right above the top 226 arranged. In one embodiment, the hardmask covers 224 completely the top 226 , In another embodiment, the hardmask is due to a higher sidewall etch loss 224 during the step 114 the hard mask 224 thinner than the width W 1 of the horizontal part 220a ( T 2 < W 1 ). Therefore, part of the top 226 that's to the sidewall 228 is adjacent, and may have a width along the x-axis of about 0.5 nm to about 2 nm. The top 226 cuts the sidewall 225 so an angle Θ between the top 226 and the side wall 225 arises. In some embodiments, the angle is Θ about 85 ° to about 95 °, and the top 226 can be considered substantially perpendicular to the sidewall 225 be considered. In various embodiments, a height is H 1 of the horizontal part 220a about 10% to about 70% of the length D of the channel area 215 , In a particular embodiment, the height is H 1 equal to the thickness T 1 of the vertical part 220b ( H 1 = T 1 ). In one embodiment, the height is H 1 of the thickness T 1 of the vertical part 220b different ( H 1 T 1 ), and H 1 is for example 1 nm smaller or larger than the thickness T 1 , A top point of the horizontal part 220a can be higher than a top point of the horizontal part 214a of the seal spacer 214 his.

Im Schritt 118 werden bei dem Verfahren 100 (1B) stark dotierte Source-/Drain-Bereiche (HDD-Bereiche) 230 in dem Substrat 202 hergestellt (8). Die HDD-Bereiche 230 können n-dotierte Bereiche und/oder p-dotierte Bereiche zum Herstellen von aktiven Bauelementen sein. Die HDD-Bereiche 230 und die LDD-Bereiche 216 werden gemeinsam als Source-/Drain-Bereiche (S/D-Bereiche) angesehen. Die HDD-Bereiche 230 sind stärker dotiert als die LDD-Bereiche 216. Die HDD-Bereiche 230 können durch Durchführen eine Ionenimplantationsprozesses 232 hergestellt werden. Für den Ionenimplantationsprozess 232 können n-Dotanden, wie etwa Phosphor (P) oder Arsen (As), für NFETs oder p-Dotanden, wie etwa Bor (B) oder Indium (In), für PFETs verwendet werden. Die HDD-Bereiche 230 sind mit dem Gate-Stapel 208 und dem Gate-Abstandshalter 220 selbstjustiert. Es kann eine Maskenschicht (nicht dargestellt) zum Abdecken anderer Bereiche des Substrats 202 verwendet werden, wenn die HDD-Bereiche 230 dem Ionenimplantationsprozess 232 unterzogen werden. Bei einigen Ausführungsformen ist die Maskenschicht ein strukturiertes Fotoresist. Bei einigen Ausführungsformen ist die Maskenschicht eine strukturierte Hartmaske aus einem Material wie Siliziumoxid, Siliziumnitrid oder Siliziumoxidnitrid oder aus einer Kombination davon. Die Maskenschicht wird nach der Beendigung der HDD-Implantation in den HDD-Bereichen 230 entfernt.In step 118 be in the process 100 ( 1B) heavily doped source / drain regions (HDD regions) 230 in the substrate 202 manufactured ( 8th ). The HDD areas 230 may be n-doped regions and / or p-doped regions for producing active devices. The HDD areas 230 and the LDD areas 216 are collectively considered source / drain regions (S / D regions). The HDD areas 230 are more heavily doped than the LDD regions 216 , The HDD areas 230 can by performing an ion implantation process 232 getting produced. For the ion implantation process 232 For example, n-dopants such as phosphorus (P) or arsenic (As) may be used for NFETs or p-dopants such as boron (B) or indium (In) for PFETs. The HDD areas 230 are with the gate stack 208 and the gate spacer 220 self-aligned. It may be a masking layer (not shown) for covering other areas of the substrate 202 used when the HDD areas 230 the ion implantation process 232 be subjected. In some embodiments, the mask layer is a patterned photoresist. In some embodiments, the mask layer is a patterned hard mask of a material such as silicon oxide, silicon nitride or silicon oxynitride, or a combination thereof. The mask layer becomes in the HDD areas after completion of HDD implantation 230 away.

Die Herstellung der HDD-Bereiche 230 kann außerdem zunächst ein Ätzen von S/D-Aussparungen in dem Substrat 202 und ein anschließendes epitaxiales Aufwachsen von HDD-Bereichen 230 in den jeweiligen Aussparungen umfassen. Bei einigen Ausführungsformen, bei denen der Gate-Stapel 208 und die Gate-Abstandshalterschicht 220 dicker als gewünscht sind, können die HDD-Bereiche 230 so hergestellt werden, dass sie ein im Wesentlichen rautenförmiges Profil haben, wie etwa die HDD-Bereiche 230 in 9. In 9 verlaufen einige Seitenwände der HDD-Bereiche 230 zu dem Gate-Stapel 208 unter dem Gate-Abstandshalter 220, wie etwa unter dem vertikalen Teil 220b. In einem Beispiel verlaufen die HDD-Bereiche 230 weiterhin unter dem horizontalen Teil 214a des Dichtungsabstandshalters 214, aber nicht unter seinem vertikalen Teil 214b. In einem anderen Beispiel verlaufen die HDD-Bereiche 230 weiterhin unter dem Gate-Stapel 208. In einem Beispiel werden die S/D-Aussparungen mit einem Ätzprozess erzeugt, der eine Trockenätzung und eine Nassätzung umfasst, wobei deren Ätzparameter (wie etwa verwendete Ätzmittel, Ätztemperatur, Konzentration der Ätzlösung, Ätzdruck, Leistung der Spannungsquelle, Hochfrequenz(HF)-Vorspannung, HF-Vorspannungsleistung, Durchsatz des Ätzmittels und andere geeignete Parameter) so eingestellt werden, dass das gewünschte Aussparungsprofil erzielt wird. Die HDD-Bereiche 230 können einen Salizidteil 231 auf der Oberseite aufweisen. Teile des Salizidteils 231 können von dem horizontalen Teil 220a und/oder dem vertikalen Teil 220b des Gate-Abstandshalters 220 bedeckt sein. Auf Grund der größeren Höhe des Salizidteils 231 kann eine Unterseite des horizontalen Teils 220a höher als eine Unterseite des vertikalen Teils 220b sein. Der einfachen Erörterung halber wird das Bauelement 200 mit den HDD-Bereichen in der in 8 gezeigten Form als ein Beispiel für nachfolgende Schritte verwendet. Ein Durchschnittsfachmann dürfte erkennen, dass das Bauelement 200 mit den HDD-Bereichen in der in 9 gezeigten Form ebenfalls für die nachfolgenden Schritte verwendet werden kann.The production of HDD areas 230 In addition, etching of S / D recesses in the substrate may be first 202 and subsequent epitaxial growth of HDD regions 230 in the respective recesses. In some embodiments, where the gate stack 208 and the gate spacer layer 220 Thicker than desired, the HDD areas can 230 be made to have a substantially diamond-shaped profile, such as the HDD areas 230 in 9 , In 9 run some sidewalls of the HDD areas 230 to the gate stack 208 under the gate spacer 220 , such as under the vertical part 220b , In one example, the HDD areas are running 230 continue under the horizontal part 214a of the seal spacer 214 but not under its vertical part 214b , In another example, the HDD areas run 230 still under the gate stack 208 , In one example, the S / D cavities are formed with an etch process that includes dry etching and wet etching, with their etch parameters (such as etchants used, etch temperature, etch solution concentration, etch pressure, Power Source Voltage, Radio Frequency (RF) Bias Voltage, RF Bias Power, Etch Throughput, and Other Suitable Parameters) can be adjusted to achieve the desired cutoff profile. The HDD areas 230 can a salicylic part 231 on the top. Parts of the salicide part 231 can from the horizontal part 220a and / or the vertical part 220b of the gate spacer 220 be covered. Due to the greater amount of salicylic acid 231 can be a bottom of the horizontal part 220a higher than a bottom of the vertical part 220b his. For ease of discussion, the device becomes 200 with the HDD areas in the in 8th shown as an example of subsequent steps used. One of ordinary skill in the art would recognize that the device 200 with the HDD areas in the in 9 shown form can also be used for the subsequent steps.

Kommen wir zu 8 zurück. Bei einer Ausführungsform umfassen die HDD-Bereiche 230 weiterhin Silizidierungs- oder Germanosilizidierungsbereiche (nicht dargestellt). Die Silizidierung kann zum Beispiel mit einem Prozess erreicht werden, der die folgenden Schritte umfasst: Abscheiden einer Metallschicht; Glühen der Metallschicht, sodass die Metallschicht mit Silizium zu Silizid reagieren kann; und anschließend Entfernen der nicht zur Reaktion gebrachten Metallschicht. Der Schritt 118 kann weiterhin einen oder mehrere Glühprozesse zum Aktivieren der S/D-Bereiche umfassen. Nach der Aktivierung können die LDD-Bereiche 216 zu dem Gate-Stapel 208 unter dem Dichtungsabstandshalter 214 verlaufen, und die HDD-Bereiche 230 können teilweise unter dem horizontalen Teil 220a des Gate-Abstandshalters 220 verlaufen. Mit anderen Worten, der Dichtungsabstandshalter 214 und der vertikale Teil 214b des Gate-Abstandshalters 220 können in physischem Kontakt mit den LDD-Bereichen 216 sein, und der horizontale Teil 220a des Gate-Abstandshalters 220 kann in physischem Kontakt mit den LDD-Bereichen 216 und den HDD-Bereichen 230 sein. Die niedrige Dielektrizitätskonstante der Materialzusammensetzung des Gate-Abstandshalters 220 trägt außerdem zum Verringern der Grenzflächenspannung zwischen dem Gate-Stapel und den Source-/Drain-Bereichen bei und verbessert somit die Trägerbeweglichkeit des Kanals. Bei einer Ausführungsform weist das Bauelement 200 finnenähnliche aktive Bereiche zum Herstellen von Multi-Gate-FETs, wie etwa FinFETs, auf. Weiterhin können bei dieser Ausführungsform die S/D-Bereiche und der Kanalbereich 215 in oder auf den Finnen hergestellt werden. Der Kanalbereich 215 befindet sich unter dem Gate-Stapel 208 und ist zwischen ein Paar LDD-Bereiche 216 geschichtet. Der Kanalbereich 215 leitet Ströme zwischen den jeweiligen S/D-Bereichen, wenn das Halbleiter-Bauelement 200 eingeschaltet wird, wie etwa durch Vorspannen der Gate-Elektrodenschicht 212.We come to 8th back. In one embodiment, the HDD areas include 230 further silicidation or germanosilicidation regions (not shown). The silicidation may be achieved, for example, by a process comprising the steps of: depositing a metal layer; Annealing the metal layer so that the metal layer can react with silicon to silicide; and then removing the unreacted metal layer. The step 118 may further comprise one or more annealing processes for activating the S / D regions. After activation, the LDD areas 216 to the gate stack 208 under the seal spacer 214 run, and the HDD areas 230 can partially under the horizontal part 220a of the gate spacer 220 run. In other words, the seal spacer 214 and the vertical part 214b of the gate spacer 220 can be in physical contact with the LDD areas 216 be, and the horizontal part 220a of the gate spacer 220 can be in physical contact with the LDD areas 216 and the HDD areas 230 his. The low dielectric constant of the material composition of the gate spacer 220 also contributes to reducing the interfacial tension between the gate stack and the source / drain regions and thus improves the carrier mobility of the channel. In one embodiment, the device 200 fin-like active regions for fabricating multi-gate FETs, such as FinFETs. Furthermore, in this embodiment, the S / D regions and the channel region 215 be made in or on the Finns. The channel area 215 is under the gate stack 208 and is between a pair of LDD areas 216 layered. The channel area 215 conducts currents between the respective S / D regions when the semiconductor device 200 is turned on, such as by biasing the gate electrode layer 212 ,

Im Schritt 120 wird bei dem Verfahren 100 (1B) eine Kontakt-Ätzstoppschicht (CES-Schicht) 246 so hergestellt, dass sie das Bauelement 200 bedeckt ( 10). Bei der dargestellten Ausführungsform wird die CES-Schicht 246 als eine Schutzschicht über den Seitenwänden und der Oberseite des Gate-Abstandshalters 220, der Hartmaske 224, dem Dichtungsabstandshalter 214, dem Gate-Stapel 208 und über der Oberseite der HDD-Bereiche 230 abgeschieden. Die CES-Schicht 246 kann ein dielektrisches Material aufweisen, wie etwa Siliziumnitrid, Siliziumoxid, Siliziumoxidnitrid, Siliziumcarbonitrid oder Siliziumcarbooxidnitrid, oder andere dielektrische Materialien oder Kombinationen davon. Die CES-Schicht 246 kann durch plasmaunterstützte chemische Aufdampfung (PECVD) und/oder mit anderen geeigneten Abscheidungs- oder Oxidationsprozessen hergestellt werden. Bei der dargestellten Ausführungsform weisen die Hartmaske 224 und die CES-Schicht 246 beide Siliziumnitrid (z. B. Si3N4) auf, wobei die Hartmaske 224 durch LPCVD hergestellt wird und die CES-Schicht 246 durch PECVD hergestellt wird, sodass das Siliziumnitrid-Material in der Hartmaske 224 eine andere Kristallstruktur (z. B. eine andere Gitterkonstante) als in der CES-Schicht 246 hat. Bei einer speziellen Ausführungsform hat die CES-Schicht 246 ein Stufenprofil 248 entlang ihrer vertikalen Seitenwand auf Grund des Seitenwandprofils des horizontalen Teils 220a und der Hartmaske 224 unter der CES-Schicht 246.In step 120 will in the process 100 ( 1B) a contact etch stop layer (CES layer) 246 Made to be the component 200 covered ( 10 ). In the illustrated embodiment, the CES layer becomes 246 as a protective layer over the sidewalls and the top of the gate spacer 220 , the hard mask 224 , the seal spacer 214 , the gate stack 208 and over the top of the HDD areas 230 deposited. The CES layer 246 may include a dielectric material, such as silicon nitride, silicon oxide, silicon oxynitride, silicon carbonitride, or silicon carboxide nitride, or other dielectric materials or combinations thereof. The CES layer 246 can be prepared by plasma enhanced chemical vapor deposition (PECVD) and / or other suitable deposition or oxidation processes. In the illustrated embodiment, the hard mask 224 and the CES layer 246 both silicon nitride (eg, Si 3 N 4 ) on, with the hard mask 224 produced by LPCVD and the CES layer 246 produced by PECVD, so that the silicon nitride material in the hard mask 224 another crystal structure (eg, a different lattice constant) than in the CES layer 246 Has. In a specific embodiment, the CES layer has 246 a step profile 248 along its vertical side wall due to the sidewall profile of the horizontal part 220a and the hard mask 224 under the CES layer 246 ,

Im Schritt 122 wird bei dem Verfahren 100 (1B) eine Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 252 über der CES-Schicht 246 hergestellt (11). Die ILD-Schicht 252 kann Materialien wie Siliziumoxid, dotiertes Siliziumoxid, wie etwa Borphosphorsilicatglas (BPSG), Tetraethylorthosilicat(TEOS)-Oxid, undotiertes Silicatglas, Kieselglas (FSG), Phosphorsilicatglas (PSG), Borsilicatglas (BSG), ein dielektrisches Low-k-Material und/oder andere geeignete dielektrische Materialien aufweisen. Die ILD-Schicht 252 kann durch PECVD, fließfähige CVD (FCVD) oder mit einem anderen geeigneten Abscheidungsverfahren abgeschieden werden. Die Zusammensetzungen der CES-Schicht 246 und der ILD-Schicht 252 werden so gewählt, dass sie eine gewisse Ätzselektivität in Bezug zu der ILD-Schicht 252 haben.In step 122 will in the process 100 ( 1B) an interlayer dielectric (ILD) layer 252 over the CES layer 246 manufactured ( 11 ). The ILD layer 252 For example, materials such as silica, doped silica, such as borophosphosilicate glass (BPSG), tetraethyl orthosilicate (TEOS) oxide, undoped silicate glass, fused silica (FSG), phosphosilicate glass (PSG), borosilicate glass (BSG), a low-k dielectric material, and / or have other suitable dielectric materials. The ILD layer 252 can be deposited by PECVD, flowable CVD (FCVD) or other suitable deposition method. The compositions of the CES layer 246 and the ILD layer 252 are chosen to have some etch selectivity with respect to the ILD layer 252 to have.

Im Schritt 214 werden bei dem Verfahren 100 (1B) ein oder mehrere CMP-Prozesse (CMP: chemisch-mechanische Planarisierung) durchgeführt, um die ILD-Schicht 252 zu polieren und den Gate-Stapel 208 freizulegen (12). Bei einigen Ausführungsformen hat die ILD-Schicht 252 einen höheren Oberflächenverlust während der Planarisierung als der Gate-Stapel 208, was zum Beispiel auf die relativ geringere Materialdichte zurückzuführen ist, und die Oberseite der ILD-Schicht 252 hat ein konkaves Profil, wie durch die Strichlinie 253 dargestellt ist. Ein unterster Teil der Oberseite der ILD-Schicht 252 kann um etwa 0,1 nm bis etwa 25 nm niedriger als die Oberseite des Gate-Stapels 208 sein.In step 214 be in the process 100 ( 1B) One or more CMP processes (CMP: chemical mechanical planarization) performed to the ILD layer 252 to polish and the gate stack 208 to expose ( 12 ). In some embodiments, the ILD layer has 252 a higher surface loss during planarization than the gate stack 208 due, for example, to the relatively lower material density and the top of the ILD layer 252 has a concave profile, like the dashed line 253 is shown. A lowermost part of the top of the ILD layer 252 may be about 0.1 nm to about 25 nm lower than the top of the gate stack 208 his.

Im Schritt 126 geht das Verfahren 100 (1B) zu weiteren Prozessen weiter, um die Herstellung des Bauelements 200 abzuschließen. Zum Beispiel kann bei dem Verfahren 100 ein Metall-Gate-Stapel in einem Gate-Ersetzungsprozess hergestellt werden.In step 126 goes the procedure 100 ( 1B) to further processes continue to manufacture the device 200 complete. For example, in the method 100 a metal gate stack can be made in a gate replacement process.

Bei dem Gate-Ersetzungsprozess ist der Gate-Stapel 208 eine temporäre Gate-Struktur. Die temporäre Gate-Struktur kann durch Abscheidungs- und Ätzprozesse hergestellt werden. Anschließend wird in dem Schritt 126 die temporäre Gate-Struktur entfernt, sodass ein Gate-Graben (nicht dargestellt) zwischen den Dichtungsabstandshaltern 214 entsteht, und in dem Gate-Graben wird ein High-k-Metall-Gate-Stapel 290 abgeschieden (13). Der High-k-Metall-Gate-Stapel 290 kann eine dielektrische High-k-Schicht 292 und eine leitfähige Schicht 294 darauf aufweisen. Der High-k-Metall-Gate-Stapel 290 kann außerdem eine Zwischenschicht (z. B. SiO2) (nicht dargestellt) zwischen der dielektrischen High-k-Schicht 292 und dem Kanalbereich 215 aufweisen. Die Zwischenschicht kann durch chemische Oxidation, thermische Oxidation, ALD, CVD und/oder mit anderen geeigneten Verfahren hergestellt werden.In the gate replacement process, the gate stack is 208 a temporary gate structure. The temporary gate structure can be produced by deposition and etching processes. Subsequently, in the step 126 the temporary gate structure is removed, leaving a gate trench (not shown) between the seal spacers 214 and a high-k metal gate stack 290 is deposited in the gate trench ( 13 ). The high-k metal gate stack 290 may include a high-k dielectric layer 292 and a conductive layer 294 have on it. The high-k metal gate stack 290 may also include an intermediate layer (eg, SiO 2 ) (not shown) between the high-k dielectric layer 292 and the channel region 215 respectively. The intermediate layer can be made by chemical oxidation, thermal oxidation, ALD, CVD and / or other suitable methods.

Die dielektrische High-k-Schicht 292 kann ein oder mehrere dielektrische High-k-Materialien (oder eine oder mehrere Schichten aus dielektrischen High-k-Materialien) umfassen, wie etwa Hafniumsiliziumoxid (HfSiO), Hafniumoxid (HfO2), Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO2), Lanthanoxid (La2O3), Titanoxid (TiO2), Yttriumoxid (Y2O3) oder Strontiumtitanat (SrTiO3), oder eine Kombination davon. Die dielektrische High-k-Schicht 292 kann durch CVD, ALD und/oder mit anderen geeigneten Verfahren abgeschieden werden.The high-k dielectric layer 292 may comprise one or more high-k dielectric materials (or one or more high-k dielectric material layers) such as hafnium silicon oxide (HfSiO), hafnium oxide (HfO 2 ), alumina (Al 2 O 3 ), zirconium oxide (ZrO 2 ), lanthanum oxide (La 2 O 3 ), titanium oxide (TiO 2 ), yttrium oxide (Y 2 O 3 ) or strontium titanate (SrTiO 3 ), or a combination thereof. The high-k dielectric layer 292 may be deposited by CVD, ALD, and / or other suitable methods.

Die leitfähige Schicht 294 kann eine oder mehrere Metallschichten umfassen, wie etwa Austrittsarbeitsmetallschichten, leitfähige Sperrschichten und Metallfüllschichten. Die Austrittsarbeitsmetallschicht kann in Abhängigkeit von der Art des Transistors (p- oder n-Transistor) eine p- oder eine n-Austrittsarbeitsmetallschicht sein. Die p-Austrittsarbeitsmetallschicht weist ein Metall unter anderem aus der Gruppe Titannidrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Wolfram (W) und Platin (Pt), oder Kombinationen davon auf. Die n-Austrittsarbeitsmetallschicht weist ein Metall unter anderem aus der Gruppe Titan (Ti), Aluminium (Al), Tantalcarbid (TaC), Tantalcarbidnitrid (TaCN), Tantalsiliziumnitrid (TaSiN) oder Titansiliziumnitrid (TiSiN), oder Kombinationen davon auf. Die Metallfüllschicht kann Aluminium (Al), Wolfram (W), Cobalt (Co) und/oder andere geeignete Materialien aufweisen. Die leitfähige Schicht 294 kann durch CVD, PVD, Plattierung und/oder mit anderen geeigneten Verfahren abgeschieden werden.The conductive layer 294 may include one or more metal layers, such as work function metal layers, conductive barrier layers, and metal fill layers. The work-function metal layer may be a p-type or an n-type work-function metal layer, depending on the type of transistor (p- or n-type transistor). The p-type workfunction metal layer comprises a metal of, among others, titanium nitride (TiN), tantalum nitride (TaN), ruthenium (Ru), molybdenum (Mo), tungsten (W), and platinum (Pt), or combinations thereof. The n-type workfunction metal layer comprises a metal of, inter alia, titanium (Ti), aluminum (Al), tantalum carbide (TaC), tantalum carbide nitride (TaCN), tantalum silicon nitride (TaSiN), or titanium silicon nitride (TiSiN), or combinations thereof. The metal fill layer may comprise aluminum (Al), tungsten (W), cobalt (Co), and / or other suitable materials. The conductive layer 294 can be deposited by CVD, PVD, plating and / or other suitable methods.

Der Schritt 126 kann weitere Prozesse umfassen, um die Herstellung des Bauelements 200 fertigzustellen. Zum Beispiel können in dem Schritt 126 S/D-Kontakte (nicht dargestellt) und eine mehrschichtige Verbindungsstruktur hergestellt werden, die die Gate-Stapel und die S/D-Kontakte mit anderen Teilen des Bauelements 200 zu einem vollständigen IC verbindet.The step 126 may include other processes to the manufacture of the device 200 finish. For example, in the step 126 S / D contacts (not shown) and a multilayer interconnect structure are fabricated which interconnect the gate stacks and the S / D contacts with other parts of the device 200 connects to a complete IC.

Das Verfahren 100 kann verschiedene Ausführungsformen haben. Zum Beispiel kann das Verfahren 100 einen optionalen Schritt 116 (1C) zwischen den Schritten 114 und 118 zum Entfernen der Hartmaske 224 von Seitenwänden des Gate-Abstandshalters 220 haben, wie in 14 gezeigt ist. Bei der dargestellten Ausführungsform weist die Hartmaske 224 Siliziumnitrid auf, das eine höhere Dielektrizitätskonstante als die Materialzusammensetzungen des Gate-Abstandshalters 220 hat. Durch Entfernen der Hartmaske 224 wird die Gesamt-Dielektrizitätskonstante des Isoliermaterials zwischen dem Gate-Stapel 208 und den S/D-Kontakten (nicht dargestellt) weiter verringert, was zu noch geringeren Streukapazitäten unter den FET-Strukturelementen führt.The procedure 100 can have different embodiments. For example, the procedure 100 an optional step 116 ( 1C) between the steps 114 and 118 to remove the hard mask 224 sidewalls of the gate spacer 220 have, as in 14 is shown. In the illustrated embodiment, the hard mask 224 Silicon nitride, which has a higher dielectric constant than the material compositions of the gate spacer 220 Has. By removing the hard mask 224 becomes the total dielectric constant of the insulating material between the gate stack 208 and the S / D contacts (not shown), which results in even lower stray capacitances among the FET features.

Das Entfernen der Hartmaske 224 kann mit einem geeigneten Ätzverfahren wie Nassätzung, Trockenätzung, reaktive Ionenätzung (RIE), Ablösung und/oder mit anderen Ätzverfahren erfolgen. Bei einigen Ausführungsformen wird das Ätzmittel so gewählt, dass die Hartmaske 224 und der Gate-Abstandshalter 220 eine hohe Ätzselektivität haben. Die Ätzselektivitäten zwischen der Hartmaske 224 und dem Gate-Abstandshalter 220 haben zum Beispiel ein Verhältnis von etwa 5 : 1 oder größer, wie z. B. 5 : 1 bis 20 : 1. Bei dem Ätzprozess kann auch das Profil des horizontalen Teils 220a des Gate-Abstandshalters 220 verkleinert werden. Bei einer Ausführungsform wird die Oberseite 226 auf einen Anteil von etwa 3 % bis etwa 30 % der Länge D des Kanalbereichs 215 gekürzt, zum Beispiel um etwa 1 nm bis etwa 8 nm, z. B. 2 nm, und die Seitenwand 228 wird konisch mit einem Winkel β in Bezug zu der Seitenwand 225, der kleiner als 45° ist, z. B. etwa 20° beträgt. Das Verfahren 100 kann anschließend mit den Schritten 118, 120, 122, 124 und 126 weitergehen, um andere Strukturelemente des Bauelements 200 herzustellen. Diese Schritte umfassen das Herstellen der HDD-Bereiche 230 unter Verwendung des gekürzten Gate-Abstandshalters 220 als eine Maske; das Abscheiden der CES-Schicht 246 direkt über Seitenwänden des gekürzten Gate-Abstandshalters 220; und das Herstellen der ILD-Schicht 252 auf dem Bauelement 200, wie in 15 gezeigt ist. Bei einer anderen Ausführungsform wird bei dem Schritt 116 (1C) die Oberseite 226 des horizontalen Teils 220a begradigt, sodass die Seitenwand 228 die Seitenwand 225 mit einem Winkel β direkt schneidet, der kleiner als 45° ist, z. B. etwa 20° beträgt, wie in 16 gezeigt ist. Das Verfahren 100 kann anschließend mit den Schritten 118, 120, 122, 124 und 126 weitergehen, die der Einfachheit halber nicht wiederholt werden, um mit der Herstellung anderer Strukturelemente des Bauelements 200 fortzufahren, wie in 17 gezeigt ist.Removing the hard mask 224 can be done with a suitable etching method such as wet etching, dry etching, reactive ion etching (RIE), detachment and / or with other etching methods. In some embodiments, the etchant is selected such that the hard mask 224 and the gate spacer 220 have a high Ätzselektivität. The etch selectivities between the hardmask 224 and the gate spacer 220 For example, have a ratio of about 5: 1 or greater, such. B. 5: 1 to 20: 1. In the etching process can also be the profile of the horizontal part 220a of the gate spacer 220 be downsized. In one embodiment, the top is 226 in a proportion of about 3% to about 30% of the length D of the channel area 215 shortened, for example, by about 1 nm to about 8 nm, z. B. 2 nm, and the sidewall 228 becomes conical with an angle β with respect to the sidewall 225 which is less than 45 °, z. B. is about 20 °. The procedure 100 can then follow the steps 118 . 120 . 122 . 124 and 126 move on to other structural elements of the device 200 manufacture. These steps include making the HDD areas 230 using the truncated gate spacer 220 as a mask; the deposition of the CES layer 246 directly over sidewalls of the truncated gate spacer 220 ; and establishing the ILD layer 252 on the device 200 , as in 15 is shown. In another embodiment, at step 116 ( 1C) the top 226 of the horizontal part 220a straightened so the side wall 228 the side wall 225 with an angle β directly cuts, which is smaller than 45 °, z. B. is about 20 °, as in 16 is shown. The procedure 100 can then follow the steps 118 . 120 . 122 . 124 and 126 go on, which are not repeated for the sake of simplicity, in order to produce other structural elements of the device 200 continue as in 17 is shown.

Eine oder mehrere Ausführungsformen der vorliegenden Erfindung, die jedoch nicht beschränkend sein sollen, bieten zahlreiche Vorteile für ein Halbleiter-Bauelement, wie etwa einen Finnen-Feldeffekttransistor (FinFET), und dessen Herstellung. Zum Beispiel können die Finnen so strukturiert werden, dass ein relativ geringer Abstand zwischen Strukturelementen entsteht, für die die vorstehende Erfindung gut geeignet ist. Gate-Abstandshalter, die bei der Herstellung von Finnen von FinFETs verwendet werden, können gemäß der vorstehenden Erfindung bearbeitet werden. Zum Beispiel stellen Ausführungsformen der vorliegenden Erfindung ein Verfahren zum Herstellen von Low-k-Gate-Abstandshaltern bereit, die den Gate-Stapel umschließen. Die Dielektrizitätskonstante der Isoliermaterialien zwischen dem Gate-Stapel und den S/D-Kontakten wird verringert, wodurch Interferenz, Rauschen und parasitäre Kopplungskapazität zwischen Verbindungen reduziert werden. Außerdem tragen die Low-k-Gate-Abstandshalter zum Verringern der Grenzflächenspannung zwischen Gate-Stapeln und S/D-Kontakten bei, und sie verbessern dadurch die Trägerbeweglichkeit des Kanals. Darüber hinaus können die beschriebenen Verfahren problemlos in bestehende Halbleiter-Herstellungsprozesse integriert werden.However, one or more embodiments of the present invention, which are not intended to be limiting, provide numerous advantages to a semiconductor device, such as a fin field effect transistor (FinFET), and to the fabrication thereof. For example, the fins may be structured to provide a relatively small spacing between structural elements for which the present invention is well suited. Gate spacers used in making fins of FinFETs can be machined according to the above invention. For example, embodiments of the present invention provide a method of making low-k gate spacers that enclose the gate stack. The dielectric constant of the insulating materials between the gate stack and the S / D contacts is reduced, thereby reducing interference, noise, and parasitic coupling capacitance between interconnects. In addition, the low-k gate spacers help to reduce the interfacial tension between gate stacks and S / D contacts, thereby improving the carrier mobility of the channel. In addition, the described methods can be easily integrated into existing semiconductor manufacturing processes.

Bei einem beispielhaften Aspekt ist die vorliegende Erfindung auf ein Halbleiter-Bauelement gerichtet. Bei einer Ausführungsform weist das Halbleiter-Bauelement Folgendes auf: ein Substrat mit einem Kanalbereich; einen Gate-Stapel über dem Kanalbereich; einen Dichtungsabstandshalter, der eine Seitenwand des Gate-Stapels bedeckt, wobei der Dichtungsabstandshalter Siliziumnitrid aufweist; einen Gate-Abstandshalter, der eine Seitenwand des Dichtungsabstandshalters bedeckt, wobei der Gate-Abstandshalter Siliziumoxid aufweist und einen ersten vertikalen Teil und einen ersten horizontalen Teil hat; und eine erste dielektrische Schicht, die eine Seitenwand des Gate-Abstandshalters bedeckt, wobei die erste dielektrische Schicht Siliziumnitrid aufweist. Bei einer Ausführungsform weist der Dichtungsabstandshalter einen zweiten vertikalen Teil und einen zweiten horizontalen Teil auf, und die erste dielektrische Schicht weist einen dritten vertikalen Teil und einen dritten horizontalen Teil auf. Bei einer Ausführungsform sind der erste, der zweite und der dritte horizontale Teil jeweils in physischem Kontakt mit einer Oberseite des Substrats. Bei einer Ausführungsform ist ein oberster Punkt des zweiten horizontalen Teils niedriger als ein oberster Punkt des ersten horizontalen Teils. Bei einer Ausführungsform hat das Substrat einen Source-/Drain(S/D)-Bereich, wobei der S/D-Bereich einen ersten dotierten S/D-Bereich, der zu dem Kanalbereich benachbart ist, und einen zweiten dotierten S/D-Bereich umfasst, der zu dem ersten dotierten S/D-Bereich benachbart ist, wobei der zweite dotierte S/D-Bereich stärker als der erste dotierte S/D-Bereich dotiert ist, der erste vertikale Teil gegenüber dem zweiten dotierten S/D-Bereich versetzt ist und in physischem Kontakt mit dem ersten dotierten S/D-Bereich ist, und der erste horizontale Teil in physischem Kontakt mit dem ersten dotierten S/D-Bereich und dem zweiten dotierten S/D-Bereich ist. Bei einer Ausführungsform ist eine Höhe des ersten horizontalen Teils im Wesentlichen gleich einer Breite des ersten vertikalen Teils. Bei einer Ausführungsform hat der erste vertikale Teil eine erste Seitenwand, wobei die erste Seitenwand im Wesentlichen senkrecht zu einer Oberseite des Substrats ist, und der erste horizontale Teil hat eine zweite Seitenwand, wobei die zweite Seitenwand die erste Seitenwand mit einem Winkel schneidet, der kleiner als 45° ist. Bei einer Ausführungsform hat der erste vertikale Teil eine erste Seitenwand, wobei die erste Seitenwand im Wesentlichen senkrecht zu einer Oberseite des Substrats ist, und der erste horizontale Teil hat eine zweite Seitenwand und eine erste Oberseite, die sich zwischen der ersten Seitenwand und der zweiten Seitenwand befindet, wobei die erste Oberseite im Wesentlichen senkrecht zu der ersten Seitenwand ist. Bei einer Ausführungsform weist das Halbleiter-Bauelement weiterhin eine zweite dielektrische Schicht auf, die zwischen den Gate-Abstandshalter und die erste dielektrische Schicht geschichtet ist, wobei sich die zweite dielektrische Schicht über dem ersten horizontalen Teil befindet, wobei die zweite dielektrische Schicht und der Gate-Abstandshalter unterschiedliche Materialzusammensetzungen haben. Bei einer Ausführungsform bedeckt die zweite dielektrische Schicht teilweise die erste Oberseite. Bei einer Ausführungsform ist die zweite Seitenwand im Wesentlichen senkrecht zu einer Oberseite des Substrats. Bei einer Ausführungsform umfasst der Gate-Stapel ein Polysilizium-Gate oder ein Metall-Gate.In an exemplary aspect, the present invention is directed to a semiconductor device. In an embodiment, the semiconductor device comprises: a substrate having a channel region; a gate stack over the channel area; a seal spacer covering a sidewall of the gate stack, the seal spacer comprising silicon nitride; a gate spacer covering a side wall of the seal spacer, the gate spacer comprising silicon oxide and having a first vertical part and a first horizontal part; and a first dielectric layer covering a sidewall of the gate spacer, the first dielectric layer comprising silicon nitride. In one embodiment, the seal spacer has a second vertical part and a second horizontal part, and the first dielectric layer has a third vertical part and a third horizontal part. In one embodiment, the first, second, and third horizontal portions are each in physical contact with an upper surface of the substrate. In one embodiment, a top point of the second horizontal part is lower than a top point of the first horizontal part. In one embodiment, the substrate has a source / drain (S / D) region, the S / D region having a first doped S / D region adjacent to the channel region and a second doped S / D region. Includes the region adjacent to the first doped S / D region, wherein the second doped S / D region is doped more strongly than the first doped S / D region, the first vertical part opposite to the second doped S / D region. And the first horizontal part is in physical contact with the first doped S / D region and the second doped S / D region. In one embodiment, a height of the first horizontal part is substantially equal to a width of the first vertical part. In one embodiment, the first vertical portion has a first sidewall, the first sidewall being substantially perpendicular to an upper surface of the substrate, and the first horizontal portion having a second sidewall, the second sidewall intersecting the first sidewall at an angle that is smaller than 45 °. In one embodiment, the first vertical part has a first sidewall, wherein the first sidewall is substantially perpendicular to an upper side of the substrate, and the first horizontal part has a second sidewall and a first upper face extending between the first sidewall and the second sidewall is located, wherein the first top is substantially perpendicular to the first side wall. In one embodiment, the semiconductor device further comprises a second dielectric layer layered between the gate spacer and the first dielectric layer, the second dielectric layer being over the first horizontal part, the second dielectric layer and the gate Spacers have different material compositions. In one embodiment, the second dielectric layer partially covers the first top. In one embodiment, the second sidewall is substantially perpendicular to an upper surface of the substrate. In an embodiment, the gate stack comprises a polysilicon gate or a metal gate.

Bei einem weiteren beispielhaften Aspekt ist die vorliegende Erfindung auf ein Halbleiter-Bauelement gerichtet. Bei einer Ausführungsform weist das Halbleiter-Bauelement Folgendes auf: ein Substrat mit Source-/Drain(S/D)-Bereichen, wobei ein Kanalbereich zwischen die S/D-Bereiche geschichtet ist; einen Gate-Stapel über dem Kanalbereich; eine dielektrische Schicht, die Seitenwände des Gate-Stapels bedeckt, wobei die dielektrische Schicht ein Nitrid aufweist; eine Abstandshalterschicht, die Seitenwände der dielektrischen Schicht bedeckt, wobei die Abstandshalterschicht ein Oxid aufweist, wobei eine Seitenwand der Abstandshalterschicht eine obere Seitenwand, eine horizontale Fläche und eine untere Seitenwand aufweist, sodass ein Stufenprofil entsteht; und eine Kontakt-Ätzstoppschicht (CES-Schicht), die die Seitenwand der Abstandshalterschicht bedeckt, wobei die CES-Schicht ein Nitrid aufweist. Bei einer Ausführungsform schneidet die obere Seitenwand die horizontale Fläche, sodass ein Winkel zwischen der oberen Seitenwand und der horizontalen Fläche definiert wird, der in dem Bereich von 85° bis 95° liegt. Bei einer Ausführungsform weist das Halbleiter-Bauelement weiterhin eine Hartmaskenschicht auf, die zwischen die Abstandshalterschicht und die CES-Schicht geschichtet ist, wobei eine Dielektrizitätskonstante der Hartmaskenschicht höher als eine Dielektrizitätskonstante der Abstandshalterschicht ist. Bei einer Ausführungsform umfassen die S/D-Bereiche einen ersten dotierten S/D-Bereich und einen zweiten dotierten S/D-Bereich, der stärker als der erste dotierte S/D-Bereich dotiert ist, wobei sich die obere Seitenwand direkt über dem ersten dotierten S/D-Bereich befindet und sich die untere Seitenwand direkt über dem zweiten S/D-Bereich befindet. Bei einer Ausführungsform beträgt eine Dicke der Abstandshalterschicht 10 % bis 70 % einer Länge des Kanalbereichs.In another exemplary aspect, the present invention is directed to a semiconductor device. In an embodiment, the semiconductor device comprises: a substrate having source / drain (S / D) regions, wherein a channel region is sandwiched between the S / D regions; a gate stack over the channel area; a dielectric layer covering sidewalls of the gate stack, the dielectric layer comprising a nitride; a spacer layer covering sidewalls of the dielectric layer, the spacer layer comprising an oxide, wherein a sidewall of the spacer layer has an upper sidewall, a horizontal surface, and a lower sidewall to provide a step profile; and a contact etch stop layer (CES layer) covering the sidewall of the spacer layer, the CES layer comprising a nitride. In one embodiment, the upper sidewall intersects the horizontal surface so as to define an angle between the upper sidewall and the horizontal surface that is in the range of 85 ° to 95 °. In one embodiment, the semiconductor device further comprises a hardmask layer sandwiched between the spacer layer and the CES layer, wherein a dielectric constant of the hardmask layer is higher than a dielectric constant of the spacer layer. In one embodiment, the S / D regions include a first doped S / D region and a second doped S / D region that is more heavily doped than the first doped S / D region, with the top sidewall directly above the S / D region first doped S / D region and the lower sidewall is directly above the second S / D region. In one embodiment, a thickness of the spacer layer is 10% to 70% of a length of the channel region.

Bei einem noch weiteren Aspekt ist die vorliegende Erfindung auf ein Verfahren gerichtet. Bei einer Ausführungsform weist das Verfahren die folgenden Schritte auf: Herstellen einer Gate-Struktur auf einem Substrat; Herstellen eines Dichtungsabstandshalters so, dass er die Gate-Struktur bedeckt; Herstellen eines Gate-Abstandshalters durch Atomlagenabscheidung (ALD) so, dass er den Dichtungsabstandshalter bedeckt, wobei der Gate-Abstandshalter einen ersten vertikalen Teil und einen ersten horizontalen Teil hat; Herstellen einer Hartmaskenschicht so, dass sie den Gate-Abstandshalter bedeckt, wobei die Hartmaskenschicht einen zweiten vertikalen Teil und einen zweiten horizontalen Teil hat; Entfernen des zweiten horizontalen Teils der Hartmaskenschicht und eines Teils des ersten horizontalen Teils des Gate-Abstandshalters, der sich unter dem zweiten horizontalen Teil der Hartmaskenschicht befindet; und Herstellen einer Kontakt-Ätzstoppschicht (CES-Schicht) so, dass sie den Gate-Abstandshalter bedeckt. Bei einer Ausführungsform umfasst das Verfahren vor dem Herstellen der CES-Schicht weiterhin das Entfernen des zweiten vertikalen Teils der Hartmaskenschicht. Bei einer Ausführungsform hat der Gate-Abstandshalter die niedrigste Dielektrizitätskonstante in der Gruppe Dichtungsabstandshalter, Gate-Abstandshalter, Hartmaskenschicht und CES-Schicht. Bei einer Ausführungsform weist der Dichtungsabstandshalter Siliziumnitrid auf, der Gate-Abstandshalter weist Siliziumoxid auf, und die CES-Schicht weist Siliziumnitrid auf. Bei einer Ausführungsform weist das Verfahren weiterhin die folgenden Schritte auf: Herstellen eines ersten Source-/Drain-Bereichs mit einem Ionenimplantationsprozess nach dem Herstellen des Dichtungsabstandshalters und vor dem Herstellen des Gate-Abstandshalters; und Herstellen eines zweiten Source-/Drain-Bereichs, der zu dem ersten Source-/Drain-Bereich benachbart ist, nach dem Entfernen des zweiten horizontalen Teils der Hartmaskenschicht und vor dem Herstellen der CES-Schicht, wobei der zweite Source-/Drain-Bereich stärker als der erste Source-/Drain-Bereich dotiert ist. Bei einer Ausführungsform ist die Gate-Struktur eine Polysilizium-Gate-Struktur oder eine Metall-Gate-Struktur.In yet another aspect, the present invention is directed to a method. In an embodiment, the method comprises the steps of: forming a gate structure on a substrate; Forming a gasket spacer so as to cover the gate structure; Atomic Deposition (ALD) forming a gate spacer so as to cover the seal spacer, the gate spacer having a first vertical part and a first horizontal part; Forming a hardmask layer so as to cover the gate spacer, the hardmask layer having a second vertical part and a second horizontal part; Removing the second horizontal portion of the hardmask layer and a portion of the first horizontal portion of the gate spacer located below the second horizontal portion of the hardmask layer; and forming a contact etch stop layer (CES layer) so as to cover the gate spacer. In an embodiment, prior to forming the CES layer, the method further comprises removing the second vertical portion of the hardmask layer. In one embodiment, the gate spacer has the lowest dielectric constant in the group of seal spacers, gate spacers, hard mask layer, and CES layer. In one embodiment, the seal spacer comprises silicon nitride, the gate spacer comprises silicon oxide, and the CES layer comprises silicon nitride. In an embodiment, the method further comprises the steps of: forming a first source / drain region with an ion implantation process after the seal spacer is made and before the gate spacer is fabricated; and fabricating a second source / drain region adjacent to the first source / drain region after removing the second horizontal portion of the hardmask layer and prior to fabricating the CES layer, the second source / drain region. Region is more heavily doped than the first source / drain region. In one embodiment, the gate structure is a polysilicon gate structure or a metal gate structure.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.Features of various embodiments have been described above so that those skilled in the art can better understand the aspects of the present invention. Those skilled in the art will appreciate that they may readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same objects and / or advantages of the same as the embodiments presented herein. Those skilled in the art should also recognize that such equivalent interpretations do not depart from the spirit and scope of the present invention and that they may make various changes, substitutions and alterations here without departing from the spirit and scope of the present invention.

Claims (20)

Halbleitervorrichtung mit: einem Substrat mit einem Kanalbereich; einem Gate-Stapel über dem Kanalbereich; einem Dichtungsabstandshalter, der eine Seitenwand des Gate-Stapels bedeckt, wobei der Dichtungsabstandshalter Siliziumnitrid aufweist; einem Gate-Abstandshalter, der eine Seitenwand des Dichtungsabstandshalters bedeckt, wobei der Gate-Abstandshalter Siliziumoxid aufweist und einen ersten vertikalen Teil und einen ersten horizontalen Teil hat; und einer ersten dielektrischen Schicht, die eine Seitenwand des Gate-Abstandshalters bedeckt, wobei die erste dielektrische Schicht Siliziumnitrid aufweist.Semiconductor device with: a substrate having a channel region; a gate stack over the channel area; a seal spacer covering a sidewall of the gate stack, the seal spacer comprising silicon nitride; a gate spacer covering a sidewall of the seal spacer, the gate spacer comprising silicon oxide and having a first vertical part and a first horizontal part; and a first dielectric layer covering a sidewall of the gate spacer, the first dielectric layer comprising silicon nitride. Halbleitervorrichtung nach Anspruch 1, wobei der Dichtungsabstandshalter einen zweiten vertikalen Teil und einen zweiten horizontalen Teil aufweist, und die erste dielektrische Schicht einen dritten vertikalen Teil und einen dritten horizontalen Teil aufweist.Semiconductor device according to Claim 1 wherein the seal spacer has a second vertical part and a second horizontal part, and the first dielectric layer has a third vertical part and a third horizontal part. Halbleitervorrichtung nach Anspruch 2, wobei der erste, der zweite und der dritte horizontale Teil jeweils in physischem Kontakt mit einer Oberseite des Substrats sind.Semiconductor device according to Claim 2 , wherein the first, the second and the third horizontal part each in physical contact with an upper surface of the substrate. Halbleitervorrichtung nach Anspruch 2 oder 3, wobei ein oberster Punkt des zweiten horizontalen Teils niedriger als ein oberster Punkt des ersten horizontalen Teils ist.Semiconductor device according to Claim 2 or 3 wherein a top point of the second horizontal part is lower than a top point of the first horizontal part. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei das Substrat einen Source-/Drain(S/D)-Bereich aufweist, wobei der S/D-Bereich einen ersten dotierten S/D-Bereich, der zu dem Kanalbereich benachbart ist, und einen zweiten dotierten S/D-Bereich umfasst, der zu dem ersten dotierten S/D-Bereich benachbart ist, wobei der zweite dotierte S/D-Bereich stärker als der erste dotierte S/D-Bereich dotiert ist, der erste vertikale Teil gegenüber dem zweiten dotierten S/D-Bereich versetzt ist und in physischem Kontakt mit dem ersten dotierten S/D-Bereich ist, und der erste horizontale Teil in physischem Kontakt mit dem ersten dotierten S/D-Bereich und dem zweiten dotierten S/D-Bereich ist.A semiconductor device according to any one of the preceding claims, wherein the substrate has a source / drain (S / D) region, wherein the S / D region comprises a first doped S / D region adjacent to the channel region and a second doped S / D region, which is adjacent to the first doped S / D region, the second doped S / D region being doped more strongly than the first doped S / D region, the first vertical part is offset from the second doped S / D region and is in physical contact with the first doped S / D region, and the first horizontal part is in physical contact with the first doped S / D region and the second doped S / D region. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei eine Höhe des ersten horizontalen Teils im Wesentlichen gleich einer Breite des ersten vertikalen Teils ist.A semiconductor device according to any one of the preceding claims, wherein a height of the first horizontal part is substantially equal to a width of the first vertical part. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei der erste vertikale Teil eine erste Seitenwand hat, wobei die erste Seitenwand im Wesentlichen senkrecht zu einer Oberseite des Substrats ist, und der erste horizontale Teil eine zweite Seitenwand hat, wobei die zweite Seitenwand die erste Seitenwand mit einem Winkel schneidet, der kleiner als 45° ist.A semiconductor device according to any one of the preceding claims, wherein the first vertical part has a first sidewall, the first sidewall being substantially perpendicular to an upper surface of the substrate, and the first horizontal part has a second sidewall, the second sidewall intersecting the first sidewall at an angle less than 45 °. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei der erste vertikale Teil eine erste Seitenwand hat, wobei die erste Seitenwand im Wesentlichen senkrecht zu einer Oberseite des Substrats ist, und der erste horizontale Teil eine zweite Seitenwand und eine erste Oberseite hat, die sich zwischen der ersten Seitenwand und der zweiten Seitenwand befindet, wobei die erste Oberseite im Wesentlichen senkrecht zu der ersten Seitenwand ist.A semiconductor device according to any one of the preceding claims, wherein the first vertical part has a first sidewall, the first sidewall being substantially perpendicular to an upper surface of the substrate, and the first horizontal part has a second side wall and a first top side located between the first side wall and the second side wall, the first top side being substantially perpendicular to the first side wall. Halbleitervorrichtung nach Anspruch 8, die weiterhin eine zweite dielektrische Schicht aufweist, die zwischen den Gate-Abstandshalter und die erste dielektrische Schicht geschichtet ist, wobei sich die zweite dielektrische Schicht über dem ersten horizontalen Teil befindet, wobei die zweite dielektrische Schicht und der Gate-Abstandshalter unterschiedliche Materialzusammensetzungen haben.Semiconductor device according to Claim 8 further comprising a second dielectric layer sandwiched between the gate spacer and the first dielectric layer, the second dielectric layer overlying the first horizontal portion, the second dielectric layer and the gate spacer having different material compositions. Halbleitervorrichtung nach Anspruch 9, wobei die zweite dielektrische Schicht die erste Oberseite teilweise bedeckt.Semiconductor device according to Claim 9 wherein the second dielectric layer partially covers the first top. Halbleitervorrichtung nach Anspruch 9 oder 10, wobei die zweite Seitenwand im Wesentlichen senkrecht zu einer Oberseite des Substrats ist.Semiconductor device according to Claim 9 or 10 wherein the second sidewall is substantially perpendicular to an upper surface of the substrate. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei der Gate-Stapel ein Polysilizium-Gate oder ein Metall-Gate umfasst.Semiconductor device according to one of the preceding claims, wherein the gate stack comprises a polysilicon gate or a metal gate. Halbleitervorrichtung mit: einem Substrat mit Source-/Drain(S/D)-Bereichen, wobei sich ein Kanalbereich zwischen den S/D-Bereichen befindet; einem Gate-Stapel über dem Kanalbereich; einer dielektrischen Schicht, die Seitenwände des Gate-Stapels bedeckt, wobei die dielektrische Schicht ein Nitrid aufweist; einer Abstandshalterschicht, die Seitenwände der dielektrischen Schicht bedeckt, wobei die Abstandshalterschicht ein Oxid aufweist, wobei eine Seitenwand der Abstandshalterschicht eine obere Seitenwand, eine horizontale Fläche und eine untere Seitenwand aufweist, sodass ein Stufenprofil entsteht; und einer Kontakt-Ätzstoppschicht (CES-Schicht), die die Seitenwand der Abstandshalterschicht bedeckt, wobei die CES-Schicht ein Nitrid aufweist.Semiconductor device with: a substrate with source / drain (S / D) regions, with a channel region located between the S / D regions; a gate stack over the channel area; a dielectric layer covering sidewalls of the gate stack, the dielectric layer comprising a nitride; a spacer layer covering sidewalls of the dielectric layer, the spacer layer comprising an oxide, wherein a sidewall of the spacer layer has an upper sidewall, a horizontal surface, and a lower sidewall to form a step profile; and a contact etch stop layer (CES layer) covering the sidewall of the spacer layer, the CES layer comprising a nitride. Halbleitervorrichtung nach Anspruch 13, wobei die obere Seitenwand die horizontale Fläche schneidet, sodass ein Winkel zwischen der oberen Seitenwand und der horizontalen Fläche definiert wird, der in einem Bereich von 85° bis 95° liegt.Semiconductor device according to Claim 13 wherein the upper sidewall intersects the horizontal surface so as to define an angle between the upper sidewall and the horizontal surface which is in a range of 85 ° to 95 °. Halbleitervorrichtung nach Anspruch 13 oder 14, die weiterhin eine Hartmaskenschicht aufweist, die zwischen der Abstandshalterschicht und der CES-Schicht angeordnet ist, wobei eine Dielektrizitätskonstante der Hartmaskenschicht höher als eine Dielektrizitätskonstante der Abstandshalterschicht ist.Semiconductor device according to Claim 13 or 14 further comprising a hard mask layer disposed between the spacer layer and the CES layer, wherein a dielectric constant of the hard mask layer is higher than a dielectric constant of the spacer layer. Halbleitervorrichtung nach einem der Ansprüche 13 bis 15, wobei die S/D-Bereiche einen ersten dotierten S/D-Bereich und einen zweiten dotierten S/D-Bereich umfassen, der stärker als der erste dotierte S/D-Bereich dotiert ist, wobei sich die obere Seitenwand direkt über dem ersten dotierten S/D-Bereich befindet und sich die untere Seitenwand direkt über dem zweiten S/D-Bereich befindet.Semiconductor device according to one of Claims 13 to 15 wherein the S / D regions include a first doped S / D region and a second doped S / D region that is doped more heavily than the first doped S / D region, with the upper sidewall directly over the first doped S / D area and the lower sidewall is just above the second S / D area. Halbleitervorrichtung nach einem der Ansprüche 13 bis 16, wobei eine Dicke der Abstandshalterschicht 10 % bis 70 % einer Länge des Kanalbereichs beträgt.Semiconductor device according to one of Claims 13 to 16 wherein a thickness of the spacer layer is 10% to 70% of a length of the channel region. Verfahren mit den folgenden Schritten: Herstellen einer Gate-Struktur auf einem Substrat; Herstellen eines Dichtungsabstandshalters so, dass er die Gate-Struktur bedeckt; Herstellen eines Gate-Abstandshalters durch Atomlagenabscheidung (ALD) so, dass er den Dichtungsabstandshalter bedeckt, wobei der Gate-Abstandshalter einen ersten vertikalen Teil und einen ersten horizontalen Teil hat; Herstellen einer Hartmaskenschicht so, dass sie den Gate-Abstandshalter bedeckt, wobei die Hartmaskenschicht einen zweiten vertikalen Teil und einen zweiten horizontalen Teil hat; Entfernen des zweiten horizontalen Teils der Hartmaskenschicht und eines Teils des ersten horizontalen Teils des Gate-Abstandshalters, der sich unter dem zweiten horizontalen Teil der Hartmaskenschicht befindet; und Herstellen einer Kontakt-Ätzstoppschicht (CES-Schicht) so, dass sie den Gate-Abstandshalter bedeckt.Procedure with the following steps: Forming a gate structure on a substrate; Forming a gasket spacer so as to cover the gate structure; Atomic Deposition (ALD) forming a gate spacer so as to cover the seal spacer, the gate spacer having a first vertical part and a first horizontal part; Forming a hardmask layer so as to cover the gate spacer, the hardmask layer having a second vertical part and a second horizontal part; Removing the second horizontal portion of the hardmask layer and a portion of the first horizontal portion of the gate spacer located below the second horizontal portion of the hardmask layer; and forming a contact etch stop layer (CES layer) so as to cover the gate spacer. Verfahren nach Anspruch 18, das vor dem Herstellen der CES-Schicht weiterhin das Entfernen des zweiten vertikalen Teils der Hartmaskenschicht umfasst.Method according to Claim 18 which further comprises removing the second vertical portion of the hardmask layer prior to forming the CES layer. Verfahren nach Anspruch 18 oder 19, wobei der Gate-Abstandshalter die niedrigste Dielektrizitätskonstante in der Gruppe umfassend den Dichtungsabstandshalter, den Gate-Abstandshalter, die Hartmaskenschicht und die CES-Schicht hat.Method according to Claim 18 or 19 wherein the gate spacer has the lowest dielectric constant in the group comprising the seal spacer, the gate spacer, the hard mask layer, and the CES layer.
DE102018106268.0A 2017-11-22 2018-03-19 GATE SPACER HOLDER STRUCTURES FOR SEMICONDUCTOR COMPONENTS AND METHOD THEREFOR Granted DE102018106268A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762590003P 2017-11-22 2017-11-22
US62/590,003 2017-11-22
US15/891,074 US10312348B1 (en) 2017-11-22 2018-02-07 Semiconductor device gate spacer structures and methods thereof
US15/891,074 2018-02-07

Publications (1)

Publication Number Publication Date
DE102018106268A1 true DE102018106268A1 (en) 2019-05-23

Family

ID=66336284

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018106268.0A Granted DE102018106268A1 (en) 2017-11-22 2018-03-19 GATE SPACER HOLDER STRUCTURES FOR SEMICONDUCTOR COMPONENTS AND METHOD THEREFOR

Country Status (2)

Country Link
CN (1) CN109817715B (en)
DE (1) DE102018106268A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289584B2 (en) * 2020-04-24 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer features for multi-gate transistors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080061366A1 (en) * 2006-09-11 2008-03-13 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
US20090001480A1 (en) * 2007-06-27 2009-01-01 International Business Machines Corporation HIGH-k/METAL GATE MOSFET WITH REDUCED PARASITIC CAPACITANCE
US20160211378A1 (en) * 2013-06-13 2016-07-21 Kook-Tae KIM Semiconductor device, method for fabricating the same, and memory system including the semiconductor device
US20170162663A1 (en) * 2014-11-26 2017-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacer and methods of forming

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251764B1 (en) * 1999-11-15 2001-06-26 Chartered Semiconductor Manufacturing Ltd. Method to form an L-shaped silicon nitride sidewall spacer
CN1276488C (en) * 2002-12-04 2006-09-20 联华电子股份有限公司 Method for preventing leakage current of super shallow layer junction of drain / source electrode extension
US7880217B2 (en) * 2005-07-30 2011-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Programmable non-volatile memory (PNVM) device
US20080096337A1 (en) * 2006-10-06 2008-04-24 Texas Instruments Incorporated Disposable semiconductor device spacer with high selectivity to oxide
US8519487B2 (en) * 2011-03-21 2013-08-27 United Microelectronics Corp. Semiconductor device
CN103035712B (en) * 2011-10-09 2015-10-14 中国科学院微电子研究所 Semiconductor device and manufacture method thereof
US9048287B1 (en) * 2013-11-15 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device structure with floating spacer
KR102394938B1 (en) * 2015-05-21 2022-05-09 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
US9633999B1 (en) * 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080061366A1 (en) * 2006-09-11 2008-03-13 United Microelectronics Corp. Complementary metal-oxide-semiconductor device and fabricating method thereof
US20090001480A1 (en) * 2007-06-27 2009-01-01 International Business Machines Corporation HIGH-k/METAL GATE MOSFET WITH REDUCED PARASITIC CAPACITANCE
US20160211378A1 (en) * 2013-06-13 2016-07-21 Kook-Tae KIM Semiconductor device, method for fabricating the same, and memory system including the semiconductor device
US20170162663A1 (en) * 2014-11-26 2017-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacer and methods of forming

Also Published As

Publication number Publication date
CN109817715A (en) 2019-05-28
CN109817715B (en) 2022-06-03

Similar Documents

Publication Publication Date Title
DE102017012299B3 (en) EPITAXLAL STRUCTURAL ELEMENTS AND METHOD OF MANUFACTURING THEREOF, LIMITED BY DIELECTRIC FINS AND SPACERS
DE102018115909B4 (en) Structure and method for finfet device with dielectric gate contact
DE102017114427B4 (en) Process for the production of separating elements for semiconductor structures
DE102017103419B4 (en) SEMICONDUCTOR DEVICE WITH SEPARATE SOURCE-DRAIN STRUCTURE AND ASSOCIATED MANUFACTURING PROCESS
DE102017113681A1 (en) SEMICONDUCTOR CONSTRUCTION ELEMENT WITH AIR SPACER HOLDER
DE102017126043A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE102020111602B4 (en) MORE GATE DEVICES AND GATE STRUCTURING PROCESS THEREFORE
DE102019116606B4 (en) MULTI-GATE DEVICE AND RELATED PROCEDURES
DE102012204455A1 (en) (110) surface orientation for reducing Fermi level pinning between a HIGH-K dielectric and a Group III-V compound semiconductor device
DE102020130964A1 (en) VERTICALLY ORIENTED COMPLEMENTARY TRANSISTOR
DE102017120267A1 (en) PROCESS FOR PRODUCING SOURCE / DRAIN CONTACT IN SEMICONDUCTOR DEVICES
DE102017123948B4 (en) ENCLOSED EPITAXIAL STRUCTURE AND PROCESS
DE102021101178A1 (en) INTEGRATED CIRCUIT STRUCTURE WITH REAR DIELECTRIC LAYER WITH AIR GAP
DE102021108885A1 (en) FORMATION OF ESD COMPONENTS USING MULTIGATE COMPATIBLE PROCESSES
DE102021116191A1 (en) FORMING SOURCE AND DRAIN FEATURES IN SEMICONDUCTOR DEVICES
DE102021109770A1 (en) HYBRID SEMICONDUCTOR DEVICE
DE102020131432A1 (en) SOURCE / DRAIN CONTACT STRUCTURE
DE102020120265A1 (en) Forming isolation regions for separating fins and gate stacks
DE102020119428A1 (en) GATE-ALL-AROUND DEVICES WITH OPTIMIZED GATE SPACERS AND GATE-END DIELECTRIC
DE102018101016B4 (en) Process for cutting metal gates and structures formed from them
DE102018106268A1 (en) GATE SPACER HOLDER STRUCTURES FOR SEMICONDUCTOR COMPONENTS AND METHOD THEREFOR
DE102022100570A1 (en) SEMICONDUCTOR DEVICES WITH PARASITIC CHANNEL STRUCTURES
DE102021110572A1 (en) SEMICONDUCTOR DEVICE WITH LEAKAGE CURRENT SUPPRESSION AND METHOD FOR MANUFACTURING THEREOF
DE102017126106B4 (en) OF DIELECTRIC FINNES AND SPACERS RESTRICTED EPITAXIAL STRUCTURAL ELEMENTS
DE102020132562A1 (en) METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND A SEMICONDUCTOR DEVICE

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division