DE102017127390B4 - Method of manufacturing a semiconductor device - Google Patents

Method of manufacturing a semiconductor device Download PDF

Info

Publication number
DE102017127390B4
DE102017127390B4 DE102017127390.5A DE102017127390A DE102017127390B4 DE 102017127390 B4 DE102017127390 B4 DE 102017127390B4 DE 102017127390 A DE102017127390 A DE 102017127390A DE 102017127390 B4 DE102017127390 B4 DE 102017127390B4
Authority
DE
Germany
Prior art keywords
layer
spacers
mask
openings
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017127390.5A
Other languages
German (de)
Other versions
DE102017127390A1 (en
Inventor
Kuan-Wei Huang
Yu-Yu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/665,682 external-priority patent/US10170307B1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017127390A1 publication Critical patent/DE102017127390A1/en
Application granted granted Critical
Publication of DE102017127390B4 publication Critical patent/DE102017127390B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren, das Folgendes umfasst:
Bilden einer ersten Maskenschicht (108) auf einem Substrat;
Strukturieren mehrerer erster Abstandshalter (140) über der ersten Maskenschicht (108);
Bilden einer Antireflexionsschicht (150) über den ersten Abstandshaltern (140);
Bilden einer Ätzstoppschicht (152A) über der Antireflexionsschicht (150);
Bilden einer zweiten Maskenschicht (152B) über der Ätzstoppschicht (152A);
Strukturieren mehrerer Öffnungen (160, 168) in der zweiten Maskenschicht (152B), wobei jede der Öffnungen (160, 168) über jeweiligen Paaren der ersten Abstandshalter (140) liegt;
Verlängern der Öffnungen (160, 168) durch die Antireflexionsschicht (150) und zwischen den jeweiligen Paaren der ersten Abstandshalter (140);
Bilden eines Umkehrmaterials (170) über der zweiten Maskenschicht (152B) und in den Öffnungen (160, 168);
Entfernen der Antireflexionsschicht (150), der Ätzstoppschicht (152A) und der zweiten Maskenschicht (152B); und
Strukturieren der ersten Maskenschicht (108) unter Verwendung der ersten Abstandshalter (140) und übrigen Abschnitte des Umkehrmaterials (170) als einer ersten Ätzmaske,
wobei die Ätzstoppschicht (152A) und die zweite Maskenschicht (152B) ein Ätzselektivitätsverhältnis größer als 3 aufweisen.

Figure DE102017127390B4_0000
Procedure, which includes:
forming a first mask layer (108) on a substrate;
patterning a plurality of first spacers (140) over the first mask layer (108);
forming an anti-reflective layer (150) over the first spacers (140);
forming an etch stop layer (152A) over the anti-reflective layer (150);
forming a second mask layer (152B) over the etch stop layer (152A);
patterning a plurality of openings (160, 168) in the second mask layer (152B), each of the openings (160, 168) overlying respective pairs of the first spacers (140);
extending the openings (160, 168) through the anti-reflective coating (150) and between the respective pairs of first spacers (140);
forming a reversal material (170) over the second mask layer (152B) and in the openings (160, 168);
removing the anti-reflective layer (150), the etch stop layer (152A) and the second mask layer (152B); and
patterning the first mask layer (108) using the first spacers (140) and remaining portions of the reversal material (170) as a first etch mask,
wherein the etch stop layer (152A) and the second mask layer (152B) have an etch selectivity ratio greater than 3.
Figure DE102017127390B4_0000

Description

HINTERGRUNDBACKGROUND

Im Zuge der fortschreitenden Abwärtsskalierung von Halbleitervorrichtungen werden verschiedene Verarbeitungstechniken, wie zum Beispiel Fotolithografie, verwendet, um die Herstellung von Vorrichtungen mit immer kleineren Abmessungen zu ermöglichen. Da Halbleiterprozesse jedoch mit zunehmend kleineren Prozessfenstern arbeiten, hat die Herstellung dieser Vorrichtungen die theoretischen Grenzen von Fotolithografie-Ausrüstung erreicht und sogar überschritten. In dem Maße, wie Halbleitervorrichtungen immer kleiner werden, ist die gewünschte Beabstandung zwischen Elementen (d. h. der Mittenabstand) einer Vorrichtung weniger als der Mittenabstand, der mittels herkömmlicher optischer Masken und Fotolithografie-Ausrüstung hergestellt werden kann.
Die US 2015 / 0 155 171 A1 beschreibt ein Verfahren zum Strukturieren einer Halbleitervorrichtung, bei dem über einer Hartmaske an Seitenwänden von Dummy-Linien ausgerichtete Abstandshalter ausgebildet werden. Ferner werden Umkehrmaterialschichten über den Abstandshaltern gebildet und strukturiert.
Die US 7 488 685 B2 beschreibt Verfahren zur Strukturierung von integrierten Schaltkreisen, bei denen ein zusätzliches Maskenverfahren zur Verbesserung der Mitte-zu-Rand-Gleichmäßigkeit verwendet wird.
As semiconductor devices continue to be scaled down, various processing techniques, such as photolithography, are being used to enable fabrication of devices of ever smaller dimensions. However, as semiconductor processes operate with increasingly smaller process windows, fabrication of these devices has reached and even exceeded the theoretical limits of photolithography equipment. As semiconductor devices become smaller and smaller, the desired spacing between elements (ie, pitch) of a device is less than the pitch that can be fabricated using conventional optical masks and photolithography equipment.
US 2015/0 155 171 A1 describes a method for patterning a semiconductor device in which spacers aligned on sidewalls of dummy lines are formed over a hard mask. Further, reversal material layers are formed and patterned over the spacers.
the U.S. 7,488,685 B2 describes methods of patterning integrated circuits that use an additional masking process to improve center-to-edge uniformity.

Figurenlistecharacter list

Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen werden. Es ist anzumerken, dass verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind.

  • 1 bis 13 veranschaulichen Querschnittsansichten von Zwischenstufen in der Ausbildung von Strukturelementen in einer Zielschicht auf einem Wafer gemäß einigen Ausführungsformen.
  • 14A bis 22B veranschaulichen perspektivische Ansichten und Querschnittsansichten weiterer Zwischenstufen in der Ausbildung der Strukturelemente in der Zielschicht gemäß einigen Ausführungsformen.
  • 23A bis 26B veranschaulichen Draufsichten und Querschnittsansichten weiterer Zwischenstufen in der Ausbildung der Strukturelemente in der Zielschicht gemäß einigen Ausführungsformen.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying figures. It should be noted that various features are not drawn to scale.
  • 1 until 13 12 illustrate cross-sectional views of intermediate stages in the formation of features in a target layer on a wafer, according to some embodiments.
  • 14A until 22B 12 illustrate perspective views and cross-sectional views of further intermediate stages in the formation of the features in the target layer, according to some embodiments.
  • 23A until 26B 12 illustrate top and cross-sectional views of further intermediate stages in the formation of the features in the target layer, according to some embodiments.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale dieser Erfindung bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen.The following disclosure provides many different embodiments or examples for implementing various features of this invention. In the following, specific examples of components and arrangements are described in order to simplify the present disclosure.

Dabei können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden.Spatially relative terms such as "beneath", "below", "lower", "above", "upper" and the like may be used herein to simplify the description to indicate the relationship of an element or feature to a or several other elements or features as illustrated in the figures. The spatially relative terms are intended to encompass other orientations of the device in use or operation besides the orientation shown in the figures. The device may be otherwise oriented (rotated 90 degrees, or other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Gemäß einigen Ausführungsformen werden Verfahren zur Herstellung einer Halbleitervorrichtung bereitgestellt. Insbesondere wird ein selbstausrichtender Vierfach-Strukturierungsprozess ausgeführt, um Leitungen auf einem Substrat zu strukturieren. Die strukturierten Leitungen haben einen Mittenabstand, der ein Viertel des kleinsten fotolithografischen Mittenabstandes beträgt. Erste strukturierte Leitungen werden gebildet, zweite strukturierte Leitungen werden zwischen den ersten strukturierten Leitungen gebildet, und dritte strukturierte Leitungen werden zwischen den ersten und zweiten strukturierten Leitungen gebildet. Nachdem die Ausbildung der Leitungsstrukturen vollendet ist, wird eine Maskenschicht über den Leitungsstrukturen gebildet. Ein dreischichtiger Photoresist wird zum Strukturieren der Maskenschicht mit einer geschnittenen Struktur für die Leitungsstrukturen verwendet. Die geschnittene Struktur wird dann zu den Leitungsstrukturen übertragen. Die geschnittenen Leitungsstrukturen werden als eine Maske zum Strukturieren einer zugrunde liegenden Zielschicht verwendet. Die zugrunde liegende Zielschicht kann eine Schicht sein, die für verschiedenste Zwecke verwendet wird. Zum Beispiel kann die Zielschicht eine Dielektrikumschicht mit niedrigem k-Wert für die Ausbildung von Interconnect-Leitungen sein. Alternativ kann die Zielschicht ein Halbleitersubstrat sein, und die Struktur kann Halbleiterrippen entsprechen, die auf dem Substrat ausgebildet sind. Das Schneiden der Leitungsstrukturen, nachdem alle Leitungsstrukturen ausgebildet wurden, kann die Komplexität verringern, die das Schneiden der Leitungsstrukturen während Zwischenstufen des Strukturierens der Leitungen mit sich bringt, und kann die BEOL-Verarbeitung vereinfachen. Des Weiteren kann das Schneiden der Leitungsstrukturen, nachdem alle Leitungsstrukturen ausgebildet wurden, die Anzahl von Defekten verringern, die während des Schneidens entstehen.According to some embodiments, methods of manufacturing a semiconductor device are provided. In particular, a self-aligned quad patterning process is performed to pattern lines on a substrate. The patterned lines have a pitch that is a quarter of the smallest photolithographic pitch. First patterned lines are formed, second patterned lines are formed between the first patterned lines, and third patterned lines are formed between the first and second patterned lines. After the formation of the line structures is completed, a mask layer is formed over the line structures. A three-layer photoresist is used to pattern the mask layer with a cut pattern for the line patterns. The cut structure is then transferred to the line structures. The cut line structures are used as a mask for patterning an underlying target layer. The underlying target layer can be a layer that is used for a wide variety of purposes. For example, the target layer may be a low-k dielectric layer for the formation of interconnect lines. Alternatively, the target layer can be a semiconductor substrate and the structure can correspond to semiconductor fins formed on the substrate. Cutting the wiring structures after all the wiring structures have been formed can reduce the complexity involved in cutting the wiring structures rend involves intermediate stages of structuring of the lines and can simplify BEOL processing. Furthermore, cutting the wiring structures after all the wiring structures have been formed can reduce the number of defects created during the cutting.

1 bis 13 veranschaulichen Querschnittsansichten von Zwischenstufen in der Ausbildung von Strukturelementen in einer Zielschicht 102 auf einem Wafer 100 gemäß einigen beispielhaften Ausführungsformen. Die Zielschicht 102 ist eine Schicht, die in einem selbstausrichtenden Vierfachstrukturierungs (SAQP)-Prozess geätzt werden soll und in der mehrere Strukturen ausgebildet werden sollen, gemäß Ausführungsformen der vorliegenden Offenbarung. 1 until 13 12 illustrate cross-sectional views of intermediate stages in the formation of features in a target layer 102 on a wafer 100, according to some example embodiments. The target layer 102 is a layer to be etched in a self-aligned quadruple patterning (SAQP) process and in which multiple structures are to be formed, according to embodiments of the present disclosure.

Der Wafer 100 kann mehrere Regionen haben. Zum Beispiel veranschaulichen die gezeigten beispielhaften Ausführungsformen eine erste Region 100A und eine zweite Region 100B. Die verschiedenen Regionen können so verarbeitet werden, dass sie verschiedene Mittenabstände zwischen den ausgebildeten Strukturelementen haben. Insbesondere können einige Regionen so verarbeitet werden, dass sie Strukturelemente bilden, die ein Viertel des kleinsten fotolithografischen Mittenabstandes groß sind, und andere Regionen können Strukturelemente bilden, die größer sind. In den gezeigten Ausführungsformen wird eine Struktur in der ersten Region 100A mit einem Viertel einer kleinsten fotolithografischen Mittenabstand gebildet, und eine Struktur in der zweiten Region 100B wird mit einem anderen Mittenabstand gebildet, der gegebenenfalls ein Bruchteil des kleinsten fotolithografischen Mittenabstandes sein kann.The wafer 100 may have multiple regions. For example, the exemplary embodiments shown illustrate a first region 100A and a second region 100B. The different regions can be processed to have different center-to-center distances between the formed features. In particular, some regions may be processed to form features that are one quarter the smallest photolithographic pitch and other regions may form features that are larger. In the embodiments shown, a structure in the first region 100A is formed at a quarter of a minimum photolithographic pitch, and a structure in the second region 100B is formed at a different pitch, which may or may not be a fraction of the minimum photolithographic pitch.

In einigen Ausführungsformen ist die Zielschicht 102 eine Zwischenmetalldielektrikum (IMD)-Schicht. In solchen Ausführungsformen kann die IMD-Schicht über einem Substrat ausgebildet werden, das aktive Bauelemente enthält, und leitfähige Strukturelemente, wie zum Beispiel Kupferleitungen, Kupferdurchkontaktierungen und/oder Kobaltstecker, können in der IMD-Schicht ausgebildet werden. Die IMD-Schicht kann aus einem dielektrischen Material gebildet werden, das eine Dielektrizitätskonstante (k-Wert) von zum Beispiel weniger als 3,8, weniger als etwa 3,0 oder weniger als etwa 2,5 aufweist. In alternativen Ausführungsformen ist die IMD-Schicht eine Dielektrikumschicht mit hohem k-Wert, die einen k-Wert von höher als 3,8 aufweist. Die IMD-Schicht kann mit dem SAQP-Prozess strukturiert werden, und die anschließenden Prozessschritte können dafür verwendet werden, Metallleitungen und/oder Durchkontaktierungen in der IMD-Schicht zu bilden.In some embodiments, the target layer 102 is an intermetal dielectric (IMD) layer. In such embodiments, the IMD layer may be formed over a substrate containing active devices, and conductive features such as copper lines, copper vias, and/or cobalt plugs may be formed in the IMD layer. The IMD layer may be formed from a dielectric material having a dielectric constant (k-value) of less than 3.8, less than about 3.0, or less than about 2.5, for example. In alternative embodiments, the IMD layer is a high-k dielectric layer having a k greater than 3.8. The IMD layer can be patterned with the SAQP process and the subsequent process steps can be used to form metal lines and/or vias in the IMD layer.

In einigen Ausführungsformen ist die Zielschicht 102 ein Halbleitersubstrat. Das Halbleitersubstrat kann aus einem Halbleitermaterial gebildet werden, wie zum Beispiel Silizium, Silizium-Germanium oder dergleichen. In einigen Ausführungsformen ist das Halbleitersubstrat ein kristallines Halbleitersubstrat, wie zum Beispiel ein kristallines Siliziumsubstrat, ein kristallines Silizium-Kohlenstoff-Substrat, ein kristallines Silizium-Germanium-Substrat, ein III-V-Verbindungs-Halbleitersubstrat oder dergleichen. Das Halbleitersubstrat kann mit dem SAQP-Prozess strukturiert werden, und die anschließenden Prozessschritte können dafür verwendet werden, Flachgrabenisolier (STI)-Regionen in dem Substrat zu bilden. Halbleiterrippen können zwischen den ausgebildeten STI-Regionen hervorstehen. Source/Drain-Regionen können in den Halbleiterrippen ausgebildet werden, und Gate-Dielektrikum- und Elektrodenschichten können über Kanalregionen der Rippen ausgebildet werden, wodurch Halbleitervorrichtungen wie zum Beispiel Rippen-Feldeffekttransistoren (finFETs) auf dem Wafer 100 gebildet werden.In some embodiments, the target layer 102 is a semiconductor substrate. The semiconductor substrate may be formed from a semiconductor material such as silicon, silicon germanium, or the like. In some embodiments, the semiconductor substrate is a crystalline semiconductor substrate, such as a crystalline silicon substrate, a crystalline silicon-carbon substrate, a crystalline silicon-germanium substrate, a III-V compound semiconductor substrate, or the like. The semiconductor substrate can be patterned with the SAQP process and the subsequent process steps can be used to form shallow trench isolation (STI) regions in the substrate. Semiconductor fins may protrude between the formed STI regions. Source/drain regions may be formed in the semiconductor fins, and gate dielectric and electrode layers may be formed over channel regions of the fins, thereby forming semiconductor devices such as fin field effect transistors (finFETs) on the wafer 100.

In 1 wird ein Filmstapel gebildet, der die Zielschicht 102 enthält. Die Zielschicht 102 kann auf einer Ätzstoppschicht 104 ausgebildet werden und kann als ein Stopp für einen Ätzprozess dienen, der anschließend auf der Zielschicht 102 ausgeführt wird. Das Material und der Prozess, die verwendet werden, um die Ätzstoppschicht 104 zu bilden, können vom Material der Zielschicht 102 abhängig sein. In einigen Ausführungsformen kann die Ätzstoppschicht 104 aus Siliziumnitrid, SiON, SiCON, SiC, SiOC, SiCxNy, SiOx, anderen Dielektrika, Kombinationen davon oder dergleichen gebildet werden, und kann durch Plasma-verstärktes chemisches Aufdampfen (PECVD), Niederdruck-CVD (LPCVD), Plasmaaufdampfen (PVD) oder dergleichen gebildet werden. Die Ätzstoppschicht 104 ist optional. In Ausführungsformen, wo die Zielschicht 102 ein Halbleitersubstrat ist, das verwendet wird, um finFETs zu bilden, kann die Ätzstoppschicht 104 weggelassen werden.In 1 a film stack containing the target layer 102 is formed. The target layer 102 may be formed on an etch stop layer 104 and may serve as a stop for an etch process subsequently performed on the target layer 102 . The material and process used to form the etch stop layer 104 may depend on the material of the target layer 102 . In some embodiments, the etch stop layer 104 may be formed of silicon nitride, SiON, SiCON, SiC, SiOC, SiC x N y , SiO x , other dielectrics, combinations thereof, or the like, and may be deposited by plasma enhanced chemical vapor deposition (PECVD), low pressure CVD (LPCVD), plasma vapor deposition (PVD), or the like. The etch stop layer 104 is optional. In embodiments where target layer 102 is a semiconductor substrate used to form finFETs, etch stop layer 104 may be omitted.

Der Filmstapel enthält des Weiteren eine Antireflexionsbeschichtung (ARC) 106, die auf der Zielschicht 102 ausgebildet wird. Die ARC 106 unterstützt das Belichten und Fokussieren darüberliegender Photoresistschichten (unten besprochen) während der Strukturierung der Photoresistschichten. In einigen Ausführungsformen kann die ARC 106 aus SiON, Siliziumcarbid, Materialien, die mit Sauerstoff (O) und Stickstoff (N) dotiert sind, oder dergleichen gebildet werden. In einigen Ausführungsformen ist die ARC 106 im Wesentlichen frei von Stickstoff und kann aus einem Oxid gebildet werden. In solchen Ausführungsformen kann die ARC 106 auch als eine Stickstoff-freie ARC (NFARC) bezeichnet werden. Die ARC 106 kann durch Plasma-verstärktes chemisches Aufdampfen (PECVD), Abscheiden von hochdichtem Plasma (HDP) oder dergleichen ausgebildet werden.The film stack also includes an anti-reflective coating (ARC) 106 formed on the target layer 102 . The ARC 106 assists in exposing and focusing overlying photoresist layers (discussed below) during patterning of the photoresist layers. In some embodiments, the ARC 106 may be formed from SiON, silicon carbide, materials doped with oxygen (O) and nitrogen (N), or the like. In some embodiments, the ARC 106 is essentially free of nitrogen and may be formed from an oxide. In such embodiments, the ARC 106 may also be referred to as a nitrogen-free ARC (NFARC). The ARC 106 can be amplified by plasma tes chemical vapor deposition (PECVD), high density plasma deposition (HDP), or the like.

Der Filmstapel enthält des Weiteren eine Hartmaskenschicht 108, die auf der ARC 106 ausgebildet wird. Die Hartmaskenschicht 108 kann aus einem Material gebildet werden, das ein Metall umfasst, wie zum Beispiel Titannitrid, Titan, Tantalnitrid, Tantal oder dergleichen, und kann durch PVD, Hochfrequenz-PVD (RFPVD), Atomschichtabscheidung (ALD) oder dergleichen gebildet werden. Die Hartmaskenschicht 108 kann auch aus einem nichtmetallischen Material gebildet werden, wie zum Beispiel SiN. Das Material der Hartmaskenschicht 108 ist relativ zum gleichen Ätzprozess für die Zielschicht 102 selektiv. In anschließenden Verarbeitungsschritten wird mittels eines SAQP-Prozesses eine Struktur auf der Hartmaskenschicht 108 gebildet. Die Hartmaskenschicht 108 wird dann als eine Ätzmaske verwendet, wobei die Struktur der Hartmaskenschicht 108 zu der Zielschicht 102 übertragen wird.The film stack also includes a hard mask layer 108 that is formed on the ARC 106 . Hard mask layer 108 may be formed from a material comprising a metal, such as titanium nitride, titanium, tantalum nitride, tantalum, or the like, and may be formed by PVD, radio frequency PVD (RFPVD), atomic layer deposition (ALD), or the like. The hard mask layer 108 can also be formed from a non-metallic material, such as SiN. The material of the hard mask layer 108 is selective relative to the same etch process for the target layer 102 . In subsequent processing steps, a structure is formed on the hard mask layer 108 using a SAQP process. The hard mask layer 108 is then used as an etch mask, transferring the pattern of the hard mask layer 108 to the target layer 102 .

Der Filmstapel enthält des Weiteren eine erste dielektrische Hartmaskenschicht 110, die auf der Hartmaskenschicht 108 ausgebildet wird. Die erste dielektrische Hartmaskenschicht 110 kann aus einem Siliziumoxid gebildet werden, wie zum Beispiel Borphosphosilikat-Tetraethylorthosilikat (BPTEOS) oder undotiertem Tetraethylorthosilikat (TEOS)-Oxid, und kann durch CVD, ALD, Aufschleudern oder dergleichen gebildet werden. Die erste dielektrische Hartmaskenschicht 110 dient während des Ätzens der ersten Dornschicht 112 als eine Ätzstoppschicht. In einigen Ausführungsformen dient die erste dielektrische Hartmaskenschicht 110 auch als eine Antireflexionsbeschichtung.The film stack further includes a first dielectric hard mask layer 110 formed on hard mask layer 108 . The first hard mask dielectric layer 110 may be formed of a silicon oxide, such as boron phosphosilicate tetraethyl orthosilicate (BPTEOS) or undoped tetraethyl orthosilicate (TEOS) oxide, and may be formed by CVD, ALD, spin-on, or the like. The first hard mask dielectric layer 110 serves as an etch stop layer during the etching of the first mandrel layer 112 . In some embodiments, the first hard mask dielectric layer 110 also serves as an anti-reflective coating.

Der Filmstapel enthält des Weiteren eine erste Dornschicht 112, die auf der ersten dielektrischen Hartmaskenschicht 110 ausgebildet wird. Die erste Dornschicht 112 kann aus einem Halbleiter gebildet werden, wie zum Beispiel amorphem Silizium oder einem anderen Material, das eine hohe Ätzselektivität mit der darunter liegenden Schicht hat, zum Beispiel mit der ersten dielektrischen Hartmaskenschicht 110.The film stack further includes a first mandrel layer 112 formed on the first hard mask dielectric layer 110 . The first mandrel layer 112 may be formed from a semiconductor, such as amorphous silicon or other material that has a high etch selectivity with the underlying layer, for example the first dielectric hard mask layer 110.

Der Filmstapel enthält des Weiteren eine zweite dielektrische Hartmaskenschicht 114, die auf der ersten Dornschicht 112 ausgebildet wird. Die zweite dielektrische Hartmaskenschicht 114 kann aus einem Material gebildet werden, das aus dem gleichen in Frage kommenden Material der ersten dielektrischen Hartmaskenschicht 110 ausgewählt ist, und kann mittels eines Verfahrens ausgebildet werden, das aus der gleichen Gruppe von in Frage kommenden Verfahren zum Bilden der ersten dielektrischen Hartmaskenschicht 110 ausgewählt ist. Die erste dielektrische Hartmaskenschicht 110 und die zweite dielektrische Hartmaskenschicht 114 können aus dem gleichen Material gebildet werden oder können verschiedene Materialien enthalten. Die zweite dielektrische Hartmaskenschicht 114 wird aus einem Material gebildet, das eine hohe Ätzselektivität mit der ersten Dornschicht 112 besitzt.The film stack further includes a second dielectric hard mask layer 114 formed on the first mandrel layer 112 . The second hard mask dielectric layer 114 may be formed from a material selected from the same candidate material of the first hard mask dielectric layer 110 and may be formed by any method selected from the same group of candidate methods for forming the first dielectric hard mask layer 110 is selected. The first hard mask dielectric layer 110 and the second hard mask dielectric layer 114 may be formed of the same material or may include different materials. The second hard mask dielectric layer 114 is formed from a material that has a high etch selectivity with the first mandrel layer 112 .

Der Filmstapel enthält des Weiteren eine zweite Dornschicht 116, die auf der zweiten dielektrischen Hartmaskenschicht 114 ausgebildet wird. Die zweite Dornschicht 116 kann aus einem Material gebildet werden, das aus dem gleichen in Frage kommenden Material der ersten Dornschicht 112 ausgewählt ist, und kann mittels eines Verfahrens ausgebildet werden, das aus der gleichen Gruppe von in Frage kommenden Verfahren zum Bilden der ersten Dornschicht 112 ausgewählt ist. Die erste Dornschicht 112 und die zweite Dornschicht 116 können aus dem gleichen Material gebildet werden oder können andere Materialien enthalten. Die zweite Dornschicht 116 wird aus einem Material gebildet, das eine hohe Ätzselektivität mit der zweiten dielektrischen Hartmaskenschicht 114 besitzt.The film stack further includes a second mandrel layer 116 formed on the second hard mask dielectric layer 114 . The second mandrel layer 116 may be formed from a material selected from the same candidate material of the first mandrel layer 112 and may be formed by any method selected from the same group of candidate methods for forming the first mandrel layer 112 is selected. The first mandrel layer 112 and the second mandrel layer 116 may be formed from the same material or may include different materials. The second mandrel layer 116 is formed from a material that has a high etch selectivity with the second hard mask dielectric layer 114 .

Des Weiteren wird in 1 ein Dreischicht-Photoresist auf dem Filmstapel gebildet. Der Dreischicht-Photoresist enthält eine untere Schicht 118, eine mittlere Schicht 120 über der unteren Schicht 118, und eine obere Schicht 122 über der mittleren Schicht 120. Die untere Schicht 118 und die obere Schicht 122 können aus Photoresisten gebildet werden, die organische Materialien enthalten. Die untere Schicht 118 kann eine untere Antireflexionsbeschichtung (BARC) sein. Die mittlere Schicht 120 kann aus einem anorganischen Material gebildet werden oder dieses enthalten, das eine Nitrid (wie zum Beispiel Siliziumnitrid), ein Oxynitrid (wie zum Beispiel Siliziumoxynitrid), ein Oxid (wie zum Beispiel Siliziumoxid) oder dergleichen sein kann. Die obere Schicht 122 ist ein lichtempfindliches Material. Die mittlere Schicht 120 besitzt eine hohe Ätzselektivität relativ zu der oberen Schicht 122 und der unteren Schicht 118. Infolge dessen wird die obere Schicht 122 als eine Ätzmaske für die Strukturierung der mittleren Schicht 120 verwendet, und die mittlere Schicht 120 wird als eine Ätzmaske für die Strukturierung der unteren Schicht 118 verwendet.Furthermore, in 1 a three-layer photoresist formed on the film stack. The three layer photoresist includes a bottom layer 118, a middle layer 120 over the bottom layer 118, and a top layer 122 over the middle layer 120. The bottom layer 118 and the top layer 122 can be formed from photoresists containing organic materials . The bottom layer 118 may be a bottom anti-reflective coating (BARC). The middle layer 120 may be formed from or include an inorganic material, which may be a nitride (such as silicon nitride), an oxynitride (such as silicon oxynitride), an oxide (such as silicon oxide), or the like. The top layer 122 is a photosensitive material. The middle layer 120 has a high etch selectivity relative to the top layer 122 and the bottom layer 118. As a result, the top layer 122 is used as an etch mask for the patterning of the middle layer 120, and the middle layer 120 is used as an etch mask for the Structure of the lower layer 118 used.

Die obere Schicht 122 wird mittels einer geeigneten Fotolithografietechnik strukturiert, um Öffnungen 124 darin zu bilden. Die Öffnungen 124 können in einer Draufsicht Streifenformen haben. Der Mittenabstand P1 der Öffnungen 124 in der ersten Region 100A kann ungefähr das Dreifache der Breite W1 der Öffnungen 124 sein. In einer Ausführungsform beträgt der Mittenabstand P1 der Öffnungen 124 ungefähr 108 nm. In der gesamten Beschreibung können die Strukturen der Öffnungen 124 auch als „Leitung-A“-Strukturen bezeichnet werden.Top layer 122 is patterned using a suitable photolithographic technique to form openings 124 therein. The openings 124 may have stripe shapes in a plan view. The pitch P 1 of the openings 124 in the first region 100A may be approximately three times the width W 1 of the openings 124 . In one embodiment, the center-to-center spacing P 1 of the openings 124 is approximately 108 nm. Throughout the description, the structures of the openings 124 may also be referred to as "Line A" structures.

Als ein Beispiel einer Strukturierung der oberen Schicht 122 kann eine (nicht gezeigte) Fotomaske über der oberen Schicht 122 angeordnet werden, die dann mit einem Strahl belichtet werden kann, einschließlich eines Ultraviolett (UV)- oder Excimer-Lasers, wie zum Beispiel ein 248 nm-Strahl von einem Krypton-Fluorid (KrF)-Excimer-Laser, ein 193 nm-Strahl von einem Argon-Fluorid (ArF)-Excimer-Laser oder ein 157 nm-Strahl von einem F2-Excimer-Laser. Die Belichtung der obersten Photoresistschicht kann mittels eines Immersionslithografiesystems ausgeführt werden, um die Auflösung zu erhöhen und den kleinsten erreichbaren Mittenabstand zu verkürzen. Eine Brenn- oder Aushärtungsoperation kann ausgeführt werden, um die obere Schicht 122 zu härten, und ein Entwickler kann verwendet werden, um entweder die belichteten oder die nicht-belichteten Abschnitte der oberen Schicht 122 in Abhängigkeit davon zu entfernen, ob ein positiver oder ein negativer Resist verwendet wird.As an example of patterning top layer 122, a photomask (not shown) may be placed over top layer 122, which may then be exposed to a beam, including an ultraviolet (UV) or excimer laser, such as a 248 nm beam from a krypton fluoride (KrF) excimer laser, a 193 nm beam from an argon fluoride (ArF) excimer laser, or a 157 nm beam from a F2 excimer laser. Exposure of the top photoresist layer can be performed using an immersion lithography system to increase resolution and shorten the minimum pitch achievable. A baking or baking operation can be performed to harden the top layer 122, and a developer can be used to remove either the exposed or unexposed portions of the top layer 122 depending on whether positive or negative resist is used.

Nach der Strukturierung der oberen Schicht 122 wird die Struktur der oberen Schicht 122 zu der mittleren Schicht 120 in einem Ätzprozess übertragen. Der Ätzprozess ist anisotrop, so dass die Öffnungen 124 in der oberen Schicht 122 durch die mittlere Schicht 120 hindurch verlängert werden und ungefähr die gleichen Größen in der mittleren Schicht 120 haben, die sie in der oberen Schicht 122 haben.After patterning the top layer 122, the pattern of the top layer 122 is transferred to the middle layer 120 in an etching process. The etch process is anisotropic such that the openings 124 in the top layer 122 are extended through the middle layer 120 and are approximately the same sizes in the middle layer 120 as they are in the top layer 122 .

In 2 wird ein Trimmprozess ausgeführt, um die Öffnungen 124 in der mittleren Schicht 120 zu vergrößern. In einer Ausführungsform ist der Trimmprozess ein anisotroper Plasmaätzprozess mit Prozessgasen wie O2, CO2, N2/H2, H2, dergleichen, einer Kombination davon oder sonstigen anderen Gasen, die zum Trimmen der mittleren Schicht 120 geeignet sind. Das Trimmen kann die Breite W1 der Öffnungen 124 vergrößern und die Breite W2 der Abschnitte der mittleren Schicht 120 zwischen den Öffnungen 124 verkleinern. Nach dem Trimmen kann das Verhältnis der Breite W1 zu der Breite W2 in der ersten Region 100A ungefähr 5:3 betragen. In einer Ausführungsform beträgt die Breite W1 der Öffnungen 124 in der ersten Region 100A nach dem Trimmen ungefähr 67,5 nm, und die Breite W2 der Abschnitte der mittleren Schicht 120 in der ersten Region 100A beträgt ungefähr 40,5 nm. Nach dem Trimmprozess wird ein erster Dornätzprozess ausgeführt, um die Struktur der mittleren Schicht 120 zu der unteren Schicht 118 zu übertragen, wodurch die Öffnungen 124 durch die untere Schicht 118 hindurch verlängert werden.In 2 a trimming process is performed to enlarge the openings 124 in the middle layer 120 . In one embodiment, the trimming process is an anisotropic plasma etch process with process gases such as O 2 , CO 2 , N 2 /H 2 , H 2 , the like, a combination thereof, or any other gases suitable for trimming the middle layer 120 . The trimming may increase the width W 1 of the openings 124 and decrease the width W 2 of the portions of the middle layer 120 between the openings 124 . After trimming, the ratio of the width W 1 to the width W2 in the first region 100A about 5: 3. In one embodiment, the width W of the openings 1 124 nm in the first region 100A after trimming about 67.5, and the width W2 of the portions of the middle layer 120 in the first region 100A about 40.5 nm. After the trimming process a first mandrel etch process is performed to transfer the structure of the middle layer 120 to the bottom layer 118 , thereby extending the openings 124 through the bottom layer 118 .

In 3 wird der erste Dornätzprozess fortgesetzt, um die Struktur der unteren Schicht 118 zu der zweiten Dornschicht 116 zu übertragen. Während des ersten Dornätzprozesses können die obere Schicht 122, die mittlere Schicht 120 und die untere Schicht 118 aufgezehrt werden. In einigen Ausführungsformen kann ein Ashing-Prozess ausgeführt werden, um übrige Rückstände der unteren Schicht 118 zu entfernen. Die übrigen Abschnitte der zweiten Dornschicht 116 werden im vorliegenden Text als zweite Zwischendorne 116 bezeichnet. Der erste Dornätzprozess ist ein anisotropes Ätzen, so dass die Breite der Öffnungen 124 ungefähr gleich der Breite W1 ist und die Breite der zweiten Zwischendorne 116 zwischen den Öffnungen 124 ungefähr gleich der Breite W2 ist.In 3 the first mandrel etch process is continued to transfer the structure of the bottom layer 118 to the second mandrel layer 116 . During the first mandrel etch process, top layer 122, middle layer 120, and bottom layer 118 may be consumed. In some embodiments, an ashing process may be performed to remove residual bottom layer 118 residue. The remaining portions of the second mandrel layer 116 are referred to herein as second intermediate mandrels 116 . The first Dornätzprozess is an anisotropic etching so that the width of openings 124 is approximately equal to the width W 1 and the width of the second intermediate mandrels about between the openings 124 equal to the width W2 is the 116th

In 4 wird eine erste Abstandshalterschicht 126 konformal über dem Wafer 100 ausgebildet. Nach der Ausbildung erstreckt sich die erste Abstandshalterschicht 126 entlang Oberseiten und Seitenwänden der zweiten Zwischendorne 116 und Oberseiten der zweiten dielektrischen Hartmaskenschicht 114 in den Öffnungen 124. Das Material der ersten Abstandshalterschicht 126 wird so ausgewählt, dass es eine hohe Ätzselektivität mit der zweiten dielektrischen Hartmaskenschicht 114 besitzt. Die erste Abstandshalterschicht 126 kann aus AlO, AlN, AlON, TaN, Zinn, TiO, Si, SiO, SiN, Metallen, Metall-Legierungen und dergleichen gebildet werden und kann mittels jedes geeigneten Prozesses abgeschieden werden, wie zum Beispiel ALD, CVD oder dergleichen.In 4 a first spacer layer 126 is conformally formed over the wafer 100 . After formation, the first spacer layer 126 extends along tops and sidewalls of the second intermediate mandrels 116 and tops of the second hardmask dielectric layer 114 in the openings 124. The material of the first spacer layer 126 is selected to have a high etch selectivity with the second hardmask dielectric layer 114 owns. The first spacer layer 126 can be formed from AlO, AlN, AlON, TaN, tin, TiO, Si, SiO, SiN, metals, metal alloys, and the like, and can be deposited using any suitable process, such as ALD, CVD, or the like .

Wie in 4 gezeigt, wird die erste Abstandshalterschicht 126 als eine konformale Schicht gebildet, wobei die Dicke T1 ihrer horizontalen Abschnitte und die Dicke T2 ihrer vertikalen Abschnitte nahe beieinander liegen, wobei zum Beispiel die Dicken T1 und T2 innerhalb von 20 Prozent voneinander liegen. Die erste Abstandshalterschicht 126 wird so gebildet, dass sie eine solche Dicke T2 aufweist, dass die Breite zwischen den Seiten der ersten Abstandshalterschicht 126 in den Öffnungen 124 ungefähr gleich der Breite W2 der zweiten Zwischendorne 116 ist. Oder anders ausgedrückt: Die Distanzen zwischen jedem der vertikalen Abschnitte der ersten Abstandshalterschicht 126 sind ungefähr gleich. In einer Ausführungsform wird die erste Abstandshalterschicht 126 so gebildet, dass sie Dicken T1 und T2 von etwa 13,5 nm hat, und als solches beträgt die Breite W2 zwischen jedem vertikalen Abschnitt ungefähr 40,5 nm.As in 4 shown, the first spacer layer 126 is formed as a conformal layer, wherein the thickness T 1 of their horizontal portions and the thickness T2 of its vertical portions close to each other, wherein, for example, the thicknesses T 1 and T 2 of each other, within 20 percent. The first spacer layer 126 is formed to have a thickness T2 such that the width between the sides of the first spacer layer 126 in the openings 124 is approximately equal to the width W2 of the second intermediate mandrels 116 . In other words, the distances between each of the vertical sections of the first spacer layer 126 are approximately equal. In one embodiment, the first spacer layer 126 is formed so as to thicknesses T1 and T2 of about 13.5 nm is, and as such, the width W2 is between each vertical portion is approximately 40.5 nm.

In 5 wird ein Ätzprozess ausgeführt, um die horizontalen Abschnitte der ersten Abstandshalterschicht 126 zu entfernen. Die vertikalen Abschnitte der ersten Abstandshalterschicht 126 verbleiben nach dem Ätzen und werden im Weiteren als erste Abstandshalter 128 bezeichnet. Der Ätzprozess ist anisotrop, so dass sich die Dicke der ersten Abstandshalter 128 um keinen nennenswerten Betrag ändert und ungefähr gleich der Dicke T2 ist.In 5 an etch process is performed to remove the horizontal portions of the first spacer layer 126 . The vertical portions of the first spacer layer 126 remain after the etch and are referred to as the first spacers 128 hereinafter. The etching process is anisotropic such that the thickness of the first spacers 128 does not change by an appreciable amount and is approximately equal to the thickness T2.

In 6 wird ein Dreischicht-Photoresist auf der in 5 gezeigten Vorrichtung gebildet. Der Dreischicht-Photoresist enthält eine untere Schicht 130, eine mittlere Schicht 132 über der unteren Schicht 130 und eine obere Schicht 134 über der mittleren Schicht 132. Das Material der unteren Schicht 130, der mittleren Schicht 132 und der oberen Schicht 134 kann aus den gleichen in Frage kommenden Materialien der unteren Schicht 118, der mittleren Schicht 120 bzw. der oberen Schicht 122 ausgewählt werden, die in 1 gezeigt sind.In 6 a three-layer photoresist is applied on the in 5 device shown formed. The three layer photoresist includes a bottom layer 130, a middle layer 132 over the bottom layer 130 and a top layer 134 over the middle layer 132. The material of the bottom layer 130, the middle layer 132 and the top layer 134 can be the same eligible materials of the lower layer 118, the middle layer 120 and the upper layer 122 are selected, which are 1 are shown.

Die obere Schicht 134 wird mittels einer geeigneten Fotolithografietechnik strukturiert, um Öffnungen 136 darin zu bilden. Die Öffnungen 136 werden über den zweiten Zwischendornen 116 gebildet. Abschnitte der zweiten Zwischendorne 116, die durch die Struktur der Öffnungen 136 belichtet wurden, werden in einem anschließendem Ätzprozess (unten beschrieben) entfernt. In einer Ausführungsform werden die Öffnungen 136 nicht über allen der zweiten Zwischendorne 116 ausgebildet. Zum Beispiel werden sie über einer Teilmenge der zweiten Zwischendorne 116 gebildet. Die Öffnungen 136 können so ausgebildet werden, dass übrige Abschnitte der oberen Schicht 134 in der ersten Region 100A eine Breite W3 haben, die größer ist als die Breite W2 und kleiner als die Breite W1. Insbesondere kann die Breite W3 größer sein als die Summe der Breite W2 und der Dicke T2, so dass die übrigen Abschnitte der oberen Schicht 134 über den Öffnungen 124 und mindestens einer Hälfte jedes ersten Abstandshalters 128 liegen. In der Ausführungsform, wo die Breite W1 ungefähr 67,5 nm beträgt, die Breite W2 ungefähr 40,5 nm beträgt und die Dicke T2 ungefähr 13,5 nm beträgt, kann die Breite W3 in der ersten Region 100A ungefähr 60 nm betragen (zum Beispiel größer als 54 nm und weniger als 67,5 nm).Top layer 134 is patterned using a suitable photolithographic technique to form openings 136 therein. The openings 136 are formed over the second intermediate mandrels 116 . Portions of the second intermediate mandrels 116 exposed through the structure of the openings 136 are removed in a subsequent etch process (described below). In one embodiment, the openings 136 are not formed over all of the second intermediate mandrels 116 . For example, they are formed over a subset of the second intermediate mandrels 116 . The openings 136 may be formed such that remaining portions of the top layer 134 in the first region 100A have a width W 3 that is greater than the width W2 and less than the width W 1 . In particular, the width W 3 may be greater than the sum of the width W 2 and the thickness T 2 such that the remaining portions of the top layer 134 overlie the openings 124 and at least one half of each first spacer 128 . In the embodiment where the width W 1 is approximately 67.5 nm, the width W2 is approximately 40.5 nm and the thickness T2 is approximately 13.5 nm, the width W 3 in the first region 100A may be approximately 60 nm (e.g. greater than 54 nm and less than 67.5 nm).

In 7 wird ein zweiter Dornätzprozess ausgeführt, um die Struktur der oberen Schicht 134 zu der mittleren Schicht 132 und der unteren Schicht 130 zu übertragen, wodurch die Öffnungen 136 durch die untere Schicht 130 hindurch verlängert werden, wodurch die zweiten Zwischendorne 116 und die ersten Abstandshalter 128 freigelegt werden. Der zweite Dornätzprozess kann ein anisotropes Ätzen sein.In 7 a second mandrel etch process is performed to transfer the structure of the top layer 134 to the middle layer 132 and the bottom layer 130, thereby extending the openings 136 through the bottom layer 130, thereby exposing the second intermediate mandrels 116 and the first spacers 128 will. The second mandrel etch process may be an anisotropic etch.

In 8 wird der zweite Dornätzprozess fortgesetzt, um Abschnitte der zweiten Zwischendorne 116 zu entfernen, die durch die Öffnungen 136, die sich durch die untere Schicht 130 erstrecken, freigelegt werden. Während des zweiten Dornätzprozesses können die obere Schicht 134, die mittlere Schicht 132 und die untere Schicht 130 aufgezehrt werden. In einigen Ausführungsformen kann ein Ashing-Prozess ausgeführt werden, um übrige Rückstände der unteren Schicht 130 zu entfernen. In der gesamten Beschreibung können die Strukturen der Öffnungen 136 auch als „Leitung-B“-Strukturen bezeichnet werden. In einer Ausführungsform legt die Struktur der unteren Schicht 130 alle der zweiten Zwischendorne 116 in der ersten Region 100A frei, dergestalt, dass nach dem zweiten Ätzen jeder der ersten Abstandshalter 128 in der ersten Region 100A ohne Dorne dazwischen verbleibt. Des Weiteren braucht in einigen Ausführungsformen die Struktur der unteren Schicht 130 einige der zweiten Zwischendorne 116 in der zweiten Region 100B nur teilweise freizulegen.In 8th the second mandrel etch process is continued to remove portions of the second intermediate mandrels 116 exposed through the openings 136 extending through the bottom layer 130. FIG. During the second mandrel etch process, top layer 134, middle layer 132, and bottom layer 130 may be consumed. In some embodiments, an ashing process may be performed to remove residual bottom layer 130 residue. Throughout the specification, the patterns of the openings 136 may also be referred to as "line B" patterns. In one embodiment, the structure of the bottom layer 130 exposes all of the second intermediate mandrels 116 in the first region 100A such that after the second etch each of the first spacers 128 remains in the first region 100A with no mandrels therebetween. Furthermore, in some embodiments, the structure of the bottom layer 130 need only partially expose some of the second intermediate mandrels 116 in the second region 100B.

In 9 werden die ersten Abstandshalter 128 und die übrigen Abschnitte der zweiten Zwischendorne 116 in Kombination als eine Ätzmaske zum Ätzen der zweiten dielektrischen Hartmaskenschicht 114 und der ersten Dornschicht 112 in einem dritten Dornätzprozess verwendet. Die Öffnungen 124 und die Öffnungen 136 erstrecken sich in die erste Dornschicht 112 und die zweite dielektrische Hartmaskenschicht 114 und entfernen Abschnitte davon. Die übrigen Abschnitte der ersten Dornschicht 112 werden im vorliegenden Text als erste Zwischendorne 112 bezeichnet, und die übrigen Abschnitte der zweiten dielektrischen Hartmaskenschicht 114 werden im vorliegenden Text als zweite Dielektrikumkappen 114 bezeichnet. In dem dritten Dornätzprozess können die ersten Abstandshalter 128 und die übrigen Abschnitte der zweiten Zwischendorne 116 gegebenenfalls vollständig aufgezehrt werden. Der dritte Dornätzprozess kann anisotrop sein. Nach dem Ätzen kann der Mittenabstand P2 der ersten Dornschicht 112 in der ersten Region 100A ungefähr gleich einer Hälfte des Mittenabstandes P1 betragen (siehe zum Beispiel 1).In 9 For example, the first spacers 128 and the remaining portions of the second intermediate mandrels 116 are used in combination as an etch mask to etch the second hard mask dielectric layer 114 and the first mandrel layer 112 in a third mandrel etch process. Openings 124 and openings 136 extend into first mandrel layer 112 and second dielectric hardmask layer 114 and remove portions thereof. The remaining portions of the first mandrel layer 112 are referred to herein as first intermediate mandrels 112 and the remaining portions of the second hardmask dielectric layer 114 are referred to herein as second dielectric caps 114 . In the third mandrel etching process, the first spacers 128 and the remaining portions of the second intermediate mandrels 116 may be completely consumed. The third mandrel etch process can be anisotropic. After the etch, the pitch P2 of the first mandrel layer 112 in the first region 100A may be approximately equal to one-half the pitch P1 (see, for example 1 ).

In 10 wird eine zweite Abstandshalterschicht 138 konformal über dem Wafer 100 ausgebildet. Nach der Ausbildung erstreckt sich die zweite Abstandshalterschicht 138 entlang Oberseiten und Seitenwänden der zweiten Dielektrikumkappen 114, Seitenwänden der ersten Zwischendorne 112 und Oberseiten der ersten dielektrischen Hartmaskenschicht 110 in den Öffnungen 124 und 136. Das Material der zweiten Abstandshalterschicht 138 wird so ausgewählt, dass es eine hohe Ätzselektivität mit der ersten dielektrischen Hartmaskenschicht 110 besitzt. Die zweiten Abstandshalterschicht 138 kann aus einem Material gebildet werden, das aus dem gleichen in Frage kommenden Material der ersten Abstandshalterschicht 126 ausgewählt ist, und kann mittels eines Verfahrens ausgebildet werden, das aus der gleichen Gruppe von in Frage kommenden Verfahren zum Bilden der ersten Abstandshalterschicht 126 ausgewählt ist. Die erste Abstandshalterschicht 126 und die zweiten Abstandshalterschicht 138 können aus dem gleichen Material gebildet werden oder können andere Materialien enthalten.In 10 a second spacer layer 138 is conformally formed over the wafer 100 . After formation, the second spacer layer 138 extends along tops and sidewalls of the second dielectric caps 114, sidewalls of the first intermediate mandrels 112, and tops of the first dielectric hardmask layer 110 in the openings 124 and 136. The material of the second spacer layer 138 is selected to have a has high etch selectivity with the first hard mask dielectric layer 110 . The second spacer layer 138 can be formed from a material selected from the same candidate material of the first spacer layer 126 and can be formed using a method selected from the same group of candidate methods for forming the first spacer layer 126 is selected. The first spacer layer 126 and the second spacer layer 138 may be formed from the same material or may include different materials.

In 11 wird ein Ätzprozess ausgeführt, um die horizontalen Abschnitte der zweiten Abstandshalterschicht 138 zu entfernen. Die vertikalen Abschnitte der zweiten Abstandshalterschicht 138, die nach dem Ätzen verbleiben, werden im Weiteren als zweite Abstandshalter 140 bezeichnet. Der Ätzprozess kann auch einige der vertikalen Abschnitte der zweiten Abstandshalterschicht 138 entfernen. Zum Beispiel können die vertikalen Abschnitte der zweiten Abstandshalterschicht 138, die sich entlang den Seitenwänden der zweiten Dielektrikumkappen 114 erstrecken, ausgespart werden. Der Ätzprozess ist anisotrop, so dass sich die Dicke des ersten Abstandshalters 128 um keinen nennenswerten Betrag ändert.In 11 an etch process is performed to remove the horizontal portions of the second spacer layer 138 . The vertical portions of the second spacer layer 138 that remain after the etch are referred to as second spacers 140 hereinafter. The etch process may also remove some of the vertical portions of the second spacer layer 138 . For example, the vertical portions of the second spacer layer 138 that extend along the sidewalls of the second dielectric caps 114 may be recessed. The etch process is anisotropic such that the thickness of the first spacer 128 does not change by an appreciable amount.

In 12 wird ein Dreischicht-Photoresist auf der in 11 gezeigten Vorrichtung gebildet. Der Dreischicht-Photoresist enthält eine untere Schicht 142, eine mittlere Schicht 144 über der unteren Schicht 142 und eine oberen Schicht 146 über der mittleren Schicht 144. Das Material der unteren Schicht 142, der mittleren Schicht 144 und der oberen Schicht 146 kann aus dem gleichen in Frage kommenden Materialien der unteren Schicht 118, der mittleren Schicht 120 bzw. der oberen Schicht 122 ausgewählt werden, wie in 1 gezeigten. Aufgrund von Variationen bei der Dichte der darunter liegenden Strukturelemente können Abschnitte des Dreischicht-Photoresists, wie zum Beispiel die untere Schicht 142, über der zweiten Region 100B mit einer größeren Dicke ausgebildet werden als die erste Region 100A. Insbesondere kann die Dicke über der ersten Region 100A geringer sein als die zweite Region 100B, weil die Strukturelemente in der ersten Region 100A dichter gebildet werden.In 12 a three-layer photoresist is applied on the in 11 device shown formed. The three layer photoresist includes a bottom layer 142, a middle layer 144 over the bottom layer 142, and a top layer 146 over the middle layer 144. The material of the bottom layer 142, the middle layer 144 and the top layer 146 can be the same candidate materials of the lower layer 118, the middle layer 120 and the upper layer 122 are selected, as in 1 shown. Due to variations in the density of underlying features, portions of the three-layer photoresist, such as bottom layer 142, may be formed over second region 100B to a greater thickness than first region 100A. In particular, the thickness over the first region 100A may be less than the second region 100B because the features are more densely formed in the first region 100A.

Die obere Schicht 146 wird mittels einer geeigneten Fotolithografietechnik strukturiert, um Öffnungen 148 darin zu bilden. Die Öffnungen 148 werden über den zweiten Abstandshaltern 140, den ersten Zwischendornen 112 und den zweiten Dielektrikumkappen 114 gebildet. In einer Ausführungsform werden die Öffnungen 148 nicht über allen der zweiten Abstandshalter 140 ausgebildet. Zum Beispiel werden sie über einer Teilmenge der zweiten Abstandshalter 140 und der ersten Zwischendorne 112 gebildet.Top layer 146 is patterned using a suitable photolithographic technique to form openings 148 therein. The openings 148 are formed over the second spacers 140, the first intermediate mandrels 112, and the second dielectric caps 114. FIG. In one embodiment, the openings 148 are not formed over all of the second spacers 140 . For example, they are formed over a subset of the second spacers 140 and the first intermediate mandrels 112 .

In 13 wird ein vierter Dornätzprozess ausgeführt, um die Struktur der oberen Schicht 146 zu der mittleren Schicht 144 und der unteren Schicht 142 zu übertragen, wodurch die Öffnungen 148 durch die untere Schicht 142 hindurch verlängert werden, wodurch die ersten Zwischendorne 112 und die zweiten Abstandshalter 140 freigelegt werden. Der vierte Dornätzprozess kann ein anisotropes Ätzen sein.In 13 A fourth mandrel etch process is performed to transfer the structure of top layer 146 to middle layer 144 and bottom layer 142, thereby extending openings 148 through bottom layer 142, exposing first intermediate mandrels 112 and second spacers 140 will. The fourth mandrel etch process may be an anisotropic etch.

14A bis 22B veranschaulichen perspektivische Ansichten und Querschnittsansichten weiterer Zwischenstufen in der Ausbildung der Strukturelemente in der Zielschicht gemäß einigen beispielhaften Ausführungsformen. In den 14A bis 22B sind Figuren, die mit einer „A“-Benennung enden, perspektivische Ansichten des Wafers 100, und Figuren, die mit einer „B“-Benennung enden, sind Querschnittsansichten entlang einer Linie B-B in der entsprechenden perspektivischen Ansicht. 14A until 22B 12 illustrate perspective views and cross-sectional views of further intermediate stages in the formation of the features in the target layer, according to some example embodiments. In the 14A until 22B Figures ending in an “A” designation are perspective views of wafer 100, and figures ending in a “B” designation are cross-sectional views taken along line BB in the corresponding perspective view.

In den 14A und 14B wird der vierte Dornätzprozess fortgesetzt, um Abschnitte der ersten Zwischendorne 112 und der zweiten Dielektrikumkappen 114 zu entfernen, die durch die Öffnungen 148 hindurch freigelegt werden, die sich durch die untere Schicht 142 hindurch erstrecken. Während des vierten Dornätzprozesses können die obere Schicht 146, die mittlere Schicht 144 und die untere Schicht 142 aufgezehrt werden. In einigen Ausführungsformen kann ein Ashing-Prozess ausgeführt werden, um übrige Rückstände der unteren Schicht 142 zu entfernen. In der gesamten Beschreibung können die Strukturen der Öffnungen 148 auch als „Leitung-C“-Strukturen bezeichnet werden. Die Leitung-C-Strukturen werden zwischen den Leitung-A- und den Leitung-B-Strukturen (in 14B gekennzeichnet) gebildet. In einer Ausführungsform legt die Struktur der unteren Schicht 142 alle der ersten Zwischendorne 112 in der ersten Region 100A frei, dergestalt, dass nach dem zweiten Ätzen jeder der zweiten Abstandshalter 140 in der ersten Region 100A ohne Dorne dazwischen verbleibt. Des Weiteren braucht in einigen Ausführungsformen die Struktur der unteren Schicht 142 einige der ersten Zwischendorne 112 nur teilweise freizulegen. Nach dem Ätzen kann der Mittenabstand P3 der zweiten Abstandshalter 140 in der ersten Region 100A ungefähr gleich einem Viertel des Mittenabstands P1 sein (siehe zum Beispiel 1).In the 14A and 14B the fourth mandrel etch process continues to remove portions of the first intermediate mandrels 112 and the second dielectric caps 114 that are exposed through the openings 148 that extend through the bottom layer 142 . During the fourth mandrel etch process, top layer 146, middle layer 144, and bottom layer 142 may be consumed. In some embodiments, an ashing process may be performed to remove residual bottom layer 142 residue. Throughout the specification, the patterns of the openings 148 may also be referred to as "line C" patterns. The line C structures are placed between the line A and line B structures (in 14B marked) formed. In one embodiment, the bottom layer structure 142 exposes all of the first intermediate mandrels 112 in the first region 100A such that after the second etch each of the second spacers 140 remains in the first region 100A with no mandrels therebetween. Furthermore, in some embodiments, the structure of the bottom layer 142 need only partially expose some of the first intermediate mandrels 112 . After the etch, the pitch P3 of the second spacers 140 in the first region 100A may be approximately equal to a quarter of the pitch P1 (see, for example 1 ).

In den 15A und 15B wird eine Photoresiststruktur auf den in den 14A und 14B gezeigten Vorrichtungen gebildet. Die Photoresiststruktur enthält eine untere Schicht 150 und mittlere Schichten 152. Die untere Schicht 150 kann aus einem Material gebildet werden, das aus dem gleichen in Frage kommenden Material der unteren Schicht 118 ausgewählt ist, und kann mittels eines Verfahrens ausgebildet werden, das aus der gleichen Gruppe von in Frage kommenden Verfahren zum Bilden der unteren Schicht 118 ausgewählt ist.In the 15A and 15B is a photoresist pattern on the in the 14A and 14B devices shown formed. The photoresist pattern includes a bottom layer 150 and middle layers 152. The bottom layer 150 can be formed from a material selected from the same candidate material of the bottom layer 118 and can be formed by a process consisting of the same group of candidate methods for forming bottom layer 118 is selected.

Die mittleren Schichten 152 enthalten eine erste Schicht 152A und eine zweite Schicht 152B. Die erste Schicht 152A ist eine Ätzstoppschicht und kann aus einem Material gebildet werden, das aus dem gleichen in Frage kommenden Material der mittleren Schicht 120 ausgewählt ist, und kann mittels eines Verfahrens ausgebildet werden, das aus der gleichen Gruppe von in Frage kommenden Verfahren zum Bilden der mittleren Schicht 120 ausgewählt ist.The middle layers 152 include a first layer 152A and a second layer 152B. The first layer 152A is an etch stop layer and may be formed from a material selected from the same candidate material of the middle layer 120 and may be formed by a method selected from FIG same group of candidate methods for forming middle layer 120 is selected.

Die zweite Schicht 152B ist eine Dielektrikumschicht, die eine hohe Ätzselektivität relativ zu der ersten Schicht 152A besitzt. Die zweite Schicht 152B kann zum Beispiel ein Oxid (wie zum Beispiel Siliziumoxid) sein und kann mittels eines geeigneten Abscheidungsprozesses ausgebildet werden. In einer Ausführungsform ist die zweite Schicht 152B ein Oxid, das mittels eines Niedrigtemperatur-CVD-Prozesses ausgebildet wird. Der Niedrigtemperatur-CVD-Prozess kann bei einer Temperatur von weniger als etwa 400°C ausgeführt werden. In einer Ausführungsform können die zweite Schicht 152B und die erste Schicht 152A eine Ätzselektivität größer als 3,0 relativ zum gleichen Ätzprozess haben. Die zweite Schicht 152B kann so ausgebildet werden, dass sie eine Dicke zwischen etwa 10 nm und etwa 30 nm hat.The second layer 152B is a dielectric layer that has a high etch selectivity relative to the first layer 152A. The second layer 152B can be, for example, an oxide (such as silicon oxide) and can be formed using a suitable deposition process. In one embodiment, the second layer 152B is an oxide formed using a low temperature CVD process. The low temperature CVD process can be performed at a temperature less than about 400°C. In an embodiment, the second layer 152B and the first layer 152A may have an etch selectivity greater than 3.0 relative to the same etch process. The second layer 152B can be formed to have a thickness between about 10 nm and about 30 nm.

Des Weiteren wird in den 15A und 15B ein Dreischicht-Photoresist, der eine untere Schicht 154, eine mittlere Schicht 156 und eine oberen Schicht 158 enthält, auf der Photoresiststruktur gebildet. Die untere Schicht 154, die mittlere Schicht 156 und die obere Schicht 158 können aus einem Material gebildet werden, das aus dem gleichen in Frage kommenden Material der unteren Schicht 118, der mittleren Schicht 120 bzw. der oberen Schicht 122 ausgewählt ist, und kann mittels eines Verfahrens ausgebildet werden, das aus der gleichen Gruppe von in Frage kommenden Verfahren zum Bilden der unteren Schicht 118, der mittleren Schicht 120 bzw. der oberen Schicht 122 ausgewählt ist.Furthermore, in the 15A and 15B a three layer photoresist containing a bottom layer 154, a middle layer 156 and a top layer 158 is formed on the photoresist pattern. The bottom layer 154, the middle layer 156 and the top layer 158 can be formed from a material selected from the same candidate material of the bottom layer 118, the middle layer 120 and the top layer 122, and can be formed by means a method selected from the same group of candidate methods for forming bottom layer 118, middle layer 120 and top layer 122, respectively.

Die obere Schicht 158 wird mittels einer geeigneten Fotolithografietechnik strukturiert, um erste Maskenöffnungen 160 darin zu bilden. Die Struktur der ersten Maskenöffnungen 160 entspricht Schnitten, die entlang der Leitung-A-, Leitung-B- und Leitung-C-Strukturen in anschließenden Verarbeitungsschritten hergestellt werden. Die ersten Maskenöffnungen 160 können über verschiedenen Leitungen ausgebildet werden, zum Beispiel über der ersten dielektrischen Hartmaskenschicht 110 und zwischen jeweiligen Paaren der zweiten Abstandshalter 140, und können so ausgebildet werden, dass sie Breiten W4 haben, die geringfügig größer sind als die Distanzen zwischen den zweiten Abstandshaltern 140. Jede der Öffnungen 160 hat ungefähr die gleiche Größe (Breite W4). Zum Beispiel sind die Breiten W4 der ersten Maskenöffnungen 160 breiter als die Distanzen zwischen inneren Seitenwänden benachbarter zweiter Abstandshalter 140, aber nicht breiter als die Distanz zwischen äußeren Seitenwänden benachbarter zweiter Abstandshalter 140. Vorteilhafterweise kreuzen die ersten Maskenöffnungen 160 keine Leitungen, was die Defekte verringern kann, die dadurch entstehen, dass versehentlich mehrere Leitungen maskiert und Leitungsstrukturen fusioniert werden. Die ersten Maskenöffnungen 160 können an verschiedenen Positionen entlang von Längsachsen der verschiedenen Leitungen ausgebildet werden.The top layer 158 is patterned using a suitable photolithographic technique to form first mask openings 160 therein. The pattern of the first mask openings 160 corresponds to cuts made along the line-A, line-B, and line-C patterns in subsequent processing steps. The first mask openings 160 can be formed over various lines, for example over the first hardmask dielectric layer 110 and between respective pairs of the second spacers 140, and can be formed to have widths W 4 that are slightly larger than the distances between the second spacers 140. Each of the openings 160 is approximately the same size (width W 4 ). For example, the widths W 4 of the first mask openings 160 are wider than the distances between inner sidewalls of adjacent second spacers 140, but no wider than the distance between outer sidewalls of adjacent second spacers 140. Advantageously, the first mask openings 160 do not cross lines, which reduces defects that can arise because several lines are accidentally masked and line structures are merged. The first mask openings 160 can be formed at different positions along longitudinal axes of the different lines.

In den 16A und 16B wird ein erster Hartmasken-Ätzprozess ausgeführt, um die Struktur der oberen Schicht 158 zu der mittleren Schicht 156, der unteren Schicht 154 und dann der zweiten Schicht 152B zu übertragen. Die ersten Maskenöffnungen 160 werden dadurch zu der zweiten Schicht 152B übertragen. Wie oben angemerkt, besitzen die erste Schicht 152A und die zweite Schicht 152B eine hohe Ätzselektivität. Insofern dient die erste Schicht 152A als eine Ätzstoppschicht, und die Struktur der ersten Maskenöffnungen 160 wird nicht zu der ersten Schicht 152A übertragen. Der erste Hartmasken-Ätzprozess kann ein anisotropes Ätzen sein. Während des ersten Hartmasken-Ätzprozesses können die untere Schicht 154, die mittlere Schicht 156 und die obere Schicht 158 aufgezehrt werden. In einigen Ausführungsformen kann ein Ashing-Prozess ausgeführt werden, um übrige Rückstände der unteren Schicht 154 zu entfernen.In the 16A and 16B A first hard mask etch process is performed to transfer the pattern of top layer 158 to middle layer 156, bottom layer 154, and then second layer 152B. The first mask openings 160 are thereby transferred to the second layer 152B. As noted above, the first layer 152A and the second layer 152B have a high etch selectivity. As such, the first layer 152A serves as an etch stop layer, and the pattern of the first mask openings 160 is not transferred to the first layer 152A. The first hard mask etch process may be an anisotropic etch. During the first hard mask etch process, bottom layer 154, middle layer 156, and top layer 158 may be consumed. In some embodiments, an ashing process may be performed to remove residual bottom layer 154 residue.

In den 17A und 17B wird ein Dreischicht-Photoresist, der eine untere Schicht 162, eine mittlere Schicht 164 und eine oberen Schicht 166 enthält, auf der Photoresiststruktur gebildet. Die untere Schicht 162, die mittlere Schicht 164 und die obere Schicht 166 können aus einem Material gebildet werden, das aus dem gleichen in Frage kommenden Material der unteren Schicht 118, der mittleren Schicht 120 bzw. der oberen Schicht 122 ausgewählt ist, und können mittels eines Verfahrens ausgebildet werden, das aus der gleichen Gruppe von in Frage kommenden Verfahren zum Bilden der unteren Schicht 118, der mittleren Schicht 120 bzw. der oberen Schicht 122 ausgewählt ist.In the 17A and 17B a three layer photoresist containing a bottom layer 162, a middle layer 164 and a top layer 166 is formed on the photoresist pattern. The bottom layer 162, the middle layer 164 and the top layer 166 can be formed from a material selected from the same candidate material of the bottom layer 118, the middle layer 120 and the top layer 122, and can be formed by means a method selected from the same group of candidate methods for forming bottom layer 118, middle layer 120 and top layer 122, respectively.

Die obere Schicht 166 wird mittels einer geeigneten Fotolithografietechnik strukturiert, um zweite Maskenöffnungen 168 darin zu bilden. Die zweiten Maskenöffnungen 168 haben eine ähnliche Breite W4 wie die Breite W4 der ersten Maskenöffnungen 160. Die Struktur der zweiten Maskenöffnungen 168 entspricht weiteren Schnitten, die entlang der Leitung-A-, Leitung-B- und Leitung-C-Strukturen in anschließenden Verarbeitungsschritten ausgeführt werden. Die zweiten Maskenöffnungen 168 können über verschiedenen Leitungen ausgebildet werden, zum Beispiel über der ersten dielektrischen Hartmaskenschicht 110 und zwischen jeweiligen Paaren der zweiten Abstandshalter 140, und können so ausgebildet werden, dass sie Breiten haben, die größer sind als die Distanzen zwischen den zweiten Abstandshaltern 140. Die zweiten Maskenöffnungen 168 überkreuzen auch keine Leitungen. Die zweiten Maskenöffnungen 168 können an verschiedenen Positionen entlang von Längsachsen der verschiedenen Leitungen ausgebildet werden.The top layer 166 is patterned using a suitable photolithographic technique to form second mask openings 168 therein. The second mask openings 168 have a width W 4 similar to the width W 4 of the first mask openings 160. The structure of the second mask openings 168 corresponds to further sections taken along the line A, line B and line C structures in subsequent Processing steps are performed. The second mask openings 168 may be formed over various lines, for example over the first hard mask dielectric layer 110 and between respective pairs of the second spacers 140, and may be formed to have widths that are greater than the distances between the second spacers 140 The second mask openings 168 also do not cross lines. The second mask openings 168 can be formed at different positions along longitudinal axes of the different lines.

In den 18A und 18B wird ein zweiter Hartmasken-Ätzprozess ausgeführt, um die Struktur der oberen Schicht 166 zu der mittleren Schicht 164, der unteren Schicht 162 und dann der zweiten Schicht 152B zu übertragen. Die zweiten Maskenöffnungen 168 werden dadurch zu der zweiten Schicht 152B übertragen. Wie oben angemerkt, besitzen die erste Schicht 152A und die zweite Schicht 152B eine hohe Ätzselektivität. Insofern dient die erste Schicht 152A als eine Ätzstoppschicht, und die Struktur der zweiten Maskenöffnungen 168 wird nicht zu der erste Schicht 152A übertragen. Der zweite Hartmasken-Ätzprozess kann ein anisotropes Ätzen sein. Während des zweiten Hartmasken-Ätzprozesses können die untere Schicht 162, die mittlere Schicht 164 und die obere Schicht 166 aufgezehrt werden. In einigen Ausführungsformen kann ein Ashing-Prozess ausgeführt werden, um übrige Rückstände der unteren Schicht 162 zu entfernen.In the 18A and 18B A second hard mask etch process is performed to transfer the pattern of top layer 166 to middle layer 164, bottom layer 162, and then second layer 152B. The second mask openings 168 are thereby transferred to the second layer 152B. As noted above, the first layer 152A and the second layer 152B have a high etch selectivity. As such, the first layer 152A serves as an etch stop layer and the pattern of the second mask openings 168 is not transferred to the first layer 152A. The second hard mask etch process may be an anisotropic etch. During the second hard mask etch process, bottom layer 162, middle layer 164, and top layer 166 may be consumed. In some embodiments, an ashing process may be performed to remove residual bottom layer 162 residue.

Nach dem zweiten Hartmasken-Ätzprozess enthält die zweite Schicht 152B die ersten Maskenöffnungen 160 und zweiten Maskenöffnungen 168. Die Prozessschritte, die oben mit Bezug auf die 15A bis 18B besprochen wurden, können beliebig oft wiederholt werden, um geschnittene Strukturen für Leitung-A, Leitung-B und Leitung-C auf der zweiten Schicht 152B zu bilden. Alle Öffnungen, die Schnitten in den Leitungen entsprechen, können auf der zweiten Schicht 152B ausgebildet werden.After the second hard mask etch process, the second layer 152B includes the first mask openings 160 and second mask openings 168. The process steps described above with respect to FIG 15A until 18B have been discussed can be repeated any number of times to form line-A, line-B, and line-C cut structures on the second layer 152B. Any openings corresponding to cuts in the lines may be formed on the second layer 152B.

In den 19A und 19B wird ein Ätzprozess ausgeführt, um die ersten Maskenöffnungen 160, die zweiten Maskenöffnungen 168 und alle anderen anschließend ausgebildeten Maskenöffnungen durch die untere Schicht 150 hindurch auszubilden. Jede der Öffnungen in der zweiten Schicht 152B entspricht einer Öffnung zwischen den zweiten Abstandshaltern 140. Die erste dielektrische Hartmaskenschicht 110 zwischen den zweiten Abstandshaltern 140 kann durch die Öffnungen freigelegt werden.In the 19A and 19B An etch process is performed to form first mask openings 160, second mask openings 168, and any other subsequently formed mask openings through bottom layer 150. FIG. Each of the openings in the second layer 152B corresponds to an opening between the second spacers 140. The first hard mask dielectric layer 110 between the second spacers 140 may be exposed through the openings.

In den 20A und 20B wird ein Umkehrmaterial 170 konformal über dem Wafer 100 ausgebildet. Das Umkehrmaterial 170 füllt die ersten Maskenöffnungen 160, die zweiten Maskenöffnungen 168 und alle anderen anschließend ausgebildeten Maskenöffnungen und erstreckt sich auch entlang der Oberseite der zweiten Schicht 152B. Insofern wird das Umkehrmaterial 170 nach der Ausbildung zwischen den zweiten Abstandshaltern 140 angeordnet und berührt eine Schicht unmittelbar unter den zweiten Abstandshaltern 140 (zum Beispiel die erste dielektrische Hartmaskenschicht 110). In verschiedenen Ausführungsformen kann das Umkehrmaterial 170 Spun-on-Glass (SOG) oder sonstiges geeignetes Material sein.In the 20A and 20B a reversal material 170 is conformally formed over the wafer 100 . The reversal material 170 fills the first mask openings 160, the second mask openings 168 and any other subsequently formed mask openings and also extends along the top of the second layer 152B. As such, after formation, the reversal material 170 is disposed between the second spacers 140 and contacts a layer immediately below the second spacers 140 (e.g., the first hardmask dielectric layer 110). In various embodiments, the reversal material 170 may be spun-on glass (SOG) or other suitable material.

In den 21A und 21B können die mittleren Schichten 152 und obersten Abschnitte des Umkehrmaterials 170 entfernt werden. Der Abtragsprozess kann eine Planarisierung, wie zum Beispiel ein chemisch-mechanisches Polieren (CMP), ein Schleifprozess oder dergleichen sein. Alternativ kann der Abtragsprozess ein Ätzen sein, wie zum Beispiel ein Trockenätzen, ein isotropes Ätzen oder dergleichen.In the 21A and 21B the middle layers 152 and top portions of the reversal material 170 can be removed. The removal process may be a planarization such as chemical mechanical polishing (CMP), a grinding process, or the like. Alternatively, the removal process may be an etch, such as a dry etch, an isotropic etch, or the like.

In den 22A und 22B kann das Umkehrmaterial 170 beispielsweise mittels eines Rückätzprozesses ausgespart werden. Des Weiteren wird die untere Schicht 150 mittels eines geeigneten Prozesses entfernt, wie zum Beispiel einen Ashing-Prozess. Nach dem Entfernen und dem Aussparen werden übrige Abschnitte des Umkehrmaterials 170 zwischen den zweiten Abstandshaltern 140 angeordnet. Die übrigen Abschnitte des Umkehrmaterials 170 zwischen den zweiten Abstandshaltern 140 können als geschnittene Masken 172 bezeichnet werden. Insbesondere erstrecken sich die geschnittenen Masken 172 nicht über mehrere Leitungen.In the 22A and 22B the reversal material 170 can be cut out, for example, by means of an etch-back process. Furthermore, the bottom layer 150 is removed using a suitable process, such as an ashing process. After removal and recessing, remaining portions of the reverse material 170 are placed between the second spacers 140 . The remaining portions of the reversal material 170 between the second spacers 140 may be referred to as cut masks 172. FIG. In particular, the cut masks 172 do not span multiple lines.

Die 23A bis 26B veranschaulichen Draufsichten und Querschnittsansichten weiterer Zwischenstufen in der Ausbildung der Strukturelemente in der Zielschicht gemäß einigen beispielhaften Ausführungsformen. In den 22A bis 26B sind Figuren, die mit einer „A“-Benennung enden, Draufsichten des Wafers 100, und Figuren, die mit einer „B“-Benennung enden, sind Querschnittsansichten entlang einer Linie B-B in der entsprechenden Draufsicht.the 23A until 26B 12 illustrate top and cross-sectional views of further intermediate stages in the formation of the features in the target layer, according to some example embodiments. In the 22A until 26B Figures ending in an “A” designation are top views of wafer 100, and figures ending in a “B” designation are cross-sectional views taken along line BB in the corresponding top view.

In den 23A und 23B werden die zweiten Abstandshalter 140, die übrigen ersten Zwischendorne 112, die übrigen zweiten Dielektrikumkappen 114 und die geschnittenen Masken 172 in Kombination als eine Ätzmaske verwendet, um die erste dielektrische Hartmaskenschicht 110 zu ätzen, um Öffnungen in der ersten dielektrischen Hartmaskenschicht 110 zu bilden. Es können geeignete Fotolithografie- und Ätztechniken verwendet werden. In dem Ätzprozess können die zweiten Abstandshalter 140, die ersten Zwischendorne 112 und die geschnittenen Masken 172 gegebenenfalls vollständig aufgezehrt werden. Wenn die ersten Zwischendorne 112 und geschnittenen Masken 172 nicht aufgezehrt werden, so kann ein Reinigungsprozess ausgeführt werden, um restliches Material zu entfernen.In the 23A and 23B For example, the second spacers 140, the remaining first intermediate mandrels 112, the remaining second dielectric caps 114, and the cut masks 172 are used in combination as an etch mask to etch the first hardmask dielectric layer 110 to form openings in the first hardmask dielectric layer 110. Appropriate photolithographic and etching techniques can be used. In the etching process, the second spacers 140, the first intermediate mandrels 112 and the cut masks 172 may be completely consumed. If the first intermediate mandrels 112 and cut masks 172 are not consumed, a cleaning process can be performed to remove residual material.

In den 24A und 24B wird die strukturierte erste dielektrische Hartmaskenschicht 110 als eine Ätzmaske verwendet, um die Hartmaskenschicht 108 zu ätzen. Insofern wird eine Struktur, die sowohl den gewünschten Leitungen (zum Beispiel A, B und C) als auch den Schnitten 180 für die Leitungen entspricht, gleichzeitig auf der Hartmaskenschicht 108 ausgebildet. Die Leitungen entsprechen den zweiten Abstandshaltern 140. Die Schnitte 180 für die Leitungen entsprechen der Anordnung der geschnittenen Masken 172. Indem zuerst alle gewünschten Leitungen (zum Beispiel A, B und C) gebildet werden und dann die Schnitte 180 für die Leitungen auf der zweiten Schicht 152B nach der Ausbildung aller Leitungen strukturiert werden, kann die Ausbildung der Leitungen und der Schnitte 180 für die Leitungen in einem einzigen Ätzschritt ausgeführt werden. Die zweiten Abstandshalter 140, die ersten Zwischendorne 112 und die geschnittenen Masken 172 können in diesem Ätzprozess aufgezehrt werden. Wenn die ersten Zwischendorne 112 und geschnittenen Masken 172 nicht aufgezehrt werden, so kann ein Reinigungsprozess ausgeführt werden, um restliches Material zu entfernen.In the 24A and 24B For example, the patterned first hard mask dielectric layer 110 is used as an etch mask to etch the hard mask layer 108 . As such, a pattern corresponding to both the desired lines (e.g., A, B, and C) and the cuts 180 for the lines is formed on the hard mask layer 108 at the same time. The lines correspond to the second spacers 140. The cuts 180 for the lines correspond to the arrangement of the cut masks 172. By first forming all the desired lines (e.g. A, B and C) and then the cuts 180 for the lines on the second layer 152B are patterned after all lines are formed, the formation of the lines and the cuts 180 for the lines can be performed in a single etch step. The second spacers 140, the first intermediate mandrels 112 and the cut masks 172 can be consumed in this etching process. If the first intermediate mandrels 112 and cut masks 172 are not consumed, a cleaning process can be performed to remove residual material.

In den 25A und 25B wird die strukturierte Hartmaskenschicht 108 als eine Ätzmaske verwendet, um die darunterliegende ARC 106 und anschließend die Zielschicht 102 zu ätzen. Wie oben angemerkt, kann die endgültige Struktur in der Zielschicht 102 eine Struktur in einer dielektrischen Schicht, einem Halbleitersubstrat oder dergleichen sein. In einer Ausführungsform ist die Zielschicht 102 ein Dielektrikum mit niedrigem k-Wert, und die strukturierte Zielschicht 102 bildet ein strukturiertes IMD für eine Interconnect-Struktur. Leitfähige Strukturelemente, wie zum Beispiel Kupferleitungen, Kupferdurchkontaktierungen und/oder Kobaltstecker, können in der IMD-Schicht beispielsweise mittels eines Damascene- oder Dual-Damascene-Prozesses ausgebildet werden, wobei die Öffnungen, die innerhalb der strukturierten Zielschicht 102 ausgebildet werden, mit einem leitfähigen Material, wie zum Beispiel Kupfer, gefüllt und/oder überfüllt werden, und ein Planarisierungsprozess wird ausgeführt, um das leitfähige Material innerhalb der strukturierten Zielschicht 102 einzubetten.In the 25A and 25B For example, the patterned hard mask layer 108 is used as an etch mask to etch the underlying ARC 106 and then the target layer 102 . As noted above, the final structure in target layer 102 may be a structure in a dielectric layer, a semiconductor substrate, or the like. In one embodiment, the target layer 102 is a low-k dielectric and the patterned target layer 102 forms a patterned IMD for an interconnect structure. Conductive features, such as copper lines, copper vias, and/or cobalt plugs, may be formed in the IMD layer, for example, using a damascene or dual damascene process, wherein the openings formed within the patterned target layer 102 are lined with a conductive Material, such as copper, may be filled and/or overfilled, and a planarization process is performed to embed the conductive material within the target patterned layer 102 .

In den 26A und 26B wird überschüssiges Material von der ARC 106 und der Hartmaskenschicht 108 entfernt. Das überschüssige Material kann während des Ätzens der Zielschicht 102 aufgezehrt werden. Wenn die ARC 106 und die Hartmaskenschicht 108 nicht aufgezehrt werden, so kann ein Reinigungsprozess ausgeführt werden, um restliches Material zu entfernen. In einigen Ausführungsformen können die leitfähigen Strukturelemente in den Leitungen der Zielschicht 102 ausgebildet werden, während die ARC 106 und die Hartmaskenschicht 108 verbleiben, und die ARC 106 und die Hartmaskenschicht 108 können durch den Planarisierungsprozess entfernt werden, der dafür verwendet wird, das leitfähige Material in die strukturierte Zielschicht 102 einzubetten.In the 26A and 26B Excess material is removed from the ARC 106 and the hard mask layer 108 . The excess material can be consumed during the etching of target layer 102 . If the ARC 106 and hard mask layer 108 are not consumed, a cleaning process may be performed to remove residual material. In some embodiments, the conductive features in the lines of the target layer 102 can be formed while the ARC 106 and hard mask layer 108 remain, and the ARC 106 and hard mask layer 108 can be removed by the planarization process used to convert the conductive material into to embed the patterned target layer 102.

Mittels der Ausführungsformen lassen sich Vorteile realisieren. Das Schneiden der Leitungen, nachdem alle Leitungsstrukturen ausgebildet wurden, kann die Komplexität verringern, die das Schneiden der Leitungen während Zwischenstufen des Strukturierens der Leitungen mit sich bringt. Des Weiteren kann das Schneiden der Leitungen in einem einzigen Schritt die BEOL-Verarbeitung vereinfachen und kann die Gefahr verringern, dass fehlerhafte Schnitte ausgeführt werden.Advantages can be realized by means of the embodiments. Cutting the lines after all line structures have been formed may reduce the complexity involved in cutting the lines during intermediate stages of patterning the lines. Furthermore, cutting the lines in a single step can simplify the BEOL processing and can reduce the risk of making erroneous cuts.

In einer Ausführungsform enthält ein Verfahren Folgendes: Bilden einer ersten Maskenschicht auf einem Substrat; Strukturieren mehrerer erster Abstandshalter über der ersten Maskenschicht; Bilden einer Antireflexionsschicht über den ersten Abstandshaltern; Bilden einer Ätzstoppschicht über der Antireflexionsschicht; Bilden einer zweiten Maskenschicht über der Ätzstoppschicht; Strukturieren mehrerer Öffnungen in der zweiten Maskenschicht, wobei jede der Öffnungen über jeweiligen Paaren der ersten Abstandshalter liegt; Verlängern der Öffnungen durch die Antireflexionsschicht und zwischen den jeweiligen Paaren der ersten Abstandshalter; Bilden eines Umkehrmaterials über der zweiten Maskenschicht und in den Öffnungen; Entfernen der Antireflexionsschicht, der Ätzstoppschicht und der zweiten Maskenschicht; und Strukturieren der ersten Maskenschicht unter Verwendung der ersten Abstandshalter und übrigen Abschnitte des Umkehrmaterials als eine erste Ätzmaske.In one embodiment, a method includes: forming a first mask layer on a substrate; patterning a plurality of first spacers over the first mask layer; forming an anti-reflective layer over the first spacers; forming an etch stop layer over the anti-reflective layer; forming a second mask layer over the etch stop layer; patterning a plurality of openings in the second mask layer, each of the openings overlying respective pairs of the first spacers; extending the openings through the anti-reflective layer and between the respective pairs of first spacers; forming a reversal material over the second mask layer and in the openings; removing the anti-reflective layer, the etch stop layer and the second mask layer; and patterning the first mask layer using the first spacers and remaining portions of the reversal material as a first etch mask.

In einer Ausführungsform enthält das Strukturieren der ersten Abstandshalter: Strukturieren mehrerer erster Dorne über der ersten Maskenschicht; Bilden einer ersten Abstandshalterschicht auf Seitenwänden und Oberseiten der ersten Dorne; Entfernen horizontaler Abschnitte der ersten Abstandshalterschicht, wobei übrige vertikale Abschnitte der ersten Abstandshalterschicht zweite Abstandshalter bilden; Entfernen eines Abschnitts der ersten Dorne; Strukturieren mehrerer zweiter Dorne unter Verwendung der zweiten Abstandshalter als eine zweite Ätzmaske; Bilden einer zweiten Abstandshalterschicht auf Seitenwänden und Oberseiten der zweiten Dorne; und Entfernen horizontaler Abschnitte der zweiten Abstandshalterschicht, wobei übrige vertikale Abschnitte der zweiten Abstandshalterschicht die ersten Abstandshalter bilden. In einer Ausführungsform beträgt ein Mittenabstand zwischen den ersten Abstandshaltern das Doppelte des Mittenabstands zwischen den zweiten Abstandshaltern in einer ersten Region des Substrats. In einer Ausführungsform wird der Abschnitt der ersten Dorne vor dem Strukturieren der zweiten Dorne entfernt, und die zweiten Dorne werden in einer ersten Region des Substrats strukturiert. In einer Ausführungsform enthält das Strukturieren der zweiten Dorne des Weiteren das Verwenden der zweiten Abstandshalter und der übrigen ersten Dorne in Kombination als die zweite Ätzmaske, wobei sich die übrigen ersten Dorne in einer zweiten Region des Substrats befinden, die nicht die erste Region ist. In einer Ausführungsform haben die Ätzstoppschicht und die zweite Maskenschicht ein Ätzselektivitätsverhältnis größer als 3. In einer Ausführungsform enthält das Strukturieren der Öffnungen in der zweiten Maskenschicht Folgendes: Ätzen einer ersten Teilmenge der Öffnungen in der zweiten Maskenschicht mit einem ersten Dreischicht-Photoresist über der Ätzstoppschicht und der zweiten Maskenschicht; und nach dem Ätzen der ersten Teilmenge der Öffnungen in der zweiten Maskenschicht, Ätzen einer zweiten Teilmenge der Öffnungen in der zweiten Maskenschicht mit einem zweiten Dreischicht-Photoresist über der Ätzstoppschicht und der zweiten Maskenschicht. In einer Ausführungsform ist die Ätzstoppschicht ein Oxid, und die zweite Maskenschicht enthält ein Metall. In einer Ausführungsform enthält die erste Maskenschicht ein Metall, und die zweite Maskenschicht ist ein Dielektrikum. In einer Ausführungsform wird die erste Maskenschicht über einer Zielschicht auf dem Substrat gebildet, und das Verfahren enthält des Weiteren das Ätzen der Zielschicht unter Verwendung der ersten Maskenschicht als eine dritte Ätzmaske. In einer Ausführungsform enthält das Verfahren des Weiteren das Entfernen von Abschnitten des Umkehrmaterials nicht zwischen den jeweiligen Paaren der ersten Abstandshalter. In einer Ausführungsform kreuzt das in den Öffnungen ausgebildete Umkehrmaterial nicht mehr als eine der Öffnungen.In one embodiment, patterning the first spacers includes: patterning a plurality of first mandrels over the first mask layer; forming a first spacer layer on sidewalls and tops of the first mandrels; removing horizontal portions of the first spacer layer, remaining vertical portions of the first spacer layer forming second spacers; removing a portion of the first mandrels; patterning a plurality of second mandrels using the second spacers as a second etch mask; forming a second spacer layer on sidewalls and tops of the second mandrels; and removing horizontal portions of the second spacer layer, remaining vertical portions of the second spacer layer forming the first spacers. In one embodiment, a center-to-center distance between the first spacers is twice the center-to-center distance between the second spacers in a first region of the substrate. In one embodiment, the portion of the first mandrels is trimmed prior to patterning the second mandrels removed, and the second mandrels are patterned in a first region of the substrate. In one embodiment, patterning the second mandrels further includes using the second spacers and the remaining first mandrels in combination as the second etch mask, where the remaining first mandrels are in a second region of the substrate that is not the first region. In one embodiment, the etch stop layer and the second mask layer have an etch selectivity ratio greater than 3. In one embodiment, patterning the openings in the second mask layer includes: etching a first subset of the openings in the second mask layer with a first three-layer photoresist over the etch stop layer and the second mask layer; and after etching the first subset of the openings in the second mask layer, etching a second subset of the openings in the second mask layer with a second three-layer photoresist over the etch stop layer and the second mask layer. In one embodiment, the etch stop layer is an oxide and the second mask layer includes a metal. In one embodiment, the first mask layer includes a metal and the second mask layer is a dielectric. In one embodiment, the first mask layer is formed over a target layer on the substrate, and the method further includes etching the target layer using the first mask layer as a third etch mask. In one embodiment, the method further includes removing portions of the reverse material not between the respective pairs of the first spacers. In one embodiment, the reversal material formed in the openings does not cross more than one of the openings.

In einer Ausführungsform enthält ein Verfahren Folgendes: Strukturieren mehrerer erster Dorne über einer ersten Maskenschicht; Bilden einer ersten Abstandshalterschicht auf Seitenwänden und Oberseiten der ersten Dorne; Entfernen horizontaler Abschnitte der ersten Abstandshalterschicht, wobei übrige vertikale Abschnitte der ersten Abstandshalterschicht erste Abstandshalter bilden; nach dem Entfernen der horizontalen Abschnitte der ersten Abstandshalterschicht, Abscheiden eines Umkehrmaterials zwischen den ersten Abstandshaltern; und Strukturieren der ersten Maskenschicht unter Verwendung der ersten Abstandshalter und des Umkehrmaterials in Kombination als eine erste Ätzmaske.In one embodiment, a method includes: patterning a plurality of first mandrels over a first mask layer; forming a first spacer layer on sidewalls and tops of the first mandrels; removing horizontal portions of the first spacer layer, remaining vertical portions of the first spacer layer forming first spacers; after removing the horizontal portions of the first spacer layer, depositing a reversal material between the first spacers; and patterning the first mask layer using the first spacers and the reversal material in combination as a first etch mask.

In einer Ausführungsform wird kein Umkehrmaterial über der ersten Maskenschicht vor dem Entfernen der horizontalen Abschnitte der ersten Abstandshalterschicht gebildet. In einer Ausführungsform wird die erste Maskenschicht über einer Zielschicht gebildet, und das Verfahren enthält des Weiteren Folgendes: Strukturieren der Zielschicht unter Verwendung der strukturierten ersten Maskenschicht als eine zweite Ätzmaske. In einer Ausführungsform ist die Zielschicht eine Dielektrikumschicht, und das Verfahren enthält des Weiteren das Bilden leitfähiger Strukturelemente in der dielektrischen Schicht. In einer Ausführungsform werden die ersten Dorne über einer Dielektrikumschicht gebildet, die Dielektrikumschicht wird über der ersten Maskenschicht ausgebildet, und das Umkehrmaterial berührt die Dielektrikumschicht nach dem Abscheiden des Umkehrmaterials zwischen den ersten Abstandshaltern. In einer Ausführungsform enthält das Abscheiden des Umkehrmaterials zwischen den ersten Abstandshaltern Folgendes: Bilden einer Antireflexionsschicht über den ersten Abstandshaltern; Bilden einer Ätzstoppschicht über der Antireflexionsschicht; Bilden einer zweiten Maskenschicht über der Ätzstoppschicht; Strukturieren mehrerer Öffnungen in der zweiten Maskenschicht, wobei jede der Öffnungen über jeweiligen Paaren der ersten Abstandshalter liegt; Verlängern der Öffnungen durch die Antireflexionsschicht und zwischen den jeweiligen Paaren der ersten Abstandshalter; und Abscheiden des Umkehrmaterials in den erweiterten Öffnungen.In one embodiment, no reversal material is formed over the first mask layer prior to removing the horizontal portions of the first spacer layer. In one embodiment, the first mask layer is formed over a target layer, and the method further includes: patterning the target layer using the patterned first mask layer as a second etch mask. In one embodiment, the target layer is a dielectric layer, and the method further includes forming conductive features in the dielectric layer. In one embodiment, the first mandrels are formed over a dielectric layer, the dielectric layer is formed over the first mask layer, and the reversal material contacts the dielectric layer after the reversal material is deposited between the first spacers. In one embodiment, depositing the reversal material between the first spacers includes: forming an anti-reflective layer over the first spacers; forming an etch stop layer over the anti-reflective layer; forming a second mask layer over the etch stop layer; patterning a plurality of openings in the second mask layer, each of the openings overlying respective pairs of the first spacers; extending the openings through the anti-reflective layer and between the respective pairs of first spacers; and depositing the reversal material in the enlarged openings.

In einer Ausführungsform enthält ein Verfahren Folgendes: Bilden mehrerer Abstandshalter über einer Zielschicht; Bilden einer Antireflexionsschicht über den Abstandshaltern; Bilden einer ersten Maskenschicht über der Antireflexionsschicht; Bilden eines ersten Dreischicht-Photoresists über der ersten Maskenschicht; Strukturieren der ersten Maskenschicht mit ersten Öffnungen unter Verwendung des ersten Dreischicht-Photoresists; Entfernen des ersten Dreischicht-Photoresists; Bilden eines zweiten Dreischicht-Photoresists über der ersten Maskenschicht; Strukturieren der ersten Maskenschicht mit zweiten Öffnungen unter Verwendung des zweiten Dreischicht-Photoresists; Entfernen des zweiten Dreischicht-Photoresists; und Abscheiden eines Umkehrmaterials in den ersten und zweiten Öffnungen, wobei das Umkehrmaterial zwischen den Abstandshaltern angeordnet wird.In one embodiment, a method includes: forming a plurality of spacers over a target layer; forming an anti-reflective coating over the spacers; forming a first mask layer over the anti-reflective layer; forming a first three layer photoresist over the first mask layer; patterning the first mask layer with first openings using the first three-layer photoresist; removing the first three-layer photoresist; forming a second three-layer photoresist over the first mask layer; patterning the first mask layer with second openings using the second three-layer photoresist; removing the second three-layer photoresist; and depositing a reversal material in the first and second openings, wherein the reversal material is disposed between the spacers.

In einer Ausführungsform enthält das Verfahren des Weiteren Folgendes: Strukturieren der Zielschicht unter Verwendung der Abstandshalter und des Umkehrmaterials in Kombination als eine Ätzmaske.In one embodiment, the method further includes: patterning the target layer using the spacers and the reversal material in combination as an etch mask.

Claims (18)

Verfahren, das Folgendes umfasst: Bilden einer ersten Maskenschicht (108) auf einem Substrat; Strukturieren mehrerer erster Abstandshalter (140) über der ersten Maskenschicht (108); Bilden einer Antireflexionsschicht (150) über den ersten Abstandshaltern (140); Bilden einer Ätzstoppschicht (152A) über der Antireflexionsschicht (150); Bilden einer zweiten Maskenschicht (152B) über der Ätzstoppschicht (152A); Strukturieren mehrerer Öffnungen (160, 168) in der zweiten Maskenschicht (152B), wobei jede der Öffnungen (160, 168) über jeweiligen Paaren der ersten Abstandshalter (140) liegt; Verlängern der Öffnungen (160, 168) durch die Antireflexionsschicht (150) und zwischen den jeweiligen Paaren der ersten Abstandshalter (140); Bilden eines Umkehrmaterials (170) über der zweiten Maskenschicht (152B) und in den Öffnungen (160, 168); Entfernen der Antireflexionsschicht (150), der Ätzstoppschicht (152A) und der zweiten Maskenschicht (152B); und Strukturieren der ersten Maskenschicht (108) unter Verwendung der ersten Abstandshalter (140) und übrigen Abschnitte des Umkehrmaterials (170) als einer ersten Ätzmaske, wobei die Ätzstoppschicht (152A) und die zweite Maskenschicht (152B) ein Ätzselektivitätsverhältnis größer als 3 aufweisen. A method, comprising: forming a first mask layer (108) on a substrate; patterning a plurality of first spacers (140) over the first mask layer (108); forming an anti-reflection layer (150) over the first spacers (140); forming an etch stop layer (152A) over the anti-reflective layer (150); forming a second mask layer (152B) over the etch stop layer (152A); patterning a plurality of openings (160, 168) in the second mask layer (152B), each of the openings (160, 168) overlying respective pairs of the first spacers (140); extending the openings (160, 168) through the anti-reflective coating (150) and between the respective pairs of first spacers (140); forming a reversal material (170) over the second mask layer (152B) and in the openings (160, 168); removing the anti-reflective layer (150), the etch stop layer (152A) and the second mask layer (152B); and patterning the first mask layer (108) using the first spacers (140) and remaining portions of the reversal material (170) as a first etch mask, the etch stop layer (152A) and the second mask layer (152B) having an etch selectivity ratio greater than 3. Verfahren nach Anspruch 1, wobei das Strukturieren der ersten Abstandshalter (140) Folgendes umfasst: Strukturieren mehrerer erster Dorne (116) über der ersten Maskenschicht (108); Bilden einer ersten Abstandshalterschicht (126) auf Seitenwänden und Oberseiten der ersten Dorne (116); Entfernen horizontaler Abschnitte der ersten Abstandshalterschicht (126), wobei übrige vertikale Abschnitte der ersten Abstandshalterschicht (126) zweite Abstandshalter (128) bilden; Entfernen eines Abschnitts der ersten Dorne (116); Strukturieren mehrerer zweiter Dorne (112) unter Verwendung der zweiten Abstandshalter (128) als eine zweite Ätzmaske; Bilden einer zweiten Abstandshalterschicht (138) auf Seitenwänden und Oberseiten der zweiten Dorne (112); und Entfernen horizontaler Abschnitte der zweiten Abstandshalterschicht (138), wobei übrige vertikale Abschnitte der zweiten Abstandshalterschicht (138) die ersten Abstandshalter (140) bilden.procedure after claim 1 wherein patterning the first spacers (140) comprises: patterning a plurality of first mandrels (116) over the first mask layer (108); forming a first spacer layer (126) on sidewalls and tops of the first mandrels (116); removing horizontal portions of the first spacer layer (126), remaining vertical portions of the first spacer layer (126) forming second spacers (128); removing a portion of the first mandrels (116); patterning a plurality of second mandrels (112) using the second spacers (128) as a second etch mask; forming a second spacer layer (138) on sidewalls and tops of the second mandrels (112); and removing horizontal portions of the second spacer layer (138), remaining vertical portions of the second spacer layer (138) forming the first spacers (140). Verfahren nach Anspruch 2, wobei ein Abstand zwischen den ersten Abstandshaltern (140) die Hälfte eines Abstands zwischen den zweiten Abstandshaltern (128) in einer ersten Region (100A) des Substrats beträgt.procedure after claim 2 wherein a distance between the first spacers (140) is half a distance between the second spacers (128) in a first region (100A) of the substrate. Verfahren nach Anspruch 2 oder 3, wobei in einer ersten Region (100A) des Substrats der Abschnitt der ersten Dorne (116) vor dem Strukturieren der zweiten Dorne (112) entfernt wird, wobei die zweiten Dorne (112) strukturiert werden.procedure after claim 2 or 3 wherein in a first region (100A) of the substrate the portion of the first mandrels (116) is removed prior to patterning the second mandrels (112), wherein the second mandrels (112) are patterned. Verfahren nach Anspruch 4, wobei das Strukturieren der zweiten Dorne (112) des Weiteren das Verwenden der zweiten Abstandshalter (128) und der übrigen ersten Dorne (116) in Kombination als die zweite Ätzmaske umfasst, wobei sich die übrigen ersten Dorne (116) in einer zweiten Region (100B) des Substrats befinden, die nicht die erste Region (100A) ist.procedure after claim 4 , wherein patterning the second mandrels (112) further comprises using the second spacers (128) and the remaining first mandrels (116) in combination as the second etch mask, the remaining first mandrels (116) being located in a second region ( 100B) of the substrate that is not the first region (100A). Verfahren nach einem der vorangehenden Ansprüche, wobei das Strukturieren der Öffnungen (160, 168) in der zweiten Maskenschicht (152B) Folgendes umfasst: Ätzen einer ersten Teilmenge (160) der Öffnungen in der zweiten Maskenschicht (152B) mit einem ersten Dreischicht-Photoresist (154, 156, 158) über der Ätzstoppschicht (152A) und der zweiten Maskenschicht (152B); und nach dem Ätzen der ersten Teilmenge (160) der Öffnungen in der zweiten Maskenschicht (152B), Ätzen einer zweiten Teilmenge (168) der Öffnungen in der zweiten Maskenschicht (152B) mit einem zweiten Dreischicht-Photoresist (162, 164, 166) über der Ätzstoppschicht (152A) und der zweiten Maskenschicht (152B).The method of any preceding claim, wherein patterning the openings (160, 168) in the second mask layer (152B) comprises: etching a first subset (160) of the openings in the second mask layer (152B) with a first three-layer photoresist (154, 156, 158) over the etch stop layer (152A) and the second mask layer (152B); and after etching the first subset (160) of openings in the second mask layer (152B), etching a second subset (168) of openings in the second mask layer (152B) with a second three-layer photoresist (162, 164, 166) over the etch stop layer (152A) and the second mask layer (152B). Verfahren nach einem der vorangehenden Ansprüche, wobei die Ätzstoppschicht (152A) ein Oxid ist, und wobei die zweite Maskenschicht (152B) ein Metall umfasst.A method according to any one of the preceding claims, wherein the etch stop layer (152A) is an oxide and wherein the second mask layer (152B) comprises a metal. Verfahren nach einem der vorangehenden Ansprüche, wobei die erste Maskenschicht (108) ein Metall umfasst und die zweite Maskenschicht (152B) ein Dielektrikum ist.A method according to any one of the preceding claims, wherein the first masking layer (108) comprises a metal and the second masking layer (152B) is a dielectric. Verfahren nach einem der vorangehenden Ansprüche, wobei die erste Maskenschicht (108) über einer Zielschicht (102) auf dem Substrat gebildet wird, wobei das Verfahren des Weiteren das Ätzen der Zielschicht (102) unter Verwendung der ersten Maskenschicht (108) als eine dritte Ätzmaske umfasst.The method of any preceding claim, wherein the first mask layer (108) is formed over a target layer (102) on the substrate, the method further comprising etching the target layer (102) using the first mask layer (108) as a third etch mask includes. Verfahren nach einem der vorangehenden Ansprüche, das des Weiteren das Entfernen von Abschnitten des Umkehrmaterials (170) nicht zwischen den jeweiligen Paaren der ersten Abstandshalter (140) umfasst.A method according to any one of the preceding claims, further comprising removing portions of the reverse material (170) not between the respective pairs of the first spacers (140). Verfahren nach einem der vorangehenden Ansprüche, wobei das in den Öffnungen (160, 168) ausgebildete Umkehrmaterial (170) nicht mehr als eine der Öffnungen (160, 168) kreuzt.A method according to any one of the preceding claims, wherein the reversing material (170) formed in the openings (160, 168) does not cross more than one of the openings (160, 168). Verfahren, das Folgendes umfasst: Strukturieren mehrerer erster Dorne (112) über einer ersten Maskenschicht (108); Bilden einer ersten Abstandshalterschicht (138) auf Seitenwänden und Oberseiten der ersten Dorne (112); Entfernen horizontaler Abschnitte der ersten Abstandshalterschicht (138), wobei übrige vertikale Abschnitte der ersten Abstandshalterschicht (138) erste Abstandshalter (140) bilden; nach dem Entfernen der horizontalen Abschnitte der ersten Abstandshalterschicht (138), Abscheiden eines Umkehrmaterials (170) zwischen den ersten Abstandshaltern (140); und Strukturieren der ersten Maskenschicht (108) unter Verwendung der ersten Abstandshalter (140) und des Umkehrmaterials (170) in Kombination als eine erste Ätzmaske; wobei das Abscheiden des Umkehrmaterials (170) zwischen den ersten Abstandshaltern (140) Folgendes umfasst: Bilden einer Antireflexionsschicht (150) über den ersten Abstandshaltern (140); Bilden einer Ätzstoppschicht (152A) über der Antireflexionsschicht (150); Bilden einer zweiten Maskenschicht (152B) über der Ätzstoppschicht (152A); Strukturieren mehrerer Öffnungen (160, 168) in der zweiten Maskenschicht (152B), wobei jede der Öffnungen (160, 168) über jeweiligen Paaren der ersten Abstandshalter (140) liegt; Verlängern der Öffnungen (160, 168) durch die Antireflexionsschicht (150) und zwischen den jeweiligen Paaren der ersten Abstandshalter (140); und Abscheiden des Umkehrmaterials (170) in den erweiterten Öffnungen (160, 168).A method comprising: patterning a plurality of first mandrels (112) via a first mask layer (108); forming a first spacer layer (138) on sidewalls and tops of the first mandrels (112); removing horizontal portions of the first spacer layer (138), remaining vertical portions of the first spacer layer (138) forming first spacers (140); after removing the horizontal portions of the first spacer layer (138), depositing a reversal material (170) between the first spacers (140); and patterning the first mask layer (108) using the first spacers (140) and the reversal material (170) in combination as a first etch mask; wherein depositing the reversal material (170) between the first spacers (140) comprises: forming an anti-reflective layer (150) over the first spacers (140); forming an etch stop layer (152A) over the anti-reflective layer (150); forming a second mask layer (152B) over the etch stop layer (152A); patterning a plurality of openings (160, 168) in the second mask layer (152B), each of the openings (160, 168) overlying respective pairs of the first spacers (140); extending the openings (160, 168) through the anti-reflective coating (150) and between the respective pairs of first spacers (140); and depositing the reversal material (170) in the enlarged openings (160, 168). Verfahren nach Anspruch 12, wobei kein Umkehrmaterial (170) über der ersten Maskenschicht (108) vor dem Entfernen der horizontalen Abschnitte der ersten Abstandshalterschicht (138) gebildet wird.procedure after claim 12 wherein no reversal material (170) is formed over the first mask layer (108) prior to removing the horizontal portions of the first spacer layer (138). Verfahren nach Anspruch 12 oder 13, wobei die erste Maskenschicht (108) über einer Zielschicht (102) gebildet wird, und wobei das Verfahren des Weiteren Folgendes umfasst: Strukturieren der Zielschicht (102) unter Verwendung der strukturierten ersten Maskenschicht (108) als eine zweite Ätzmaske.procedure after claim 12 or 13 wherein the first mask layer (108) is formed over a target layer (102), and wherein the method further comprises: patterning the target layer (102) using the patterned first mask layer (108) as a second etch mask. Verfahren nach Anspruch 14, wobei die Zielschicht (102) eine Dielektrikumschicht ist, und wobei das Verfahren des Weiteren das Bilden leitfähiger Strukturelemente in der dielektrischen Schicht (102) umfasst.procedure after Claim 14 wherein the target layer (102) is a dielectric layer, and wherein the method further comprises forming conductive features in the dielectric layer (102). Verfahren, das Folgendes umfasst: Strukturieren mehrerer erster Dorne (112) über einer ersten Maskenschicht (108); Bilden einer ersten Abstandshalterschicht (138) auf Seitenwänden und Oberseiten der ersten Dorne (112); Entfernen horizontaler Abschnitte der ersten Abstandshalterschicht (138), wobei übrige vertikale Abschnitte der ersten Abstandshalterschicht (138) erste Abstandshalter (140) bilden; nach dem Entfernen der horizontalen Abschnitte der ersten Abstandshalterschicht (138), Abscheiden eines Umkehrmaterials (170) zwischen den ersten Abstandshaltern (140); und Strukturieren der ersten Maskenschicht (108) unter Verwendung der ersten Abstandshalter (140) und des Umkehrmaterials (170) in Kombination als eine erste Ätzmaske, wobei die ersten Dorne (112) über einer Dielektrikumschicht (110) gebildet werden, die Dielektrikumschicht (110) über der ersten Maskenschicht (108) ausgebildet wird, und wobei das Umkehrmaterial (170) die Dielektrikumschicht (110) nach dem Abscheiden des Umkehrmaterials (170) zwischen den ersten Abstandshaltern (140) berührt.Procedure, which includes: patterning a plurality of first mandrels (112) over a first mask layer (108); forming a first spacer layer (138) on sidewalls and tops of the first mandrels (112); removing horizontal portions of the first spacer layer (138), remaining vertical portions of the first spacer layer (138) forming first spacers (140); after removing the horizontal portions of the first spacer layer (138), depositing a reversal material (170) between the first spacers (140); and patterning the first mask layer (108) using the first spacers (140) and the reversal material (170) in combination as a first etch mask, wherein the first mandrels (112) are formed over a dielectric layer (110), the dielectric layer (110) is formed over the first mask layer (108), and wherein the reversal material (170) replaces the dielectric layer (110) after the reversal material (170 ) touches between the first spacers (140). Verfahren, das Folgendes umfasst: Bilden mehrerer Abstandshalter (140) über einer Zielschicht (102); Bilden einer Antireflexionsschicht (150) über den Abstandshaltern (140); Bilden einer ersten Maskenschicht über der Antireflexionsschicht (150); Bilden eines ersten Dreischicht-Photoresists (154, 156, 158) über der ersten Maskenschicht Strukturieren der ersten Maskenschicht mit ersten Öffnungen (160) unter Verwendung des ersten Dreischicht-Photoresists (154, 156, 158); Entfernen des ersten Dreischicht-Photoresists (154, 156, 158); Bilden eines zweiten Dreischicht-Photoresists (162, 164, 166) über der ersten Maskenschicht; Strukturieren der ersten Maskenschicht mit zweiten Öffnungen (168) unter Verwendung des zweiten Dreischicht-Photoresists (162, 164, 166); Entfernen des zweiten Dreischicht-Photoresists (162, 164, 166); und Abscheiden eines Umkehrmaterials (170) in den ersten und zweiten Öffnungen (160, 168), wobei das Umkehrmaterial (170) zwischen den Abstandshaltern (140) angeordnet wird.Procedure, which includes: forming a plurality of spacers (140) over a target layer (102); forming an anti-reflective coating (150) over the spacers (140); forming a first mask layer over the anti-reflective layer (150); forming a first three layer photoresist (154, 156, 158) over the first mask layer patterning the first mask layer with first openings (160) using the first three layer photoresist (154, 156, 158); removing the first three layer photoresist (154, 156, 158); forming a second three layer photoresist (162, 164, 166) over the first mask layer; patterning the first mask layer with second openings (168) using the second three-layer photoresist (162, 164, 166); removing the second three-layer photoresist (162, 164, 166); and depositing a reversal material (170) in the first and second openings (160,168), wherein the reversal material (170) is disposed between the spacers (140). Verfahren nach Anspruch 17, das des Weiteren Folgendes umfasst: Strukturieren der Zielschicht (102) unter Verwendung der Abstandshalter (140) und des Umkehrmaterials (170) in Kombination als einer Ätzmaske.procedure after Claim 17 , further comprising: patterning the target layer (102) using the spacers (140) and the reversal material (170) in combination as an etch mask.
DE102017127390.5A 2017-06-30 2017-11-21 Method of manufacturing a semiconductor device Active DE102017127390B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527728P 2017-06-30 2017-06-30
US62/527,728 2017-06-30
US15/665,682 US10170307B1 (en) 2017-06-30 2017-08-01 Method for patterning semiconductor device using masking layer
US15/665,682 2017-08-01

Publications (2)

Publication Number Publication Date
DE102017127390A1 DE102017127390A1 (en) 2019-01-03
DE102017127390B4 true DE102017127390B4 (en) 2022-01-27

Family

ID=64662128

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017127390.5A Active DE102017127390B4 (en) 2017-06-30 2017-11-21 Method of manufacturing a semiconductor device

Country Status (1)

Country Link
DE (1) DE102017127390B4 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113903722A (en) * 2020-07-06 2022-01-07 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and method for forming semiconductor structure
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US20150155171A1 (en) 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography Using High Selectivity Spacers for Pitch Reduction

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US20150155171A1 (en) 2013-12-04 2015-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography Using High Selectivity Spacers for Pitch Reduction

Also Published As

Publication number Publication date
DE102017127390A1 (en) 2019-01-03

Similar Documents

Publication Publication Date Title
US11923202B2 (en) Double patterning method
DE102017126236B3 (en) A method of forming a semiconductor device for metal gates with a roughened barrier layer
DE102018115204A1 (en) STRUCTURING METHOD FOR SEMICONDUCTOR COMPONENTS AND RESULTS THEREFOR
DE102016114705B4 (en) Etch stop layer for semiconductor components
DE102017128235A1 (en) STRUCTURING METHOD FOR A SEMICONDUCTOR COMPONENT AND RESULTING STRUCTURES THEREFOR
DE102016123943A1 (en) Semiconductor processes and devices
US10170307B1 (en) Method for patterning semiconductor device using masking layer
DE102018106631A1 (en) Cutting method for semiconductor structure and structures formed thereby
DE102013103976B4 (en) Semiconductor device with self-aligned connections and blocking sections
DE102015112267A1 (en) METHOD AND STRUCTURE FOR FINFET
DE102017118345B4 (en) CONTACT OPENINGS AND METHOD OF MAKING THEM
DE102019203596B4 (en) Multiple structuring with mandrel cuts formed using a block mask
DE112006003206T5 (en) Method of making a contact in a semiconductor device
DE102016119019B4 (en) Semiconductor component and manufacturing process therefor
DE102017125781A1 (en) Method for removing an etching mask
DE102019204967A1 (en) Chamfered exchange gate structures
DE102021101467A1 (en) SEMICONDUCTOR STRUCTURING AND RESULTING STRUCTURES
DE102021116181A1 (en) TRANSISTOR GATE STRUCTURES AND METHODS OF FORMING SAME
DE112016006630T5 (en) Method for producing a semiconductor device
DE102017127390B4 (en) Method of manufacturing a semiconductor device
DE102009004550A1 (en) Process for the formation of intermediates
DE102018206438B4 (en) Process for the production of contact structures
DE102019200831A1 (en) contact structures
DE102021110022A1 (en) INTERCONNECT STRUCTURE FOR SEMICONDUCTOR DEVICES
DE102021113693A1 (en) INTERCONNECT FEATURES WITH SHARP CORNERS AND THEIR METHOD OF MANUFACTURE

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final