DE102017127209A1 - INTERMEDIATE METAL FILM WITH DIFFUSION IN SOURCE / DRAIN - Google Patents

INTERMEDIATE METAL FILM WITH DIFFUSION IN SOURCE / DRAIN Download PDF

Info

Publication number
DE102017127209A1
DE102017127209A1 DE102017127209.7A DE102017127209A DE102017127209A1 DE 102017127209 A1 DE102017127209 A1 DE 102017127209A1 DE 102017127209 A DE102017127209 A DE 102017127209A DE 102017127209 A1 DE102017127209 A1 DE 102017127209A1
Authority
DE
Germany
Prior art keywords
dopant
source
semiconductor
intermetal
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017127209.7A
Other languages
German (de)
Inventor
Chih-Teng Liao
Yi-Wei Chiu
Tzu-Chan Weng
Chih Hsuan Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/665,968 external-priority patent/US10170555B1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017127209A1 publication Critical patent/DE102017127209A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Abstract

Ein Verfahren umfasst das Ätzen eines Substrats, um einen ersten Halbleiterstreifen auszubilden. Eine erste Dummy-Gatestruktur wird über einem ersten Kanalbereich des ersten Halbleiterstreifens ausgebildet. Eine erste und eine zweite Vertiefung werden in dem ersten Halbleiterstreifen auf beiden Seiten eines ersten Dummy-Gates geätzt. Ein Zwischenmetall-Dotierfilm wird in der ersten Vertiefung und der zweiten Vertiefung ausgebildet. Ein Dotierstoff des Zwischenmetall-Dotierfilms wird in den ersten Halbleiterstreifen nahe den Vertiefungen diffundiert. Source/Drain-Bereiche werden in den Vertiefungen epitaktisch gezüchtet. Eine Vorrichtung umfasst Halbleiterstreifen und eine Mehrzahl von Gatestapeln. Ein erster epitaktischer Source/Drain-Bereich ist zwischen zwei ersten der Mehrzahl von Gatestapeln angeordnet. Ein erster Dotierstoffdiffusionsbereich umgibt den ersten epitaktischen Source/Drain-Bereich und weist eine erste Konzentration eines ersten Dotierstoffs auf, die höher als eine zweite Konzentration des ersten Dotierstoffs außerhalb des ersten Dotierstoffdiffusionsbereichs ist.One method includes etching a substrate to form a first semiconductor strip. A first dummy gate structure is formed over a first channel region of the first semiconductor strip. First and second recesses are etched in the first semiconductor strip on both sides of a first dummy gate. An intermetal doping film is formed in the first recess and the second recess. A dopant of the intermetallic doping film is diffused in the first semiconductor strips near the pits. Source / drain regions are grown epitaxially in the wells. A device comprises semiconductor strips and a plurality of gate stacks. A first epitaxial source / drain region is disposed between two first ones of the plurality of gate stacks. A first dopant diffusion region surrounds the first epitaxial source / drain region and has a first concentration of a first dopant that is higher than a second concentration of the first dopant outside of the first dopant diffusion region.

Description

BEANSPRUCHUNG DER PRIORITÄT UND QUERVERWEISECLAIM OF PRIORITY AND CROSS-REFERENCES

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 62/527 698 mit dem Titel „Intermetallic Doping Film with Diffusion in Source/Drain“, eingereicht am 30. Juni 2017, die hiermit durch Bezugnahme aufgenommen wird.This application claims the priority of the provisional US Application No. 62/527 698 entitled "Intermetallic Doping Film with Diffusion in Source / Drain," filed Jun. 30, 2017, which is hereby incorporated by reference.

HINTERGRUNDBACKGROUND

Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen verwendet, beispielsweise PCs, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden üblicherweise hergestellt, indem isolierende oder dielektrische Schichten, leitende Schichten und Halbleiterschichten verschiedener Materialien nach einander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten mittels Lithographie strukturiert werden, um Schaltungskomponenten und - Elemente darauf auszubilden.Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic devices. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers and semiconductor layers of various materials over a semiconductor substrate and patterning the various layers of material by lithography to form circuit components and elements thereon.

Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z.B. Transistoren, Dioden, Widerständen, Kondensatoren etc.) durch kontinuierliche Verkleinerung der minimalen Merkmalsgröße, die es ermöglicht, dass mehr Komponenten in eine vorgegebene Fläche integriert werden können. Wenn jedoch die minimale Merkmalgröße verkleinert wird, ergeben sich zusätzliche Probleme, die behoben werden sollten.The semiconductor industry improves the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continuously reducing the minimum feature size, which allows more components to be integrated into a given area. However, minimizing the minimum feature size introduces additional issues that should be addressed.

Figurenlistelist of figures

Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.

  • 1 ist eine Perspektivansicht einer Fin-Feldeffekttransistor- („FinFET“) - Vorrichtung gemäß einigen Ausführungsformen.
  • Die 2A bis 26A, 26B und 26C sind Querschnittsansichten von Zwischenstufen bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
  • Die 27C, 28C und 29C sind Querschnittsansichten einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
Aspects of the present disclosure will be best understood from the following detailed description when read with the accompanying drawings. It should be noted that various features are not drawn to scale according to industry standard practice. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
  • 1 FIG. 4 is a perspective view of a Fin Field Effect Transistor ("FinFET") device, in accordance with some embodiments.
  • The 2A to 26A . 26B and 26C 12 are cross-sectional views of intermediate stages in the fabrication of a FinFET device according to some embodiments.
  • The 27C . 28C and 29C FIG. 15 are cross-sectional views of a FinFET device according to some embodiments. FIG.

DETAILLIERTE BESCHREIBUNG BEISPIELHAFTER AUSFÜHRUNGSFORMENDETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS

Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.The following disclosure provides many different embodiments or examples to implement various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course these are just examples and should not be limiting. For example, forming a first element over or on a second element in the following description may include embodiments in which the first and second elements are in direct contact, and may also include embodiments in which additional elements are interposed between the first element and the second element second element may be formed so that the first and the second element do not have to be in direct contact. In addition, the present disclosure may repeat reference numerals and / or letters in the various examples. This repetition is for the purpose of simplicity and clarity and in itself does not enforce any relationship between the various described embodiments and / or configurations.

Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder einer Einrichtung mit anderen Element(en) oder Einrichtung(en) zu beschreiben, wie in den Figuren gezeigt ist. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.Further, spatially relative terms such as "below," "below," "lower," "above," "upper," and the like, for convenience of description, may be used to describe the relationship of one element or device to another element (FIG. en) or device (s) as shown in the figures. The spatially relative terms are intended to encompass different orientations of the device being used or operated in addition to the orientation shown in the figures. The device may be oriented differently (rotated 90 degrees or in a different orientation) and the spatially relative terms used herein may also be interpreted accordingly.

Ausführungsformen werden in Bezug auf einen spezifischen Kontext beschrieben, nämlich eine FinFET-Vorrichtung und ein Verfahren zum Ausbilden derselben. Verschiedene hier beschriebene Ausführungsformen ermöglichen das Ausbilden von Source/Drain-Bereichen einer FinFET-Vorrichtung so, dass die Dotierstoffkonzentration in einer Vertiefungsgrenzfläche des Source/Drain-Bereichs erhöht ist, um den Schichtwiderstand zu verringern und die Trägerbeweglichkeit zu erhöhen. Verschiedene hier vorgestellte Ausführungsformen werden im Zusammenhang mit FinFETs beschrieben, die unter Verwendung eines Gate-Last-Verfahrens ausgebildet werden. In weiteren Ausführungsformen kann ein Gate-First-Verfahren verwendet werden. Zudem erwägen einige Ausführungsformen Aspekte, die in planaren Vorrichtungen verwendet werden, wie z. B. planaren FETs.Embodiments will be described with respect to a specific context, namely, a FinFET device and a method of forming the same. Various embodiments described herein allow the formation of source / drain regions of a FinFET device such that the dopant concentration in a well interface of the source / drain region is increased to reduce sheet resistance and increase carrier mobility. Various embodiments presented herein are described in the context of FinFETs formed using a gate-load method. In further embodiments, a gate-first method may be used. In addition, some embodiments contemplate aspects that appear in planar devices are used, such as. B. planar FETs.

1 zeigt ein Beispiel eines Fin-Feldeffekttransistors (FinFET) 30 in einer dreidimensionalen Ansicht. Der FinFET 30 umfasst eine Finne 36 auf einem Substrat 32. Das Substrat 32 weist Isolationsbereiche 34 auf und die Finne 36 ragt über benachbarte Isolationsbereiche 34 hervor und liegt zwischen ihnen. Ein Gatedielektrikum 38 ist entlang Seitenwänden und über einer oberen Fläche der Finne 36 angeordnet und eine Gateelektrode 40 ist über dem Gatedielektrikum 38 angeordnet. Source/Drain-Bereiche 42 und 44 sind auf gegenüberliegenden Seiten der Finne 36 in Bezug auf das Gatedielektrikum 38 und die Gateelektrode 40 angeordnet. 1 zeigt ferner Referenzquerschnitte, die in den nachfolgenden Figuren verwendet werden. Der Querschnitt AA geht durch einen Kanal, das Gatedielektrikum 38 und die Gateelektrode 40 des FinFETs 30. Der Querschnitt CC liegt in einer Ebene, die parallel zum Querschnitt AA und durch die Finne 36 außerhalb des Kanals angeordnet ist. Der Querschnitt BB steht senkrecht zum Querschnitt AA und liegt entlang einer Längsachse der Finne 36 und in Richtung beispielsweise eines Stromflusses zwischen den Source/Drain-Bereichen 42 und 44. Die nachfolgenden Figuren beziehen sich zur Klarheit auf diese Referenzquerschnitte. 1 shows an example of a fin field effect transistor (FinFET) 30 in a three-dimensional view. The FinFET 30 includes a fin 36 on a substrate 32 , The substrate 32 has isolation areas 34 on and the Finn 36 protrudes over adjacent isolation areas 34 and lies between them. A gate dielectric 38 is along sidewalls and over an upper surface of the fin 36 arranged and a gate electrode 40 is above the gate dielectric 38 arranged. Source / drain regions 42 and 44 are on opposite sides of the fin 36 with respect to the gate dielectric 38 and the gate electrode 40 arranged. 1 also shows reference cross sections used in the following figures. The cross section AA passes through a channel, the gate dielectric 38 and the gate electrode 40 of the FinFET 30 , The cross section CC lies in a plane parallel to the cross section AA and through the fin 36 is arranged outside the channel. The cross section BB is perpendicular to the cross section AA and lies along a longitudinal axis of the fin 36 and in the direction of, for example, a current flow between the source / drain regions 42 and 44 , The following figures refer to these reference cross sections for clarity.

Die 2A bis 26A-C sind Querschnittsansichten von Zwischenstufen bei der Herstellung von FinFETs gemäß einigen Ausführungsformen. In den 2A bis 26A-C sind Figuren, die mit einer „A“ - Beschriftung enden, entlang des in 1 gezeigten Referenzquerschnitts AA gezeigt, außer dass es mehrere FinFETs und mehrere Finnen pro FinFET gibt; Figuren, die mit einer „B“-Besehriftung enden, sind entlang des in 1 gezeigten Referenzquerschnitts BB gezeigt; und Figuren, die mit einer „C“-Besehriftung enden, sind entlang des in 1 gezeigten Querschnitts CC gezeigt. In einigen Fällen werden Querschnittsansichten in bestimmten Schritten weggelassen, beispielsweise wenn der weggelassene Querschnitt nicht speziell beschrieben wird.The 2A to 26A-C FIG. 15 are cross-sectional views of intermediate stages in the fabrication of FinFETs according to some embodiments. FIG. In the 2A to 26A-C are figures that end with an "A" lettering along the in 1 shown reference cross section AA, except that there are several FinFETs and a plurality of fins per FinFET; Figures ending with a "B" bi-directional education are along the in 1 shown reference cross-section BB shown; and figures ending with a "C" bi-directional drift are along the in 1 shown cross-section CC shown. In some cases, cross-sectional views are omitted in certain steps, for example, if the omitted cross-section is not specifically described.

2A zeigt ein Substrat 50. Das Substrat 50 kann ein Halbleitersubstrat sein, beispielsweise ein Bulk-Halbleiter-, ein Halbleiter-auf-Isolator- (SOI) -Substrat oder dergleichen, das (z. B. mit einem p- oder einem n-Dotierstoff) dotiert oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, etwa ein Siliziumwafer. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, das auf einer Isolatorschicht ausgebildet ist. Die Isolatorschicht kann beispielsweise eine vergrabene Oxidschicht (BOX), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat vorgesehen, typischerweise einem Silizium- oder Glassubstrat. Es können auch andere Substrate, wie ein mehrschichtiges oder Gradientsubstrat, verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter, beispielsweise Siliziumkarbid, Gallium-Arsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, beispielsweise SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon umfassen. 2A shows a substrate 50 , The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor on insulator (SOI) substrate, or the like, which may be doped or undoped (eg, with a p- or n-type dopant). The substrate 50 may be a wafer, such as a silicon wafer. In general, an SOI substrate comprises a layer of a semiconductor material formed on an insulator layer. The insulator layer may be, for example, a buried oxide layer (BOX), a silicon oxide layer or the like. The insulator layer is provided on a substrate, typically a silicon or glass substrate. Other substrates, such as a multilayer or gradient substrate, may also be used. In some embodiments, the semiconductor material of the substrate 50 Silicon; germanium; a compound semiconductor such as silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; an alloy semiconductor such as SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof.

Das Substrat 50 kann ferner integrierte Schaltungsvorrichtungen (nicht gezeigt) umfassen. Wie ein Durchschnittsfachmann erkennen wird, kann eine große Vielzahl von integrierten Schaltungsvorrichtungen, wie etwa Transistoren, Dioden, Kondensatoren, Widerstände oder dergleichen oder Kombinationen davon, in und/oder auf dem Substrat 50 ausgebildet sein, um die strukturellen und/oder funktionalen Anforderungen an den Entwurf für die resultierenden FinFETs zu erfüllen. Die integrierten Schaltungsvorrichtungen können unter Verwendung irgendeines geeigneten Verfahrens ausgebildet werden.The substrate 50 may further include integrated circuit devices (not shown). As one of ordinary skill in the art will appreciate, a wide variety of integrated circuit devices, such as transistors, diodes, capacitors, resistors, or the like, or combinations thereof, may be incorporated in and / or on the substrate 50 be designed to meet the structural and / or functional design requirements for the resulting FinFETs. The integrated circuit devices may be formed using any suitable method.

In einigen Ausführungsformen kann das Substrat 50 einen ersten Bereich 100A und einen zweiten Bereich 100B umfassen. Der erste Bereich 100A kann zum Ausbilden von n-Vorrichtungen dienen, wie zum Beispiel n-Metalloxid-Halbleiter- (NMOS) -Transistoren, etwa n-FinFETs. Der zweite Bereich 100B kann zum Ausbilden von p-Vorrichtungen dienen, wie zum Beispiel p-Metalloxid-Halbleiter- (PMOS) -Transistoren, etwa p-FinFETs. Dementsprechend können der erste Bereich 100A auch als NMOS-Bereich 100A und der zweite Bereich 100B auch als PMOS-Bereich 100B bezeichnet werden.In some embodiments, the substrate may be 50 a first area 100A and a second area 100B include. The first area 100A may serve to form n-type devices, such as n-type metal oxide semiconductor (nMOS) transistors, such as n-type FinFETs. The second area 100B may serve to form p-type devices, such as p-type metal oxide semiconductor (PMOS) transistors, such as p-type FinFETs. Accordingly, the first area 100A also as NMOS area 100A and the second area 100B also as a PMOS area 100B be designated.

2A zeigt ferner das Ausbilden einer Maske 53 über dem Substrat 50. In einigen Ausführungsformen kann die Maske 53 in einem nachfolgenden Ätzschritt verwendet werden, um das Substrat 50 zu strukturieren (siehe 3A). Wie in 2A gezeigt, kann die Maske 53 eine erste Maskenschicht 53A und eine zweite Maskenschicht 53B umfassen. Die erste Maskenschicht 53A kann eine Hartmaskenschicht sein. In einigen Ausführungsformen kann die erste Maskenschicht 53A Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid, Siliziumkarbonitrid, eine Kombination davon oder dergleichen umfassen und kann unter Verwendung irgendeines geeigneten Verfahrens, wie etwa Atomlagenabscheidung (ALD), physikalischer Gasphasenabscheidung (PVD), chemischer Gasphasenabscheidung (CVD), einer Kombination davon oder dergleichen ausgebildet werden. Die erste Maskenschicht 53A kann verwendet werden, um ein Ätzen des Substrats 50, das unter der ersten Maskenschicht 53A liegt, in dem nachfolgenden Ätzschritt zu verhindern oder zu minimieren (siehe 3A). Die zweite Maskenschicht 53B kann einen Photoresist umfassen und kann in einigen Ausführungsformen dazu verwendet werden, die erste Maskenschicht 53A zur Verwendung in dem oben beschriebenen nachfolgenden Ätzschritt zu strukturieren. Die zweite Maskenschicht 53B kann unter Verwendung einer Rotationsbeschichtungstechnik ausgebildet werden und kann unter Verwendung geeigneter Photolithographietechniken strukturiert werden. In einigen Ausführungsformen kann die Maske 53 drei oder mehr Maskenschichten umfassen. 2A further shows the formation of a mask 53 above the substrate 50 , In some embodiments, the mask 53 be used in a subsequent etching step to the substrate 50 to structure (see 3A) , As in 2A shown, the mask can 53 a first mask layer 53A and a second mask layer 53B include. The first mask layer 53A may be a hardmask layer. In some embodiments, the first mask layer 53A Silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, a combination thereof, or the like may be formed using any suitable method such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), a combination thereof, or the like. The first mask layer 53A can be used to etch the substrate 50 that under the first mask layer 53A is to prevent or minimize in the subsequent etching step (see 3A) , The second mask layer 53B may comprise a photoresist and may be used in some embodiments, the first mask layer 53A for use in the subsequent etching step described above. The second mask layer 53B can be formed using a spin coating technique and can be patterned using suitable photolithographic techniques. In some embodiments, the mask 53 comprise three or more mask layers.

3A zeigt das Ausbilden von Halbleiterstreifen 52 in dem Substrat 50. Zuerst können die Maskenschichten 53A und 53B strukturiert werden, wobei Öffnungen in den Maskenschichten 53A und 53B Bereiche des Substrats 50 freilegen, wo Gräben 55 ausgebildet werden. Als nächstes wird ein Ätzverfahren durchgeführt, wobei das Ätzverfahren die Gräben 55 in dem Substrat 50 durch die Öffnungen in der Maske 53 erzeugt. Die verbleibenden Abschnitte des Substrats 50, die unter einer strukturierten Maske 53 liegen, bilden eine Mehrzahl von Halbleiterstreifen 52. Das Ätzen kann ein beliebiges geeignetes Ätzverfahren sein, wie ein reaktives Ionenätzen (RIE), ein Neutralstrahlätzen (NBE), dergleichen oder eine Kombination davon. Das Ätzverfahren kann anisotrop sein. In einigen Ausführungsformen können die Halbleiterstreifen 52 eine Höhe Ho zwischen etwa 50 nm und etwa 60 nm und eine Breite Wo zwischen etwa 6 nm und etwa 8 nm aufweisen. 3A shows the formation of semiconductor strips 52 in the substrate 50 , First, the mask layers 53A and 53B be structured, with openings in the mask layers 53A and 53B Areas of the substrate 50 expose where trenches 55 are formed. Next, an etching process is performed wherein the etching process includes trenches 55 in the substrate 50 through the openings in the mask 53 generated. The remaining sections of the substrate 50 under a textured mask 53 lie, form a plurality of semiconductor strips 52 , The etching may be any suitable etching method, such as reactive ion etching (RIE), neutral beam etching (NBE), the like, or a combination thereof. The etching process may be anisotropic. In some embodiments, the semiconductor strips may 52 a height H o between about 50 nm and about 60 nm and a width W o between about 6 nm and about 8 nm.

4A zeigt das Ausbilden eines Isolationsmaterials in den Gräben 55 (siehe 3A) zwischen benachbarten Halbleiterstreifen 52, um Isolationsbereiche 54 auszubilden. Das Isolationsmaterial kann ein Oxid, wie Siliziumoxid, ein Nitrid, wie Siliziumnitrid, dergleichen oder eine Kombination davon sein und kann durch eine hochdichte chemische Plasma-Gasphasenabscheidung (HDP-CVD), eine fließfähige CVD (FCVD) (z. B. eine CVD-basierte Materialabscheidung in einem entfernten Plasmasystem und Nachhärten, um es in ein anderes Material wie ein Oxid umzuwandeln), dergleichen, oder eine Kombination davon ausgebildet werden. Andere Isolationsmaterialien, die durch irgendwelche geeigneten Verfahren ausgebildet werden, können ebenfalls verwendet werden. 4A shows the formation of an insulating material in the trenches 55 (see 3A) between adjacent semiconductor strips 52 to isolation areas 54 train. The insulating material may be an oxide such as silicon oxide, a nitride such as silicon nitride, the like, or a combination thereof, and may be formed by a high density chemical vapor deposition (HDP-CVD), a flowable CVD (FCVD) (e.g., a CVD). based material deposition in a remote plasma system and post-curing to convert it to another material such as an oxide), the like, or a combination thereof. Other insulation materials formed by any suitable method may also be used.

Ferner können die Isolationsbereiche 54 in einigen Ausführungsformen eine Auskleidung (nicht gezeigt) aufweisen, die an Seitenwänden und einer Bodenfläche der Gräben 55 (siehe 3A) ausgebildet wird, bevor die Gräben 55 mit einem Isolationsmaterial der Isolationsbereiche 54 gefüllt werden. In einigen Ausführungsformen kann die Auskleidung ein Halbleiternitrid (z. B. ein Siliziumnitrd), ein Halbleiteroxid (z. B. ein Siliziumoxid), ein thermisches Halbleiteroxid (z. B. ein thermisches Siliziumoxid), ein Halbleiteroxynitrid (z. B. ein Siliziumoxynitrid), ein Polymerdielektrikum, Kombinationen davon und dergleichen umfassen. Das Ausbilden der Auskleidung kann jedes geeignete Verfahren umfassen, beispielsweise ALD, CVD, HDP-CVD, PVD, eine Kombination davon oder dergleichen. In einigen Ausführungsformen kann das Ausbilden der Auskleidung zu einer konform abgeschiedenen Schicht mit horizontalen Abschnitten und vertikalen (oder nicht horizontalen) Abschnitten führen, die im Wesentlichen die gleiche Dicke aufweisen, wobei beispielsweise die vertikale Dicke der vertikalen Abschnitte der Auskleidung und die horizontale Dicke der horizontalen Abschnitte der Auskleidung eine Differenz von weniger als 20 Prozent aufweisen. In solchen Ausführungsformen kann die Auskleidung die Diffusion des Halbleitermaterials von den Halbleiterstreifen 52 (z. B. Si und/oder Ge) in die umgebenden Isolationsbereiche 54 während des nachfolgenden Temperns der Isolationsbereiche 54 verhindern (oder zumindest verringern). Zum Beispiel kann, nachdem das Isolationsmaterial der Isolationsbereiche 54 abgeschieden worden ist, ein Temperverfahren auf das Isolationsmaterial der Isolationsbereiche 54 angewendet werden.Furthermore, the isolation areas 54 in some embodiments, have a liner (not shown) attached to sidewalls and a bottom surface of the trenches 55 (see FIG 3A) is formed before the trenches 55 with an insulation material of the isolation areas 54 be filled. In some embodiments, the liner may be a semiconductor nitride (eg, a silicon nitride), a semiconductor oxide (eg, a silicon oxide), a thermal semiconductor oxide (eg, a thermal silicon oxide), a semiconductor oxynitride (eg, a silicon oxynitride ), a polymer dielectric, combinations thereof, and the like. Forming the liner may include any suitable method, such as ALD, CVD, HDP-CVD, PVD, a combination thereof, or the like. In some embodiments, the formation of the liner may result in a conformally deposited layer having horizontal portions and vertical (or non-horizontal) portions having substantially the same thickness, for example, the vertical thickness of the vertical portions of the liner and the horizontal thickness of the horizontal Sections of the lining have a difference of less than 20 Percent. In such embodiments, the liner may facilitate the diffusion of the semiconductor material from the semiconductor strips 52 (eg Si and / or Ge) into the surrounding isolation areas 54 during the subsequent annealing of the isolation areas 54 prevent (or at least reduce). For example, after the insulation material of the isolation areas 54 has been deposited, an annealing process on the insulation material of the isolation areas 54 be applied.

Weiter bezugnehmend auf 4A kann ein Planarisierungsverfahren, wie zum Beispiel ein chemisch-mechanisches Polieren (CMP), jedes überschüssige Isolationsmaterial der Isolationsbereiche 54 entfernen, so dass die oberen Flächen der Isolationsbereiche 54 und die oberen Flächen der Halbleiterstreifen 52 (innerhalb von Prozessvarianzen) koplanar sind. In einigen Ausführungsformen kann das CMP auch die Maske 53 entfernen. In weiteren Ausführungsformen kann die Maske 53 unter Verwendung eines Nassreinigungsverfahrens getrennt von dem CMP entfernt werden.Further referring to 4A For example, a planarization process, such as chemical mechanical polishing (CMP), any excess isolation material of the isolation regions 54 remove, leaving the top surfaces of the isolation areas 54 and the upper surfaces of the semiconductor strips 52 (within process variances) are coplanar. In some embodiments, the CMP may also be the mask 53 remove. In further embodiments, the mask 53 be removed separately from the CMP using a wet cleaning process.

5A zeigt das Vertiefen der Isolationsbereiche 54, um flache Grabenisolations-(STI) -Bereiche 54 auszubilden. Die Isolationsbereiche 54 werden so vertieft, dass Finnen 56 in dem ersten Bereich 100A und in dem zweiten Bereich 100B zwischen benachbarten Isolationsbereichen 54 vorstehen. Ferner können die oberen Flächen der Isolationsbereiche 54 eine ebene Oberfläche wie gezeigt, eine konvexe Oberfläche, eine konkave Oberfläche (wie z. B. Höhlungen) oder eine Kombination davon aufweisen. Die oberen Flächen der Isolationsbereiche 54 können durch ein geeignetes Ätzen flach, konvex und/oder konkav ausgebildet werden. Die Isolationsbereiche 54 können unter Verwendung eines geeigneten Ätzverfahrens, das beispielsweise selektiv für das Material der Isolationsbereiche 54 ist, vertieft werden. Beispielsweise kann ein chemisches Entfernen des Oxids unter Verwendung einer CERTAS®-Ätzung oder eines SICONI-Werkzeugs von Applied Materials oder verdünnter Fluorwasserstoff-(dHF) -Säure verwendet werden. 5A shows the depth of the isolation areas 54 to form shallow trench isolation (STI) regions 54. The isolation areas 54 become so engrossed that Finns 56 in the first area 100A and in the second area 100B between adjacent isolation areas 54 protrude. Furthermore, the upper surfaces of the isolation areas 54 have a flat surface as shown, a convex surface, a concave surface (such as cavities), or a combination thereof. The upper surfaces of the isolation areas 54 can be made flat, convex and / or concave by suitable etching. The isolation areas 54 can be made using a suitable etching process, for example, selective for the material of the isolation areas 54 is to be absorbed. For example, chemical removal of the oxide using a CERTAS® etch or a SICONI tool from Applied Materials or dilute hydrogen fluoride (dHF) acid may be used.

Das in Bezug auf die 2A bis 5A beschriebene Verfahren ist nur ein Beispiel dafür, wie die Finnen 56 ausgebildet werden können. In weiteren Ausführungsformen kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 ausgebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaktische Strukturen können epitaktisch in den Gräben gezüchtet werden; und die dielektrische Schicht kann so vertieft werden, dass die homoepitaktischen Strukturen von der dielektrischen Schicht vorstehen, so dass sie Finnen bilden. In noch weiteren Ausführungsformen können heteroepitaktische Strukturen für die Finnen verwendet werden. Beispielsweise können die Halbleiterstreifen 52 in 4 vertieft werden und ein Material, das sich von den Halbleiterstreifen 52 unterscheidet, kann an ihrer Stelle epitaktisch gezüchtet werden. In noch einer weiteren Ausführungsform kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 ausgebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; heteroepitaktische Strukturen können epitaktisch in den Gräben unter Verwendung eines von dem Substrat 50 verschiedenen Materials gezüchtet werden; und die dielektrische Schicht kann so vertieft werden, dass die heteroepitaktischen Strukturen von der dielektrischen Schicht vorstehen, so dass sie die Finnen 56 bilden. In einigen Ausfiihrungsformen, in denen homoepitaktische oder heteroepitaktische Strukturen epitaktisch gezüchtet werden, können die gezüchteten Materialien während des Wachstums in situ dotiert werden. In weiteren Ausfiihrungsformen können homoepitaktische oder heteroepitaktische Strukturen beispielsweise unter Verwendung von Ionenimplantation dotiert werden, nachdem die homoepitaktischen oder heteroepitaktischen Strukturen epitaktisch gezüchtet wurden. Noch weiter kann es vorteilhaft sein, ein Material in dem NMOS-Bereich 100A, das sich von dem Material in dem PMOS-Bereich 100B unterscheidet, epitaktisch zu züchten. In verschiedenen Ausführungsformen können die Finnen 56 Silizium-Germanium (SixGe1-x, wobei x zwischen etwa o und 1 liegen kann), Siliziumkarbid, reines oder im Wesentlichen reines Germanium, einen III-V-Verbindungshalbleiter, einen II-VI-Verbindungshalbleiter oder dergleichen umfassen. Beispielsweise umfassen die verfügbaren Materialien zum Ausbilden von III-V-Verbindungshalbleitern, ohne Einschränkungen, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen.That in terms of 2A to 5A The method described is just one example of how the Finns 56 can be trained. In further embodiments, a dielectric Layer over an upper surface of the substrate 50 be formed; Trenches can be etched through the dielectric layer; homoepitaxial structures can be epitaxially grown in the trenches; and the dielectric layer may be recessed so that the homoepitaxial structures project from the dielectric layer to form fins. In yet other embodiments, heteroepitaxial structures may be used for the fins. For example, the semiconductor strips 52 in 4 be deepened and a material that differs from the semiconductor strips 52 can be epitaxially grown in their place. In yet another embodiment, a dielectric layer may overlie a top surface of the substrate 50 be formed; Trenches can be etched through the dielectric layer; Heteroepitaxial structures can be epitaxially grown in the trenches using one of the substrate 50 different material are bred; and the dielectric layer may be recessed so that the heteroepitaxial structures protrude from the dielectric layer to form the fins 56 form. In some embodiments, in which homoepitaxial or heteroepitaxial structures are epitaxially grown, the grown materials may be in situ doped during growth. In other embodiments, for example, homoepitaxial or heteroepitaxial structures may be doped using ion implantation after the homoepitaxial or heteroepitaxial structures have been epitaxially grown. Still further, it may be advantageous to have a material in the NMOS region 100A that is different from the material in the PMOS area 100B differs to epitaxially breed. In various embodiments, the fins may 56 Silicon germanium (Si x Ge 1-x , where x is between about o and 1 silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, an II-VI compound semiconductor or the like. For example, the available materials for forming III-V compound semiconductors include, without limitation, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

In den 6A und 6B wird eine Dummy-Dielektrikumsschicht 58 auf den Finnen 56 ausgebildet. Die Dummy-Dielektrikumsschicht 58 kann zum Beispiel aus Siliziumoxid, Siliziumnitrid, einer Kombination davon oder dergleichen bestehen und kann gemäß geeigneter Techniken (beispielsweise unter Verwendung von CVD, PVD, einer Kombination davon oder dergleichen) abgeschieden oder (beispielsweise unter Verwendung von thermischer Oxidation oder dergleichen) thermisch gezüchtet werden. Eine Dummy-Gateschicht 60 wird über der Dummy-Dielektrikumsschicht 58 ausgebildet und eine Maske 62 wird über der Dummy-Gateschicht 60 ausgebildet. In einigen Ausführungsformen kann die Dummy-Gateschicht 60 über der Dummy-Dielektrikumsschicht 58 abgeschieden und dann beispielsweise unter Verwendung eines CMP-Verfahrens planarisiert werden. Die Maske 62 kann über der Dummy-Gateschicht 60 abgeschieden werden. Die Dummy-Gateschicht 60 kann beispielsweise aus Polysilizium hergestellt sein, obwohl andere Materialien, die eine hohe Ätzselektivität in Bezug auf das Material der Isolationsbereiche 54 aufweisen, ebenfalls verwendet werden können. Die Maske 62 kann eine oder mehrere Schichten umfassen, zum Beispiel Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid, Siliziumkarbonitrid, dergleichen oder eine Kombination davon. In einer Ausführungsform umfasst die Maske 62 eine erste Maskenschicht 62A aus Siliziumnitrid und eine zweite Maskenschicht 62B aus Siliziumoxid. In einigen Ausführungsformen kann die erste Maskenschicht 62A eine Dicke zwischen etwa 18 nm und etwa 22 nm und die zweite Maskenschicht 62B eine Dicke zwischen etwa 50 nm und etwa 90 nm aufweisen. In einigen Ausführungsformen kann die Dummy-Dielektrikumsschicht 58 eine Dicke zwischen etwa 11 nm und etwa 15 nm und die Dummy-Gateschicht 60 eine Dicke zwischen etwa 50 nm und etwa 80 nm aufweisen. In einigen Ausführungsformen kann die Dummy-Dielektrikumsschicht 58 weggelassen werden.In the 6A and 6B becomes a dummy dielectric layer 58 on the Finns 56 educated. The dummy dielectric layer 58 For example, it may be silicon oxide, silicon nitride, a combination thereof, or the like, and may be deposited or thermally grown (for example, using thermal oxidation or the like) according to appropriate techniques (for example, using CVD, PVD, a combination thereof, or the like). A dummy gate layer 60 is over the dummy dielectric layer 58 trained and a mask 62 gets over the dummy gate layer 60 educated. In some embodiments, the dummy gate layer 60 over the dummy dielectric layer 58 deposited and then planarized using, for example, a CMP method. The mask 62 can over the dummy gate layer 60 be deposited. The dummy gate layer 60 may be made of polysilicon, for example, although other materials have a high etch selectivity with respect to the material of the isolation regions 54 can also be used. The mask 62 may include one or more layers, for example, silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, the like, or a combination thereof. In an embodiment, the mask comprises 62 a first mask layer 62A silicon nitride and a second mask layer 62B made of silicon oxide. In some embodiments, the first mask layer 62A a thickness between about 18 nm and about 22 nm and the second mask layer 62B have a thickness between about 50 nm and about 90 nm. In some embodiments, the dummy dielectric layer 58 a thickness between about 11 nm and about 15 nm and the dummy gate layer 60 have a thickness between about 50 nm and about 80 nm. In some embodiments, the dummy dielectric layer 58 be omitted.

Bezugnehmend auf die 6A und 6B werden in der zeigten Ausführungsform eine einzelne Dummy-Dielektrikumsschicht 58, eine einzelne Dummy-Gateschicht 60 und eine einzelne Maske 62 über dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet. In weiteren Ausführungsformen können getrennte Dummy-Dielektrikumsschichten, getrennte Dummy-Gateschichten und getrennte Masken in dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet werden.Referring to the 6A and 6B In the embodiment shown, a single dummy dielectric layer will be used 58 , a single dummy gate layer 60 and a single mask 62 above that first area 100A and the second area 100B educated. In further embodiments, separate dummy dielectric layers, separate dummy gate layers, and separate masks may be in the first region 100A and the second area 100B be formed.

Bezugnehmend auf die 6A und 6B können geeignete dotierte Wannen (nicht gezeigt) in den Finnen 56, den Halbleiterstreifen 52 und/oder dem Substrat 50 ausgebildet werden. Vertiefungen können ausgebildet werden, bevor oder nachdem die Dummy-Gates 70 und 76 (nachstehend mit Bezug auf die 7A, 7B und 7C beschrieben) ausgebildet werden. In Ausführungsformen, in denen Wannen vor dem Ausbilden der Dummy-Gates 70 und 76 ausgebildet werden, können die Wannen beispielsweise vor dem Ausbilden der Dummy-Dielektrikumsschicht 58 ausgebildet werden. Zum Beispiel kann eine p-Wanne in dem ersten Bereich 100A und eine n-Wanne in dem zweiten Bereich 100B ausgebildet werden. Die verschiedenen Implantationsschritte für die verschiedenen Bereiche 100A und 100B können unter Verwendung eines Photoresists oder weiterer Masken (nicht gezeigt) durchgeführt werden. Zum Beispiel wird einen Photoresist über den Finnen 56 und den Isolationsbereichen 54 in dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet. Der Photoresist wird strukturiert, um den zweiten Bereich 100B des Substrats 50, wie etwa einen PMOS-Bereich, freizulegen, während der erste Bereich 100A, beispielsweise ein NMOS-Bereich, geschützt wird. Der Photoresist kann unter Verwendung einer Rotationsbeschichtungstechnik ausgebildet werden und kann unter Verwendung von geeigneten Photolithographietechniken strukturiert werden. Sobald der Photoresist strukturiert ist, werden n-Verunreinigungen in den zweiten Bereich 100B implantiert, und der Photoresist kann als Maske dienen, um im Wesentlichen zu verhindern, dass n-Verunreinigungen in den ersten Bereich 100A implantiert werden. Die n-Verunreinigungen können Phosphor, Arsen oder ähnliches sein und können in dem zweiten Bereich 100B auf eine Konzentration 1018 cm-3 oder weniger, wie beispielsweise in einem Bereich von etwa 1017 cm-3 bis etwa 1018 cm-3, implantiert werden. Nach dem Implantationsverfahren wird der Photoresist unter Verwendung von beispielsweise einem geeigneten Veraschungsverfahren gefolgt von einem Nassreinigungsverfahren entfernt.Referring to the 6A and 6B may be suitable doped wells (not shown) in the fins 56 , the semiconductor strip 52 and / or the substrate 50 be formed. Wells can be formed before or after the dummy gates 70 and 76 (hereinafter referred to as 7A . 7B and 7C described) are formed. In embodiments where wells are prior to forming the dummy gates 70 and 76 For example, the wells may be formed prior to forming the dummy dielectric layer 58 be formed. For example, a p-well may be in the first area 100A and an n-well in the second region 100B be formed. The different implantation steps for the different areas 100A and 100B can be performed using a photoresist or other masks (not shown). For example, take a photoresist over the fins 56 and the isolation areas 54 in the first area 100A and the second area 100B educated. The photoresist is patterned to the second area 100B of the substrate 50 , such as a PMOS region, while the first region 100A For example, an NMOS area is protected. The photoresist may be formed using a spin coating technique and may be patterned using suitable photolithographic techniques. Once the photoresist is patterned, n-type contaminants become the second region 100B implanted, and the photoresist can serve as a mask to substantially prevent n-type contaminants in the first area 100A be implanted. The n impurities may be phosphorus, arsenic or the like and may be in the second region 100B to a concentration 10 18 cm -3 or less, such as in a range of about 10 17 cm -3 to about 10 18 cm -3 implanted. After the implantation procedure, the photoresist is removed using, for example, a suitable ashing process followed by a wet cleaning process.

Nach dem Implantieren des zweiten Bereichs 100B wird ein zweiter Photoresist (nicht gezeigt) über den Finnen 56 und den Isolationsbereichen 54 in dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet. Der zweite Photoresist wird strukturiert, um den ersten Bereich 100A des Substrats 50 freizulegen, während der zweite Bereich 100B geschützt wird. Der zweite Photoresist kann unter Verwendung einer Rotationsbeschichtungstechnik ausgebildet und unter Verwendung geeigneter Photolithographietechniken strukturiert werden. Sobald der zweite Photoresist strukturiert ist, werden p-Verunreinigungen in den ersten Bereich 100A implantiert, und der zweite Photoresist kann als Maske dienen, um im Wesentlichen zu verhindern, dass p-Verunreinigungen in den zweiten Bereich 100B implantiert werden. Die p-Verunreinigungen können Bor, BF2 oder dergleichen sein und können in dem ersten Bereich 100A auf eine Konzentration von 1018 cm-3 oder weniger, wie beispielsweise in einem Bereich von etwa 1017 cm-3 bis etwa 1018 cm-3 implantiert werden. Nach dem Implantationsverfahren wird der zweite Photoresist entfernt, indem zum Beispiel ein geeignetes Veraschungsverfahren gefolgt von einem Nassreinigungsverfahren verwendet wird.After implanting the second area 100B is a second photoresist (not shown) over the fins 56 and the isolation areas 54 in the first area 100A and the second area 100B educated. The second photoresist is patterned to the first area 100A of the substrate 50 uncover while the second area 100B is protected. The second photoresist may be formed using a spin coating technique and patterned using appropriate photolithographic techniques. Once the second photoresist is patterned, p-type contaminants become the first region 100A implanted, and the second photoresist may serve as a mask to substantially prevent p-type impurities from entering the second region 100B be implanted. The p-type impurities may be boron, BF 2 or the like and may be in the first range 100A to a concentration of 10 18 cm -3 or less, such as in a range of about 10 17 cm -3 to about 10 18 cm -3 implanted. After the implantation process, the second photoresist is removed using, for example, a suitable ashing process followed by a wet cleaning process.

Nach dem Implantieren geeigneter Verunreinigungen in den ersten Bereich 100A und den zweiten Bereich 100B kann ein Tempern durchgeführt werden, um die p- und n-Verunreinigungen, die implantiert wurden, zu aktivieren. Das Implantationsverfahren kann eine p-Wanne in dem ersten Bereich 100A und eine n-Wanne in dem zweiten Bereich 100B ausbilden. In einigen Ausführungsformen, in denen die Finnen epitaktisch gezüchtet sind, können die gezüchteten Materialien der Finnen 56 während des Wachstumsverfahrens in situ dotiert werden.After implanting suitable contaminants in the first area 100A and the second area 100B For example, annealing may be performed to activate the p and n impurities that have been implanted. The implantation procedure may include a p-well in the first region 100A and an n-well in the second region 100B form. In some embodiments, where the fins are epitaxially grown, the cultured materials of the fins may be 56 be doped in situ during the growth process.

In den 7A, 7B und 7C kann die Maske 62 (siehe die 6A und 6B) unter Verwendung geeignete Photolithographie- und Ätztechniken strukturiert werden, um eine Maske 72 in dem ersten Bereich 100A und eine Maske 78 in dem zweiten Bereich 100B auszubilden. Die Struktur der Masken 72 und 78 kann dann durch eine geeignete Ätztechnik auf die Dummy-Gateschicht 60 übertragen werden, um Dummy-Gates 70 in dem ersten Bereich 100A und Dummy-Gates 76 in dem zweiten Bereich 100B auszubilden. Optional kann die Struktur der Masken 72 und 78 in ähnlicher Weise auf die Dummy-Dielektrikumsschicht 58 übertragen werden. Die Struktur der Dummy-Gates 70 und 76 bedeckt zugehörige Kanalbereiche der Finnen 56, während die Source/Drain-Bereiche der Finnen 56 freiliegen. Die Dummy-Gates 70 und 76 können auch eine Längsrichtung aufweisen, die im Wesentlichen senkrecht zur Längsrichtung der jeweiligen Finnen 56 ist. Eine Größe der Dummy-Gates 70 und 76 und ein Mittenabstand (Pitch) zwischen den Dummy-Gates 70 und 76 können von einem Bereich eines Dies abhängen, in dem die Dummy-Gates ausgebildet werden. In einigen Ausführungsformen können die Dummy-Gates 70 und 76 größer sein und einen größeren Mittenabstand aufweisen, wenn sie sich in einem Eingangs/Ausgangsbereich eines Dies befinden (wo beispielsweise Eingangs/Ausgangs-Schaltungen angeordnet sind), als wenn sie sich in einem Logikbereich eines Dies befinden (wo beispielsweise Logikschaltungen angeordnet sind). In einigen Ausführungsformen können die Dummy-Gates 70 eine Höhe zwischen etwa 135 nm und etwa 175 nm aufweisen und die Dummy-Gates 76 können eine Breite zwischen etwa 15 nm und etwa 27 nm aufweisen.In the 7A . 7B and 7C can the mask 62 (see the 6A and 6B) be patterned using suitable photolithography and etching techniques to form a mask 72 in the first area 100A and a mask 78 in the second area 100B train. The structure of the masks 72 and 78 can then be applied to the dummy gate layer by a suitable etching technique 60 be transferred to dummy gates 70 in the first area 100A and dummy gates 76 in the second area 100B train. Optionally, the structure of the masks 72 and 78 similarly to the dummy dielectric layer 58 be transmitted. The structure of the dummy gates 70 and 76 covers associated channel areas of the fins 56 while the source / drain regions of the fins 56 exposed. The dummy gates 70 and 76 may also have a longitudinal direction which is substantially perpendicular to the longitudinal direction of the respective fins 56 is. A size of the dummy gates 70 and 76 and a pitch between the dummy gates 70 and 76 may depend on a range of a die in which the dummy gates are formed. In some embodiments, the dummy gates 70 and 76 may be larger and have a larger pitch when in an input / output region of a die (where, for example, input / output circuits are arranged) than when they are in a logic region of a die (where, for example, logic circuits are arranged). In some embodiments, the dummy gates 70 have a height between about 135 nm and about 175 nm and the dummy gates 76 may have a width between about 15 nm and about 27 nm.

In den 8A, 8B und 8C wird eine Gate-Abstandshalterschicht 80 auf freiliegenden Oberflächen der Dummy-Gates 70 und 76 (siehe die 8A und 8B) und/oder der Dummy-Dielektrikumsschicht 58 über den Finnen 56 (siehe 8C) ausgebildet. Beliebige geeignete Verfahren zum Ausbilden der Gate-Abstandshalterschicht 80 können verwendet werden. In einigen Ausführungsformen kann eine Abscheidung (wie etwa CVD, ALD oder dergleichen) zum Ausbilden der Gate-Abstandshalterschicht 80 verwendet werden. In einigen Ausführungsformen kann die Gate-Abstandshalterschicht 80 eine oder mehrere Schichten umfassen, zum Beispiel Siliziumnitrid (SiN), Siliziumoxinitrid, Siliziumkarbonitrid, Siliziumoxykarbonitrid (SiOCN), eine Kombination davon oder dergleichen. In einigen Ausführungsformen kann die Gate-Abstandshalterschicht 80 eine erste Gate-Abstandshalterschicht 80A, eine zweite Gate-Abstandshalterschicht 80B über der ersten Gate-Abstandshalterschicht 80A und eine dritte Gate-Abstandshalterschicht 80C über der zweiten Gate-Abstandshalterschicht 80B umfassen. In einer Ausführungsform umfasst die erste Gate-Abstandshalterschicht 80A SiOCN, die zweite Gate-Abstandshalterschicht 80B SiOCN und die dritte Gate-Abstandshalterschicht 80C SiN. In einigen Ausführungsformen hat die erste Gate-Abstandshalterschicht 80A eine Dicke zwischen etwa 3 nm und etwa 5 nm, die zweite Gate-Abstandshalterschicht 80B eine Dicke zwischen etwa 3 nm und etwa 5 nm und die dritte Gate-Abstandshalterschicht 80C eine Dicke zwischen etwa 4 nm und etwa 6 nm.In the 8A . 8B and 8C becomes a gate spacer layer 80 on exposed surfaces of the dummy gates 70 and 76 (see the 8A and 8B) and / or the dummy dielectric layer 58 over the Finn 56 (please refer 8C) educated. Any suitable methods of forming the gate spacer layer 80 can be used. In some embodiments, a deposition (such as CVD, ALD, or the like) may be used to form the gate spacer layer 80 be used. In some embodiments, the gate spacer layer 80 One or more layers include, for example, silicon nitride (SiN), silicon oxynitride, silicon carbonitride, silicon oxycarbonitride (SiOCN), a combination thereof, or the like. In some embodiments, the gate spacer layer 80 a first gate spacer layer 80A , a second gate spacer layer 80B over the first gate spacer layer 80A and a third gate spacer layer 80C above the second gate spacer layer 80B include. In an embodiment, the first gate spacer layer comprises 80A SiOCN, the second gate spacer layer 80B SiOCN and the third gate spacer layer 80C SiN. In some embodiments, the first gate spacer layer 80A a thickness between about 3 nm and about 5 nm, the second gate spacer layer 80B a thickness between about 3 nm and about 5 nm and the third gate spacer layer 80C a thickness between about 4 nm and about 6 nm.

Unter weiterer Bezugnahme auf die 8A, 8B und 8C können nach dem Ausbilden der ersten Abstandshalterschicht 80A leicht dotierte Source/Drain- (LDD) -Bereiche 75 und 79 in dem Substrat 50 in dem ersten Bereich 100A bzw. dem zweiten Bereich 100B ausgebildet werden. Ähnlich dem Implantationsverfahren, das oben unter Bezugnahme auf die 7A, 7B und 7C beschrieben wurde, kann eine Maske (nicht gezeigt), wie ein Photoresist, über dem ersten Bereich 100A, z. B. dem NMOS-Bereich, ausgebildet werden, während der zweite Bereich 100B, z. B. der PMOS-Bereich, freiliegt und p-Verunreinigungen können in die freiliegenden Finnen 56 in dem zweiten Bereich 100B implantiert werden, um die LDD-Bereiche 79 herzustellen. Während der Implantation der LDD-Bereiche 79 kann das Dummy-Gate 76 als Maske wirken, um Dotierstoffe daran zu hindern, in einen Kanalbereich der freiliegenden Finnen 56 implantiert zu werden (oder sie zumindest zu reduzieren). So können die LDD-Bereiche 79 im Wesentlichen in Source/Drain-Bereichen der freiliegenden Finnen 56 ausgebildet werden. Die Maske kann dann entfernt werden. Nachfolgend kann eine Maske (nicht gezeigt), wie ein Photoresist, über dem zweiten Bereich 100B ausgebildet werden, während der erste Bereich 100A freiliegt, und n-Verunreinigungen können in die freiliegenden Finnen 56 im ersten Bereich 100A implantiert werden, um die LDD-Bereiche 75 herzustellen. Während der Implantation der LDD-Bereiche 75 kann das Dummy-Gate 70 als Maske wirken, um Dotierstoffe daran zu hindern, in einen Kanalbereich der freiliegenden Finnen 56 implantiert zu werden (oder sie zumindest zu reduzieren). So können die LDD-Bereiche 75 im Wesentlichen in Source/Drain-Bereichen der freiliegenden Finnen 56 ausgebildet werden. Die zweite Maske kann dann entfernt werden. Die n-Verunreinigungen können irgendwelche der vorstehend beschriebenen n-Verunreinigungen sein und die p-Verunreinigungen können irgendwelche der zuvor beschriebenen p-Verunreinigungen sein. Die LDD-Bereiche 75 und 79 können jeweils eine Verunreinigungskonzentration von etwa 1015 cm-3 bis etwa 1016 cm-3 aufweisen. Ein Temperverfahren kann durchgeführt werden, um die implantierten Verunreinigungen zu aktivieren.With further reference to the 8A . 8B and 8C may after forming the first spacer layer 80A lightly doped source / drain (LDD) regions 75 and 79 in the substrate 50 in the first area 100A or the second area 100B be formed. Similar to the implantation method described above with reference to FIGS 7A . 7B and 7C A mask (not shown), such as a photoresist, may be over the first area 100A , z. As the NMOS area, while the second area 100B , z. For example, the PMOS region is exposed and p-type contaminants may be present in the exposed fins 56 in the second area 100B be implanted to the LDD areas 79 manufacture. During implantation of the LDD areas 79 can the dummy gate 76 act as a mask to prevent dopants from entering a channel region of the exposed fins 56 implanted (or at least reduced). So can the LDD areas 79 essentially in source / drain regions of the exposed fins 56 be formed. The mask can then be removed. Subsequently, a mask (not shown) such as a photoresist may be over the second area 100B be formed while the first area 100A exposed, and n-impurities can enter the exposed fins 56 in the first area 100A be implanted to the LDD areas 75 manufacture. During implantation of the LDD areas 75 can the dummy gate 70 act as a mask to prevent dopants from entering a channel region of the exposed fins 56 implanted (or at least reduced). So can the LDD areas 75 essentially in source / drain regions of the exposed fins 56 be formed. The second mask can then be removed. The n-type impurities may be any of the above-described n-type impurities, and the p-type impurities may be any of the above-described p-type impurities. The LDD areas 75 and 79 each may have an impurity concentration of about 10 15 cm -3 to about 10 16 cm -3 . An annealing process may be performed to activate the implanted contaminants.

Bezugnehmend auf die 9A, 9B, 9C, 10A, 10B und 10C wird ein Strukturierungsverfahren durchgeführt, um überschüssige Anteile der Abstandshalterschicht 80 in dem ersten Bereich 100A zu entfernen. Jedes geeignete Strukturierungsverfahren kann verwendet werden. Unter Bezugnahme zuerst auf die 9A, 9B und 9C wird in einigen Ausführungsformen eine Dreischichtmaske 120 über dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet. Die Dreischichtmaske 120 umfasst eine untere Schicht 120A, eine mittlere Schicht 120B über der unteren Schicht 120A und eine obere Schicht 120C über der mittleren Schicht 120B. In einigen Ausführungsformen kann die untere Schicht 120A ein organisches Material umfassen, etwa ein Spin-On-Kohlenstoff- (SOC) -Material oder dergleichen, und kann unter Verwendung einer Rotationsbeschichtung, CVD, ALD oder dergleichen ausgebildet werden. Die mittlere Schicht 120B kann ein anorganisches Material umfassen, das ein Nitrid (wie etwa SiN, TiN, TaN oder dergleichen), ein Oxynitrid (wie etwa SiON), ein Oxid (wie beispielsweise Siliziumoxid) oder dergleichen sein kann, und kann unter Verwendung von CVD, ALD oder dergleichen ausgebildet werden. Die obere Schicht 120C kann ein organisches Material wie etwa ein Photoresistmaterial umfassen und kann unter Verwendung einer Rotationsbeschichtung oder dergleichen ausgebildet werden. In einigen Ausführungsformen wird die obere Schicht 120C der Dreischichtmaske 120 strukturiert, um den erste Bereich 100A freizulegen. Die obere Schicht 120C kann unter Verwendung geeigneter Photolithographietechniken strukturiert werden.Referring to the 9A . 9B . 9C . 10A . 10B and 10C For example, a patterning process is performed to remove excess portions of the spacer layer 80 in the first area 100A to remove. Any suitable patterning method may be used. Referring first to the 9A . 9B and 9C becomes a three-layer mask in some embodiments 120 over the first area 100A and the second area 100B educated. The three-layer mask 120 includes a lower layer 120A , a middle layer 120B above the lower layer 120A and an upper layer 120C above the middle layer 120B , In some embodiments, the lower layer 120A comprise an organic material such as a spin-on-carbon (SOC) material or the like, and may be formed using a spin coating, CVD, ALD or the like. The middle layer 120B may include an inorganic material, which may be a nitride (such as SiN, TiN, TaN or the like), an oxynitride (such as SiON), an oxide (such as silicon oxide) or the like, and may be formed by using CVD, ALD or be formed. The upper layer 120C may comprise an organic material such as a photoresist material and may be formed using a spin coating or the like. In some embodiments, the top layer becomes 120C the three-layer mask 120 structured to the first area 100A expose. The upper layer 120C can be patterned using suitable photolithographic techniques.

Bezugnehmend auf die 10A, 10B und 10C wird ein Ätzverfahren unter Verwendung der strukturierten Dreischichtmaske 120 als Maske durchgeführt. Das Ätzverfahren kann anisotrop sein. Nach Durchführen des Ätzverfahrens können seitliche Abschnitte der ersten Abstandshalterschicht 80A, der zweiten Abstandshalterschicht 80B und der dritten Abstandshalterschicht 80C über den LDD-Bereichen 75 und in den Isolationsbereichen 54 entfernt werden, um obere Flächen der Finnen 56 und die Masken 72 für die Dummy-Gatestapel 70 freizulegen. Abschnitte der ersten Abstandshalterschicht 80A, der zweiten Abstandshalterschicht 80B und der dritten Abstandshalterschicht 80C entlang Seitenwänden der Dummy-Gates 70 und der Finnen 56 können verbleiben und Abstandshalter 122 bilden. In weiteren Ausführungsformen kann die Abstandshalterschicht 80 auch von den Seitenwänden der Finnen 56 entfernt werden. Nach dem Strukturieren der Abstandshalterschicht 80 kann die Dreischichtmaske 120 unter Verwendung irgendeines geeigneten Entfernungsverfahrens entfernt werden.Referring to the 10A . 10B and 10C becomes an etching method using the structured three-layer mask 120 performed as a mask. The etching process may be anisotropic. After performing the etching process, lateral portions of the first spacer layer 80A , the second spacer layer 80B and the third spacer layer 80C over the LDD areas 75 and in the isolation areas 54 be removed to upper surfaces of the fins 56 and the masks 72 for the dummy gate stacks 70 expose. Portions of the first spacer layer 80A , the second spacer layer 80B and the third spacer layer 80C along side walls of the dummy gates 70 and the Finns 56 can remain and spacers 122 form. In further embodiments, the spacer layer 80 also from the side walls of the Finns 56 be removed. After structuring the spacer layer 80 can the three-layer mask 120 be removed using any suitable removal method.

Die 11B bis 21C zeigen das Ausbilden von epitaktischen Source/Drain-Bereichen 82 und 84 in dem ersten Bereich 100A und dem zweiten Bereich 100B. In einigen Ausführungsformen können die epitaktischen Source/Drain-Bereiche 82 (siehe die 21B und 21C) in dem ersten Bereich 100A ausgebildet werden, bevor die epitaktischen Source/Drain-Bereiche 84 (siehe die 21B und 21C) in dem zweiten Bereich 100B ausgebildet werden. In weiteren Ausführungsformen können die epitaktischen Source/Drain-Bereiche 84 in dem zweiten Bereich 100b vor dem Ausbilden der epitaktischen Source/Drain-Bereiche 82 in dem ersten Bereich 100a ausgebildet werden.The 11B to 21C show the formation of epitaxial source / drain regions 82 and 84 in the first area 100A and the second area 100B , In some embodiments, the epitaxial source / drain regions 82 (see the 21B and 21C) in the first area 100A be formed before the epitaxial source / drain regions 84 (see the 21B and 21C) in the second area 100B be formed. In further embodiments, the epitaxial source / drain regions 84 in the second area 100b prior to forming the epitaxial source / drain regions 82 in the first area 100a be formed.

Die 11B bis 14C zeigen das Ausbilden der epitaktischen Source/Drain-Bereiche 82 in dem ersten Bereich 100A. Während des Ausbildens der epitaktischen Source/Drain-Bereiche im ersten Bereich 100A, z. B. dem NMOS-Bereich, kann der zweite Bereich 100B, z. B. der PMOS-Bereich, maskiert sein (nicht gezeigt).The 11B to 14C show the formation of the epitaxial source / drain regions 82 in the first area 100A , During the formation of the epitaxial source / drain regions in the first region 100A , z. B. the NMOS area, the second area 100B , z. The PMOS area, may be masked (not shown).

Bezugnehmend auf die 11B und 11C wird ein erstes Strukturierungsverfahren an den Finnen 56 durchgeführt, um Vertiefungen 124 in den Source/Drain-Bereichen der Finnen 56 auszubilden. Das erste Strukturierungsverfahren kann derart durchgeführt werden, dass die Vertiefungen 124 zwischen benachbarten Dummy-Gates 70 (in inneren Bereichen der Finnen 56, siehe z. B. 13D) oder zwischen einem Isolationsbereich 54 und dem benachbarten Dummy-Gate 70 ausgebildet werden (in Endbereichen der Finnen 56, zum Beispiel wie in dem in 11B gezeigten Querschnitt gezeigt). In einigen Ausführungsformen kann das erste Strukturierungsverfahren ein geeignetes anisotropes Trockenätzverfahren umfassen, während die Dummy-Gates 70, die Abstandshalter 122 und/oder die Isolationsbereiche 54 als eine kombinierte Maske verwendet werden. Das geeignete anisotrope Trockenätzverfahren kann ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE) oder dergleichen oder eine Kombination davon umfassen. In einigen Ausführungsformen, in denen das RIE in dem ersten Strukturierungsverfahren verwendet wird, können Verfahrensparameter wie beispielsweise ein Prozessgasgemisch, eine Vorspannung und eine HF-Leistung so gewählt werden, dass das Ätzen vorwiegend unter Verwendung von physikalischem Ätzen wie z. B. Ionenbeschuss statt chemischem Ätzen, wie Radikalenätzen durch chemische Reaktionen durchgeführt wird. In einigen Ausführungsformen kann eine Vorspannung erhöht werden, um die Energie von Ionen, die in dem Ionenbeschussverfahren verwendet werden, zu erhöhen und somit eine Rate des physikalischen Ätzens zu erhöhen. Da das physikalische Ätzen anisotroper Natur und das chemische Ätzen isotroper Natur ist, weist ein derartiges Ätzverfahren eine Ätzrate in vertikaler Richtung auf, die größer als eine Ätzrate in seitlicher Richtung ist. In einigen Ausführungsformen kann das anisotrope Ätzverfahren unter Verwendung einer Prozessgasmischung durchgeführt werden, die CH3F, CH4, HBr, O2, Ar, eine Kombination davon oder dergleichen umfasst.Referring to the 11B and 11C becomes a first patterning process on the fins 56 performed to pits 124 in the source / drain regions of the fins 56 train. The first patterning method may be performed such that the pits 124 between adjacent dummy gates 70 (in inner areas of the Finns 56 , see, for. B. 13D) or between an isolation area 54 and the adjacent dummy gate 70 be formed (in end portions of the fins 56 , for example, as in the in 11B shown cross-section shown). In some embodiments, the first patterning method may include a suitable anisotropic dry etching method while the dummy gates 70 , the spacers 122 and / or the isolation areas 54 be used as a combined mask. The suitable anisotropic dry etching method may include reactive ion etching (RIE), neutral beam etching (NBE) or the like, or a combination thereof. In some embodiments, where the RIE is used in the first patterning process, process parameters such as a process gas mixture, bias voltage, and RF power may be selected such that the etch is predominantly performed using physical etching, such as etching. B. ion bombardment instead of chemical etching, such as radical etching is carried out by chemical reactions. In some embodiments, a bias voltage may be increased to increase the energy of ions used in the ion bombardment process, and thus to increase a rate of physical etching. Since the anisotropic physical etching and the chemical etching are isotropic in nature, such an etching method has a vertical etching rate larger than a lateral etching rate. In some embodiments, the anisotropic etch process may be performed using a process gas mixture comprising CH 3 F, CH 4 , HBr, O 2, Ar, a combination thereof, or the like.

In einigen Ausführungsformen bildet das erste Strukturierungsverfahren Vertiefungen 124 mit U-förmigen Bodenflächen aus. Die Vertiefungen 124 können auch als U-förmige Vertiefungen 124 bezeichnet werden. In einigen Ausführungsform haben die U-förmigen Vertiefungen 124 eine Tiefe D1, gemessen von einer oberen Fläche der Finnen 56, zwischen etwa 45 nm und etwa 65 nm. Als ein Ergebnis des ersten Strukturierungsverfahrens können die Vertiefungen 124 raue Oberflächen aufweisen. In einigen Ausführungsformen kann das Ätzverfahren zum Ausbilden der U-förmigen Vertiefungen 124 auch eine obere Fläche der Isolationsbereiche 54 ätzen. Die Form und das Profil der U-förmigen Vertiefungen 124 werden weiter unten in Bezug auf 13D im Anschluss an ein thermisches Diffusionsverfahren beschrieben.In some embodiments, the first patterning process forms recesses 124 with U-shaped bottom surfaces. The wells 124 can also be called U-shaped depressions 124 be designated. In some embodiments, the U-shaped depressions 124 a depth D 1 measured from an upper surface of the fins 56 , between about 45 nm and about 65 nm. As a result of the first patterning process, the pits can 124 have rough surfaces. In some embodiments, the etching process may be to form the U-shaped depressions 124 also an upper surface of the isolation areas 54 etching. The shape and profile of the U-shaped depressions 124 in relation to below 13D described following a thermal diffusion process.

Die 12B und 12C zeigen das Ausbilden eines Zwischenmetall-Dotierfilms und die thermische Diffusion von Dotierstoffen in die Finne 56 und die Halbleiterstreifen 52. Ein erster Zwischenmetall-Dotierfilm 220 wird über der Vorrichtung in dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet. Der erste Zwischenmetall-Dotierfilm 220 kann auch als erster Dotierfilm 220 bezeichnet werden. Der erste Dotierfilm 220 kann ein dotiertes Silikatglas umfassen. Der verwendete Dotierstoff kann jede geeignete n-Verunreinigung der Gruppe V umfassen, beispielsweise irgendeine der zuvor beschriebenen, etwa Phosphor, Arsen, Antimon oder Wismut und so weiter oder Kombinationen davon. Der erste Dotierfilm 220 kann durch ein beliebiges geeignetes Verfahren wie ALD, CVD, plasmaverstärkte CVD (PECVD) usw. abgeschieden werden (gezeigt durch die Pfeile 221), um einen dünnen Film über den Dummy-Gates 70 und 76 und in den U-förmigen Vertiefungen 124 auszubilden.The 12B and 12C show the formation of an intermetal doping film and the thermal diffusion of dopants into the fin 56 and the semiconductor strips 52 , A first intermetallic doping film 220 is above the device in the first area 100A and the second area 100B educated. The first intermetallic doping film 220 can also be used as the first doping film 220 be designated. The first doping film 220 may comprise a doped silicate glass. The dopant used may comprise any suitable group V n-contaminant, for example any of those previously described, such as phosphorus, arsenic, antimony or bismuth and so on, or combinations thereof. The first doping film 220 can be deposited by any suitable method such as ALD, CVD, plasma-enhanced CVD (PECVD), etc. (shown by the arrows 221 ) to make a thin film over the dummy gates 70 and 76 and in the U-shaped depressions 124 train.

Eine Konzentration des Dotierstoffs in dem ersten Dotierfilm 220 kann durch Variieren des Verhältnisses von Gasen in der Prozessumgebung, wie etwa einer Abscheidungskammer, eingestellt werden. Wenn beispielsweise Phosphor zur Herstellung von Phosphorsilikatglas (PSG) verwendet wird, können Prozessgase Tetraethylorthosilikat (TEOS) oder Silan SiH4 als Quelle für das Silikat und PH3 oder POCl3 als Quelle für Phosphor und andere Prozessgase (wie Sauerstoff, Stickstoff, Wasserstoff oder Argon) umfassen. Das Verhältnis der Phosphor-Dotierstoffquelle kann eingestellt werden, um mehr oder weniger Phosphor in dem ausgebildeten PSG einzubauen. Zum Beispiel kann ein Verhältnis von PH3 zu SiH4 etwa 15% bis etwa 45% betragen. Ein Verhältnis der Prozessgase kann in ähnlicher Weise für andere Dotierstoffe eingestellt werden. In einigen Ausführungsformen kann die resultierende Dotierstoffkonzentration in dem ersten Dotierfilm 220 zwischen etwa 3,5 × 1019 cm-3 bis etwa 8,5 × 1019 cm-3 betragen. In einigen Ausführungsformen kann der erste Dotierfilm 220 in einer Dicke zwischen etwa 0,8 nm und etwa 2 nm abgeschieden werden. In weiteren Ausführungsformen kann der erste Dotierfilm 220 in einer Dicke von weniger als etwa 0,8 nm oder mehr als etwa 2 nm abgeschieden werden.A concentration of the dopant in the first doping film 220 can be adjusted by varying the ratio of gases in the process environment, such as a deposition chamber. For example, if phosphorus is used to make phosphosilicate glass (PSG), process gases may include tetraethylorthosilicate (TEOS) or silane SiH 4 as the source of the silicate and PH 3 or POCl 3 as the source of phosphorus and other process gases (such as oxygen, nitrogen, hydrogen or argon ). The ratio of the phosphorus dopant source can be adjusted to incorporate more or less phosphorus in the formed PSG. For example, a ratio of PH 3 to SiH 4 may be about 15% to about 45%. A ratio of the process gases can be adjusted similarly for other dopants. In some Embodiments may determine the resulting dopant concentration in the first doping film 220 between about 3.5 × 10 19 cm -3 to about 8.5 × 10 19 cm -3 . In some embodiments, the first doping film 220 deposited in a thickness between about 0.8 nm and about 2 nm. In further embodiments, the first doping film 220 in a thickness of less than about 0.8 nm or more than about 2 nm.

Immer noch bezugnehmend auf die 12B und 12C kann nach dem Ausbilden des ersten Dotierfilms 220 ein thermisches Diffusionsverfahren (gezeigt durch die Pfeile 223) durchgeführt werden, um den Dotierstoff des ersten Dotierfilms 220 in die die Vertiefungen 224 umgebenden Finnen 56 und Halbleiterstreifen 52 zu diffundieren. Das thermische Diffusionsverfahren 223 kann ein beliebiges geeignetes thermisches Diffusionsverfahren umfassen, beispielsweise ein schnelles thermisches Tempern (RTA), Mikrowellentempern, Ofentempern und dergleichen. Zum Beispiel kann ein RTA bei einer Temperatur von zwischen etwa 900 °C bis etwa 1000 °C für etwa 3 bis 6 Sekunden, beispielsweise etwa 5 Sekunden, durchgeführt werden.Still referring to the 12B and 12C may after forming the first doping film 220 a thermal diffusion method (shown by the arrows 223 ) are performed to the dopant of the first doping film 220 into the wells 224 surrounding fins 56 and semiconductor strips 52 to diffuse. The thermal diffusion process 223 may include any suitable thermal diffusion method, such as rapid thermal annealing (RTA), microwave annealing, furnace annealing, and the like. For example, an RTA may be at a temperature of between about 900 ° C to about 1000 ° C for about 3 to 6 Seconds, for example 5 Seconds, be performed.

In einigen Ausführungsformen kann eine Maske (nicht gezeigt) über dem zweiten Bereich 100B ausgebildet sein, um im Wesentlichen zu verhindern, dass Dotierstoff von dem ersten Dotierfilm 220 in Strukturen des zweiten Bereichs 100B diffundiert. In einigen Ausführungsformen kann eine oder mehrere Schichten der Gate-Abstandshalterschicht 80 dazu dienen, zu verhindern, dass Dotierstoff in darunterliegende Strukturen des zweiten Bereichs 100B diffundiert. Eine konforme Auskleidung (nicht gezeigt, siehe die Beschreibung in Zusammenhang mit 4A oben) kann zwischen dem Isolationsbereich 54 und den Halbleiterstreifen 52 ausgebildet werden, um als Diffusionsbarriere zu wirken, um eine Diffusion von Dotierstoff von der ersten Dotierschicht 220 in die Isolationsbereiche 54 zu verhindern.In some embodiments, a mask (not shown) may overlay the second area 100B be formed to substantially prevent dopant from the first doping film 220 in structures of the second area 100B diffused. In some embodiments, one or more layers of the gate spacer layer 80 serve to prevent dopant into underlying structures of the second region 100B diffused. A compliant liner (not shown, see description in connection with 4A above) can between the isolation area 54 and the semiconductor strip 52 be formed to act as a diffusion barrier to a diffusion of dopant from the first doping layer 220 in the isolation areas 54 to prevent.

Die Diffusion von Dotierstoff von der ersten Dotierschicht 220 in die umgebende Finne 56 und die Halbleiterstreifen 52 stellt eine erhöhte Dotierungskonzentration bereit, um den Schichtwiderstand zu verringern und die Trägerbeweglichkeit in den Source/Drain-Bereich der in dem ersten Bereich 100A ausgebildeten Vorrichtung zu verbessern. Die Konzentration des Dotierstoffs in dem Dotierstoffdiffusionsbereich 227 der umgebenden Finne 56 und der Halbleiterstreifen 52 ist höher als die Konzentration des gleichen Dotierstoffs außerhalb des Dotierstoffdiffusionsbereichs 227, beispielsweise in einem äußeren Diffusionsbereich, der mindestens einen Teil des Dotierstoffdiffusionsbereichs 227 in der umgebenden Finne 56 und den Halbleiterstreifen 52 umgibt und an diesen angrenzt. Die Konzentration des Dotierstoffs, der durch die erste Dotierschicht 220 in dem Dotierstoffdiffusionsbereich 227 (siehe die 13B, 13C und 13D) bereitgestellt wird (etwa einer n-Verunreinigung), kann zwischen etwa 1,2 × 1019 cm-3 bis etwa 6,2 × 1019 cm-3 liegen. Die resultierende Trägerbeweglichkeit kann zwischen etwa 15 cm2/Vs bis etwa 35 cm2/Vs liegen. Der Schichtwiderstand kann zwischen etwa 200 Ωcm bis etwa 1200 Ω-cm liegen.The diffusion of dopant from the first dopant layer 220 into the surrounding fin 56 and the semiconductor strips 52 provides an increased doping concentration to reduce sheet resistance and carrier mobility to the source / drain region in the first region 100A to improve the trained device. The concentration of dopant in the dopant diffusion region 227 the surrounding fin 56 and the semiconductor strip 52 is higher than the concentration of the same dopant outside the dopant diffusion region 227 For example, in an outer diffusion region, the at least part of the dopant diffusion region 227 in the surrounding fin 56 and the semiconductor strip 52 surrounds and adjoins this. The concentration of the dopant passing through the first dopant layer 220 in the dopant diffusion region 227 (see the 13B . 13C and 13D) may be between about 1.2 x 10 19 cm -3 to about 6.2 x 10 19 cm -3 . The resulting carrier mobility may be between about 15 cm 2 / Vs to about 35 cm 2 / Vs. The sheet resistance may be between about 200 Ωcm to about 1200 Ω-cm.

Bezugnehmend auf die 13B, 13C und 13D wird nach dem thermischen Diffusionsverfahren 223 der erste Dotierfilm 220 durch ein beliebiges geeignetes Verfahren, beispielsweise durch ein Nassätzen, entfernt. Der erste Dotierstoffdiffusionsbereich 227 ist in den Finnen 56 und den Halbleiterstreifen 52 ausgebildet.Referring to the 13B . 13C and 13D is after the thermal diffusion process 223 the first doping film 220 removed by any suitable method, for example by wet etching. The first dopant diffusion region 227 is in the Finns 56 and the semiconductor strip 52 educated.

13D zeigt eine vergrößerte Ansicht eines Teils von 13B, um Details der Abmessungen der Öffnung 226 nach der Dotierung durch den Zwischenmetall-Dotierfilm zu zeigen. 13D zeigt die Öffnung 226, die zwischen zwei benachbarten Dummy-Gates 70 angeordnet ist. In einigen Ausführungsformen kann die Tiefe D3 des Dotierstoffdiffusionsbereichs 227 etwa 3 nm bis etwa 18 nm betragen. Die Tiefe D1 , gemessen von einer oberen Fläche der Finnen 56, kann zwischen etwa 45 nm und etwa 65 nm liegen. Die Oberflächen 228 der Öffnung 226, unter anderem entlang der Seiten und des Bodens der Öffnung 226, werden durch das Zwischenmetall-Dotierfilmverfahren geglättet. Eine Breite W1 der Öffnung 226 wird an der Oberseite der Öffnung gemessen. Eine Breite W2 der Öffnung 226 wird bei 50% der Tiefe (in der Mitte) der Öffnung gemessen. Eine Breite W3 der Öffnung 226 wird bei 90% der Tiefe (90% von der Oberseite) der Öffnung gemessen. In einigen Ausführungsformen kann ein Verhältnis der Breiten W1 : W2 etwa 1,00:1,13-1,22 betragen; ein Verhältnis der Breiten W1 :W3 kann etwa 1,00:1,01-1,52 betragen; und ein Verhältnis der Breiten W2 :W3 kann etwa 1,13-1,22:1,01-1,52 betragen. Mit anderen Worten kann die mittlere Breite W2 etwa 13 bis 22 Prozent breiter als die obere Breite W1 sein und die untere 90%-Breite W3 kann etwa 1 bis etwa 52 Prozent breiter als die obere Breite W1 sein. 13D shows an enlarged view of a part of 13B to details the dimensions of the opening 226 after doping by the intermetallic doping film. 13D shows the opening 226 that is between two adjacent dummy gates 70 is arranged. In some embodiments, the depth D 3 of the dopant diffusion region 227 about 3 nm to about 18 nm. The depth D 1 , measured from an upper surface of the fins 56 , may be between about 45 nm and about 65 nm. The surfaces 228 of the opening 226 , among other things, along the sides and the bottom of the opening 226 , are smoothed by the intermetal doping film method. A width W 1 the opening 226 is measured at the top of the opening. A width W2 the opening 226 is measured at 50% of the depth (in the middle) of the opening. A width W 3 the opening 226 is measured at 90% of the depth (90% from the top) of the opening. In some embodiments, a ratio of the widths W 1 : W2 about 1.00: 1.13-1.22; a ratio of latitudes W 1 : W 3 may be about 1.00: 1.01-1.52; and a ratio of latitudes W 2 W 3 may be about 1.13-1.22: 1.01-1.52. In other words, the average width W2 may be about 13 to 22 Percent wider than the top width W 1 his and the lower 90% width W 3 can be about 1 until about 52 Percent wider than the top width W 1 be.

Der Dotierstoffdiffusionsbereich 227 führt zu einer erhöhten Dotierstoffkonzentration in den Halbleiterfinnen 56 und den Halbleiterstreifen 52, was eine erhöhte Trägerbeweglichkeit bereitstellt. In einigen Ausführungsformen umfasst der Dotierstoffdiffusionsbereich 227 einen Teil des Kanalbereichs unter dem Dummy-Gate 60. Mit anderen Worten ist das Dummy-Gate 60 in einigen Ausführungsformen vertikal an einem Teil des Dotierstoffdiffusionsbereichs 227 ausgerichtet.The dopant diffusion region 227 leads to an increased dopant concentration in the semiconductor fins 56 and the semiconductor strip 52 which provides increased vehicle mobility. In some embodiments, the dopant diffusion region comprises 227 a part of the channel area under the dummy gate 60 , In other words, the dummy gate is 60 in some embodiments, vertically on a portion of the dopant diffusion region 227 aligned.

Die glatten Oberflächen 228 der Öffnung 226 sind vorteilhaft für das Aufwachsen eines epitaktischen Materials darin, wie nachstehend ausführlicher beschrieben wird. Die glatten Oberflächen 228 führen zu einer epitaktischen Struktur der Source/Drain-Bereiche mit einer entsprechenden glatten Oberfläche und einer größeren Höhe und einer größeren Breite, als die epitaktische Struktur der Source/Drain-Bereiche ohne das thermische Diffusionsdotierungsverfahren hätten.The smooth surfaces 228 of the opening 226 are advantageous for growing an epitaxial material therein, as described in more detail below is described. The smooth surfaces 228 result in an epitaxial structure of the source / drain regions having a corresponding smooth surface and a greater height and width than the epitaxial structure of the source / drain regions would have without the thermal diffusion doping process.

Die 14B, 14C und 14D zeigen das Ausbilden der epitaktischen Source/Drain-Bereiche 82 in dem ersten Bereich 100A. In einigen Ausführungsformen werden die epitaktischen Source/Drain-Bereiche 82 epitaktisch in den dotierten U-förmigen Vertiefungen 226 (siehe die 13B und 13C) unter Verwendung von metallorganischer CVD (MOCVD), Molekularstrahlepitaxie (MBE), Flüssigphasenepitaxie (LPE), Gasphasenphasenepitaxie (VPE), selektivem epitaktischem Wachstum (SEG), einer Kombination davon oder dergleichen gezüchtet. Die epitaktischen Source/Drain-Bereiche 82 können jedes geeignete Material umfassen, wie etwa jedes Material, das für n-FinFETs geeignet ist. Wenn beispielsweise die Finne 56 aus Silizium besteht, können die epitaktischen Source/Drain-Bereiche 82 Silizium, SiC, SiCP, SiP oder dergleichen umfassen. Die epitaktischen Source/Drain-Bereiche 82 können Oberflächen aufweisen, die von jeweiligen Oberflächen der Finnen 56 angehoben sind und können Facetten aufweisen. Die epitaktischen Source/Drain-Bereiche 82 sind in den Finnen 56 derart ausgebildet, dass jedes Dummy-Gate 70 zwischen einem zugehörigen benachbarten Paar der epitaktischen Source/Drain-Bereiche 82 angeordnet ist. In einigen Ausführungsformen können sich die epitaktischen Source/Drain-Bereiche 82 über die Finnen 56 hinaus und in die Halbleiterstreifen 52 erstrecken, wie in 14B gezeigt ist.The 14B . 14C and 14D show the formation of the epitaxial source / drain regions 82 in the first area 100A , In some embodiments, the epitaxial source / drain regions become 82 epitaxially in the doped U-shaped recesses 226 (see the 13B and 13C) using metalorganic CVD (MOCVD), Molecular Beam Epitaxy (MBE), Liquid Phase Epitaxy (LPE), Gas Phase Phase Epitaxy (VPE), Selective Epitaxial Growth (SEG), a combination thereof, or the like. The epitaxial source / drain regions 82 may include any suitable material, such as any material suitable for n-type FinFETs. If, for example, the Finn 56 is made of silicon, the epitaxial source / drain regions 82 Silicon, SiC, SiCP, SiP or the like. The epitaxial source / drain regions 82 may have surfaces that are different from respective surfaces of the fins 56 are raised and may have facets. The epitaxial source / drain regions 82 are in the Finns 56 designed such that each dummy gate 70 between an associated adjacent pair of epitaxial source / drain regions 82 is arranged. In some embodiments, the epitaxial source / drain regions may become 82 about the Finns 56 out and into the semiconductor strips 52 extend as in 14B is shown.

Das Material der epitaktischen Source/Drain-Bereiche 82 in dem ersten Bereich 100A kann mit Dotierstoffen implantiert werden, ähnlich wie in dem zuvor beschriebenen Verfahren zum Ausbilden der LDD-Bereiche 75, gefolgt von einem Tempern (siehe die 8A, 8B und 8C und ihre zugehörige Beschreibung). Die epitaktischen Source/Drain-Bereiche 82 können eine Verunreinigungskonzentration in einem Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 aufweisen. Die n-Verunreinigungen für die Source/Drain-Bereiche in dem ersten Bereich 100A, z. B. dem NMOS-Bereich, können irgendwelche der zuvor beschriebenen n-Verunreinigungen sein. In weiteren Ausführungsformen kann das Material der epitaktischen Source/Drain-Bereiche 82 während des Wachstums in situ dotiert werden. In den gezeigten Ausführungsformen ist jeder der Source/Drain-Bereiche 82 räumlich von anderen Source/Drain-Bereichen 82 getrennt. In weiteren Ausführungsformen können zwei oder mehr benachbarte Source/Drain-Bereiche 82 vereinigt sein. Beispiele solcher Ausführungsformen sind in den 27C und 31C so gezeigt, dass zwei oder drei benachbarte Source/Drain-Bereiche 82 vereinigt sind, um einen gemeinsamen Source/Drain-Bereich zu bilden. In einigen Ausführungsformen können mehr als zwei oder drei benachbarte Source/Drain-Bereiche 82 vereinigt sein.The material of the epitaxial source / drain regions 82 in the first area 100A can be implanted with dopants, similar to the previously described method of forming the LDD regions 75 followed by a tempering (see the 8A . 8B and 8C and its description). The epitaxial source / drain regions 82 may have an impurity concentration in a range of about 10 19 cm -3 to about 10 21 cm -3 . The n impurities for the source / drain regions in the first region 100A , z. The NMOS region may be any of the n-type impurities previously described. In further embodiments, the material of the epitaxial source / drain regions 82 be doped in situ during growth. In the embodiments shown, each of the source / drain regions 82 spatially from other source / drain regions 82 separated. In further embodiments, two or more adjacent source / drain regions 82 be united. Examples of such embodiments are in the 27C and 31C shown to have two or three adjacent source / drain regions 82 are united to form a common source / drain region. In some embodiments, more than two or three adjacent source / drain regions 82 be united.

Aufgrund der Filmdotierung der U-förmigen Vertiefung 226 (siehe die 13B, 13C und 13D), die ein glattes Seitenwandprofil der Vertiefung bereitstellt, können die epitaktischen Source/Drain-Bereiche 82 auf eine gleichmäßigere Weise ausgebildet werden und ein größeres Volumen erzeugen, als sonst ohne die Filmdotierung erzeugt würde.Due to the film doping of the U-shaped recess 226 (see the 13B . 13C and 13D) , which provides a smooth sidewall profile of the recess, may include the epitaxial source / drain regions 82 be formed in a more uniform manner and produce a larger volume than would otherwise be produced without the film doping.

14D zeigt einen vergrößerten Teil des Querschnitts, der in 14B gezeigt ist. Die epitaktischen Source/Drain-Bereiche 82 können eine oder mehrere Schichten 82A und 82B umfassen. Die Schicht 82A ist ein erster Satz von einer oder mehreren Schichten der epitaktischen Source/Drain-Bereiche 82. Die Schicht 82B ist ein zweiter Satz von einer oder mehreren Schichten der epitaktischen Source/Drain-Bereiche 82. In einigen Ausführungsformen können die epitaktischen Source/Drain-Bereiche 82 aus einer einzelnen durchgängigen Schicht ausgebildet sein. Die erste Schicht 82A kann unter Verwendung von Verfahren und Materialien ausgebildet werden, wie sie oben in Bezug auf 14B beschrieben sind. Die zweite Schicht 82B kann auch unter Verwendung von Verfahren und Materialien ausgebildet werden, wie sie oben in Bezug auf 14B beschrieben sind. Die erste Schicht 82A stellt ein erstes Wachstum von epitaktischem Material in den epitaktischen Source/Drain-Bereichen 82 bereit. Die zweite Schicht 82B stellt ein nachfolgendes Wachstum von epitaktischem Material in den epitaktischen Source/Drain-Bereichen 82 bereit. Die erste Schicht 82A kann eine erste Gitterkonstante aufweisen. Die zweite Schicht 82B kann eine zweite Gitterkonstante aufweisen. In einigen Ausführungsformen ist die erste Gitterkonstante gleich der zweiten Gitterkonstante. In einigen Ausführungsformen unterscheidet sich die erste Gitterkonstante von der zweiten Gitterkonstante. 14D shows an enlarged part of the cross section, which in 14B is shown. The epitaxial source / drain regions 82 can have one or more layers 82A and 82B include. The layer 82A is a first set of one or more layers of epitaxial source / drain regions 82 , The layer 82B is a second set of one or more layers of epitaxial source / drain regions 82 , In some embodiments, the epitaxial source / drain regions 82 be formed of a single continuous layer. The first shift 82A can be formed using methods and materials as described above 14B are described. The second layer 82B can also be formed using methods and materials as described above 14B are described. The first shift 82A represents a first growth of epitaxial material in the epitaxial source / drain regions 82 ready. The second layer 82B represents a subsequent growth of epitaxial material in the epitaxial source / drain regions 82 ready. The first shift 82A may have a first lattice constant. The second layer 82B may have a second lattice constant. In some embodiments, the first lattice constant is equal to the second lattice constant. In some embodiments, the first lattice constant is different from the second lattice constant.

In einigen Ausführungsformen kann die Tiefe D6 des epitaktischen Source/Drain 82, gemessen von der oberen Fläche der Finne 56, etwa 45 nm bis etwa 65 nm betragen. Die Tiefe D5 von einem oberen Punkt des epitaktischen Source/Drain-Materials 82 zu der oberen Fläche der Finne 56 kann etwa 0,5 nm bis etwa 3,0 nm betragen. Die Tiefe D4 von der oberen Fläche der Finne 56 zu einer unteren Grenzfläche der zweiten Schicht 82B kann etwa 30 nm bis etwa 50 nm betragen. Eine Breite W4 der zweiten Schicht 82b des epitaktischen Source/Drain-Materials wird an der Oberseite der Öffnung gemessen. Eine Breite W5 der zweiten Schicht 82b des epitaktischen Source/Drain-Materials wird bei 50% der Tiefe (der Mitte) der zweiten Schicht 82B gemessen. Eine Breite W6 der zweiten Schicht 82b des epitaktischen Source/Drain-Materials wird bei 90% der Tiefe (90% von der Oberseite) der Öffnung gemessen. In einigen Ausführungsformen kann ein Verhältnis der Breiten W4 :W5 etwa 1,00:0,8-0,9 betragen; ein Verhältnis der Breiten W4 :W6 kann etwa 1,00:1,02-1,61 betragen; und ein Verhältnis der Breiten W5 :W6 kann etwa 0,8-0,9:1,02-1,61 betragen. Mit anderen Worten kann die mittlere Breite W5 etwa 80% bis etwa 90% der oberen Breite W4 betragen und die untere 90%-Breite W6 kann etwa 2 % bis etwa 52 % breiter als die obere Breite W4 sein.In some embodiments, the depth D 6 of the epitaxial source / drain 82 , measured from the top surface of the fin 56 , be about 45 nm to about 65 nm. The depth D 5 from an upper point of the epitaxial source / drain material 82 to the top surface of the fin 56 may be about 0.5 nm to about 3.0 nm. The depth D 4 from the top surface of the fin 56 to a lower interface of the second layer 82B may be about 30 nm to about 50 nm. A width W 4 the second layer 82b of the epitaxial source / drain material is measured at the top of the opening. A width W 5 the second layer 82b of the epitaxial source / drain material becomes 50% of the depth (the middle) of the second layer 82B measured. A width W 6 the second layer 82b The epitaxial source / drain material is measured at 90% of the depth (90% from the top) of the opening. In some embodiments, a ratio of the widths W 4 : W 5 about 1.00: 0.8-0.9; a ratio of latitudes W 4 : W 6 may be about 1.00: 1.02-1.61; and a ratio of latitudes W 5 : W 6 may be about 0.8-0.9: 1.02-1.61. In other words, the average width W 5 about 80% to about 90% of the upper width W 4 amount and the lower 90% width W 6 can be about 2% to about 52% wider than the top width W 4 be.

Bezugnehmend auf die in 13D beschriebenen Breiten und Verhältnisse und die in 14D beschriebenen Breiten und Verhältnisse kann eine Verhältnisbeziehung zwischen der Öffnung 226 und der zweiten Schicht 82B des epitaktischen Source/Drain-Materials beschrieben werden. Ein Verhältnis der oberen Breite W1 der Öffnung 226 zu der oberen Breite W4 der zweiten Schicht 82B (W1 :W4 ) kann etwa 1,14 bis etwa 1,19:1 betragen. Ein Verhältnis der mittleren Breite W2 der Öffnung 226 zu der oberen Breite W5 der zweiten Schicht 82B (W2 :W5 ) kann etwa 1,52 bis etwa 1,61 betragen. Ein Verhältnis der unteren 90%-Breite W3 der Öffnung 226 zu der oberen Breite W6 der zweiten Schicht 82B (W3 :W6 ) kann etwa 1,02 bis etwa 1,21 betragen. Diese Verhältnisse sind Beispiele für mögliche Verhältnisse in einigen Ausführungsformen. Andere Abmessungen und Verhältnisse können verwendet werden.Referring to the in 13D described latitudes and ratios and the in 14D described widths and ratios, a ratio relationship between the opening 226 and the second layer 82B of the epitaxial source / drain material. A ratio of the upper width W 1 the opening 226 to the upper width W 4 the second layer 82B ( W 1 : W 4 ) may be about 1.14 to about 1.19: 1. A ratio of the middle width W2 the opening 226 to the upper width W 5 the second layer 82B ( W 2 : W 5 ) may be about 1.52 to about 1.61. A ratio of the lower 90% width W 3 the opening 226 to the upper width W 6 the second layer 82B ( W 3 : W 6 ) may be about 1.02 to about 1.21. These ratios are examples of possible relationships in some embodiments. Other dimensions and ratios may be used.

Bezugnehmend auf die 15A, 15B, 15C, 16A, 16B und 16C wird ein Strukturierungsverfahren durchgeführt, um überschüssige Anteile der Abstandshalterschicht 80 in dem zweiten Bereich 100B zu entfernen. Jedes geeignete Strukturierungsverfahren kann verwendet werden.Referring to the 15A . 15B . 15C . 16A . 16B and 16C For example, a patterning process is performed to remove excess portions of the spacer layer 80 in the second area 100B to remove. Any suitable patterning method may be used.

Unter Bezugnahme zuerst auf die 15A, 15B und 15C wird in einigen Ausführungsformen eine Dreischichtmaske 121 über dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet. Die Dreischichtmaske 121 umfasst eine untere Schicht 121A, eine mittlere Schicht 121B über der unteren Schicht 121A und eine obere Schicht 121C über der mittleren Schicht 121B. Die Dreischichtmaske 121 kann unter Verwendung von Verfahren und Materialien ausgebildet werden, wie sie oben unter Bezugnahme auf die 9A, 9B und 9C beschrieben wurden, die nicht wiederholt werden. In einigen Ausführungsformen wird die obere Schicht 121C der Dreischichtmaske 121 strukturiert, um den zweiten Bereich 100B freizulegen. Die obere Schicht 121C kann unter Verwendung geeigneter Photolithographietechniken strukturiert werden.Referring first to the 15A . 15B and 15C becomes a three-layer mask in some embodiments 121 over the first area 100A and the second area 100B educated. The three-layer mask 121 includes a lower layer 121A , a middle layer 121B above the lower layer 121A and an upper layer 121C above the middle layer 121B , The three-layer mask 121 can be formed using methods and materials as described above with reference to FIGS 9A . 9B and 9C which are not repeated. In some embodiments, the top layer becomes 121C the three-layer mask 121 structured to the second area 100B expose. The upper layer 121C can be patterned using suitable photolithographic techniques.

In einigen Ausführungsformen kann eine Maskenschicht 230 über dem ersten Bereich 100A ausgebildet sein, um den ersten Bereich zu schützen, während der zweite Bereich 100B bearbeitet wird. Beliebige geeignete Verfahren zum Ausbilden der Maskenschicht 230 können verwendet werden. In einigen Ausführungsformen kann eine Abscheidung (wie etwa CVD, ALD oder dergleichen) oder Rotationsbeschichtung zum Ausbilden der Maskenschicht 230 verwendet werden. In einigen Ausführungsformen kann die Maskenschicht 230 eine oder mehrere Schichten aus beispielsweise einem Nitrid (wie SiN, TiN, TaN oder dergleichen), einem Oxynitrid (wie SiON), einem Karbonitrid (wie SiOCN), Spin-on-Kohlenstoff, einer Kombination davon oder dergleichen umfassen. Obwohl sie vor dem Ausbilden der Dreischichtmaske 121 der 15A, 15B und 15C gezeigt ist, kann die Maskenschicht 230 in einigen Ausführungsformen nach dem Entfernen der Dreischichtmaske 121 ausgebildet werden.In some embodiments, a mask layer 230 over the first area 100A be formed to protect the first area, while the second area 100B is processed. Any suitable methods for forming the mask layer 230 can be used. In some embodiments, a deposition (such as CVD, ALD or the like) or spin coating may be used to form the mask layer 230 be used. In some embodiments, the mask layer 230 one or more layers of, for example, a nitride (such as SiN, TiN, TaN, or the like), an oxynitride (such as SiON), a carbonitride (such as SiOCN), spin on carbon, a combination thereof, or the like. Although she before forming the three-layer mask 121 of the 15A . 15B and 15C is shown, the mask layer 230 in some embodiments, after removal of the three-layer mask 121 be formed.

Bezugnehmend auf die 16A, 16B und 16C wird ein Ätzverfahren unter Verwendung der strukturierten Dreischichtmaske 121 als Maske durchgeführt. Das Ätzverfahren kann anisotrop sein. Nach dem Durchführen des Ätzverfahrens können seitliche Abschnitte der ersten Abstandshalterschicht 80A, der zweiten Abstandshalterschicht 80B und der dritten Abstandshalterschicht 80C über den LDD-Bereichen 75 und über den Isolationsbereichen 54 entfernt werden, so dass obere Flächen der Finnen 56 und der Masken 78 für die Dummy-Gatestapel 76 freigelegt werden. Teile des ersten Abstandshalterschicht 80A, der zweiten Abstandshalterschicht 80B und der dritten Abstandshalterschicht 80C entlang der Seitenwände des Dummy-Gates 78 und der Finnen 56 verbleiben und bilden Abstandshalter 122. In weiteren Ausführungsformen kann die Abstandshalterschicht 80 auch von den Seitenwänden der Finnen 56 entfernt werden. Nach dem Strukturieren der Abstandshalterschicht 80 kann die Dreischichtmaske 121 unter Verwendung irgendeines geeigneten Entfernungsverfahrens entfernt werden.Referring to the 16A . 16B and 16C becomes an etching method using the structured three-layer mask 121 performed as a mask. The etching process may be anisotropic. After performing the etching process, lateral portions of the first spacer layer 80A , the second spacer layer 80B and the third spacer layer 80C over the LDD areas 75 and over the isolation areas 54 be removed, leaving upper surfaces of the fins 56 and the masks 78 for the dummy gate stacks 76 be exposed. Parts of the first spacer layer 80A , the second spacer layer 80B and the third spacer layer 80C along the side walls of the dummy gate 78 and the Finns 56 remain and form spacers 122 , In further embodiments, the spacer layer 80 also from the side walls of the Finns 56 be removed. After structuring the spacer layer 80 can the three-layer mask 121 be removed using any suitable removal method.

Die 17B bis 21C zeigen das Ausbilden der epitaktischen Source/Drain-Bereiche 84 in dem zweiten Bereich 100B. Während der Ausbildung der epitaktischen Source/Drain-Bereiche 84 in dem zweiten Bereich 100B, z. B. dem PMOS-Bereich, kann der erste Bereich 100A, z. B. der NMOS-Bereich, durch die Maskenschicht 230 oder eine andere Art von Maske maskiert werden.The 17B to 21C show the formation of the epitaxial source / drain regions 84 in the second area 100B , During the formation of the epitaxial source / drain regions 84 in the second area 100B , z. As the PMOS area, the first area 100A , z. The NMOS region, through the mask layer 230 or masking another type of mask.

Bezugnehmend auf die 17B und 17C wird ein zweites Strukturierungsverfahren an den Finnen 56 durchgeführt, um Vertiefungen 125 in Source/Drain-Bereichen der Finnen 56 auszubilden. Die Vertiefungen 125 in dem zweiten Bereich 100B können unter Verwendung ähnlicher Verfahren und Materialien wie die U-förmigen Vertiefungen 124 in dem ersten Bereich 100A ausgebildet werden, wie oben unter Bezugnahme auf die 11A, 11B und 11C beschrieben wurde, und die Beschreibung wird nicht wiederholt.Referring to the 17B and 17C becomes a second structuring method on the fins 56 performed to pits 125 in source / drain regions of the fins 56 train. The wells 125 in the second area 100B can be made using similar methods and materials as the U-shaped depressions 124 in the first area 100A be formed as above with reference to the 11A . 11B and 11C has been described, and the description will not be repeated.

In einigen Ausführungsformen bildet das zweite Strukturierungsverfahren Vertiefungen 125 mit U-förmigen Bodenflächen in dem zweiten Bereich 100B aus. Die Vertiefungen 125 können auch als U-förmige Vertiefungen 125 bezeichnet werden. In einigen Ausführungsform haben die U-förmigen Vertiefungen 125 eine Tiefe D1 , gemessen von einer oberen Fläche der Finnen 56, zwischen etwa 45 nm und etwa 65 nm. Als ein Ergebnis des ersten Strukturierungsverfahrens können die Vertiefungen 125 raue Oberflächen aufweisen. In einigen Ausführungsformen kann das Ätzverfahren zum Ausbilden der U-förmigen Vertiefungen 125 auch eine obere Fläche der Isolationsbereiche 54 ätzen.In some embodiments, the second patterning process forms recesses 125 with U-shaped bottom surfaces in the second area 100B out. The wells 125 can also be called U-shaped depressions 125 be designated. In some embodiments, the U-shaped depressions 125 a depth D 1 , measured from an upper surface of the fins 56 , between about 45 nm and about 65 nm. As a result of the first patterning process, the pits can 125 have rough surfaces. In some embodiments, the etching process may be to form the U-shaped depressions 125 also an upper surface of the isolation areas 54 etching.

Die 18B und 18C zeigen das Ausbilden eines zweiten Zwischenmetall-Dotierfilms und die thermische Diffusion von Dotierstoffen in die Finne 56 und die Halbleiterstreifen 52. Ein zweiter Zwischenmetall-Dotierfilm 240 wird über der Vorrichtung in dem ersten Bereich 100A und dem zweiten Bereich 100B ausgebildet. Der zweite Zwischenmetall-Dotierfilm 240 kann auch als zweiter Dotierfilm 240 bezeichnet werden. Der zweite Dotierfilm 240 kann ein dotiertes Silikatglas umfassen. Der verwendete Dotierstoff kann jede geeignete p-Verunreinigung der Gruppe III, wie irgendeine der zuvor beschriebenen, beispielsweise Bor, Aluminium, Gallium oder Indium und so weiter oder Kombinationen davon umfassen. Der zweite Dotierfilm 240 kann durch ein beliebiges geeignetes Verfahren wie CVD, Rotationsbeschichtung, PECVD usw. abgeschieden werden (gezeigt durch die Pfeile 241), um eine dünne Schicht über den Dummy-Gates 70 und 76 und in den U-förmige Vertiefungen 125 auszubilden.The 18B and 18C show the formation of a second intermetal doping film and the thermal diffusion of dopants into the fin 56 and the semiconductor strips 52 , A second intermetallic doping film 240 is above the device in the first area 100A and the second area 100B educated. The second intermetal doping film 240 can also be used as a second doping film 240 be designated. The second doping film 240 may comprise a doped silicate glass. The dopant used may comprise any suitable Group III p-type impurity, such as any of those previously described, for example, boron, aluminum, gallium or indium, and so forth, or combinations thereof. The second doping film 240 can be deposited by any suitable method such as CVD, spin coating, PECVD, etc. (shown by the arrows 241 ) to make a thin layer over the dummy gates 70 and 76 and in the U-shaped depressions 125 train.

Eine Konzentration des Dotierstoffs in dem zweiten Dotierfilm 240 kann durch Variieren des Verhältnisses von Gasen in der Prozessumgebung eingestellt werden. Wenn beispielsweise Bor zur Herstellung von Borosilikatglas (BSG) verwendet wird, können die Prozessgase Silan SiH4 als Quelle für das Silikat und B2H6 als Quelle für das Bor umfassen. Das Verhältnis der Bor-Dotierungsquelle kann eingestellt werden, um mehr oder weniger Bor in das ausgebildete BSG einzubauen. Zum Beispiel kann ein Verhältnis von B2H6 zu SiH4 etwa 15% bis etwa 45% betragen. Ein Verhältnis der Prozessgase kann in ähnlicher Weise für andere Dotierstoffe eingestellt werden. In einigen Ausführungsformen kann die resultierende Dotierstoffkonzentration in dem zweiten Dotierfilm 240 zwischen etwa 2,5 × 1018 cm-3 bis etwa 7,5 × 1018 cm-3 betragen. In einigen Ausführungsformen kann die Dicke des zweiten Dotierfilms 240 zwischen etwa 0,8 nm und etwa 2 nm liegen. In einigen Ausführungsformen kann die Dicke des zweiten Dotierfilms 240 weniger dick als etwa 0,8 nm oder dicker als etwa 2 nm sein.A concentration of the dopant in the second doping film 240 can be adjusted by varying the ratio of gases in the process environment. For example, if boron is used to make borosilicate glass (BSG), the process gases may include silane SiH 4 as the source of the silicate and B 2 H 6 as the source of the boron. The ratio of boron dopant source can be adjusted to incorporate more or less boron into the formed BSG. For example, a ratio of B 2 H 6 to SiH 4 may be from about 15% to about 45%. A ratio of the process gases can be adjusted similarly for other dopants. In some embodiments, the resulting dopant concentration in the second doping film 240 between about 2.5 × 10 18 cm -3 to about 7.5 × 10 18 cm -3 . In some embodiments, the thickness of the second doping film 240 between about 0.8 nm and about 2 nm. In some embodiments, the thickness of the second doping film 240 less than about 0.8 nm thick or thicker than about 2 nm.

Immer noch Bezugnehmend auf die 18B und 18C kann nach dem Ausbilden des zweiten Dotierfilms 240 ein thermisches Diffusionsverfahren (gezeigt durch die Pfeile 243) durchgeführt werden, um den Dotierstoff des zweiten Dotierfilms 240 in die Finnen 56 und die Halbleiterstreifen 52, die die Vertiefungen 125 umgeben, zu diffundieren. Das thermische Diffusionsverfahren 243 kann durch Verfahren ausgeführt werden, die oben in Bezug auf das thermische Diffusionsverfahren 223 der 12B und 12C beschrieben wurden, die nicht wiederholt werden.Still referring to the 18B and 18C may after forming the second doping film 240 a thermal diffusion method (shown by the arrows 243 ) to the dopant of the second dopant film 240 in the Finns 56 and the semiconductor strips 52 that the wells 125 surrounded, to diffuse. The thermal diffusion process 243 can be carried out by methods described above in relation to the thermal diffusion method 223 of the 12B and 12C which are not repeated.

Die Maske 230 verhindert im Wesentlichen, dass Dotierstoff von dem zweiten Dotierfilm 240 in die Source/Drain-Bereiche 82 des ersten Bereichs 100A diffundiert. Eine konforme Auskleidung (nicht gezeigt, siehe die Beschreibung in Zusammenhang mit 4A oben) kann zwischen dem Isolationsbereich 54 und den Halbleiterstreifen 52 ausgebildet werden, um als eine Diffusionsbarriere zu wirken, um eine Diffusion von Dotierstoff von dem zweiten Dotierfilm 240 in die Isolationsbereiche 54 zu verhindern.The mask 230 substantially prevents the dopant from the second doping film 240 into the source / drain regions 82 of the first area 100A diffused. A compliant liner (not shown, see description in connection with 4A above) can between the isolation area 54 and the semiconductor strip 52 be formed to act as a diffusion barrier to a diffusion of dopant from the second doping film 240 in the isolation areas 54 to prevent.

Die Diffusion von Dotierstoff in die umgebende Finne 56 und die Halbleiterstreifen 52 stellt eine erhöhte Dotierungskonzentration bereit, um den Schichtwiderstand zu verringern und die Trägerbeweglichkeit in dem Source/Drain-Bereich der in dem zweiten Bereich 100B ausgebildeten Vorrichtung zu verbessern. Die Konzentration des Dotierstoffs in dem Dotierstoffdiffusionsbereich 247 ist größer als die Konzentration des gleichen Dotierstoffs außerhalb des Dotierstoffdiffusionsbereichs 247, beispielsweise in einem äußeren Diffusionsbereich, der mindestens einen Teil des Dotierstoffdiffusionsbereichs 227 in der umgebenden Finne 56 und den Halbleiterstreifen 52 umgibt und an diesen angrenzt. Die Konzentration des Dotierstoffs, der durch die erste Dotierschicht 220 in dem Dotierstoffdiffusionsbereich 227 (siehe die 19B und 19C) bereitgestellt wird (etwa einer p-Verunreinigung), kann zwischen etwa 2,5 × 1019 cm-3 bis etwa 7,5 × 1019 cm-3 liegen. Die resultierende Trägerbeweglichkeit kann zwischen etwa 8 cm2/Vs bis etwa 20 cm2/Vs liegen. Der Schichtwiderstand kann zwischen etwa 150 Ω-cm bis etwa 190 Ω-cm liegen.The diffusion of dopant into the surrounding fin 56 and the semiconductor strips 52 provides an increased doping concentration to reduce the sheet resistance and the carrier mobility in the source / drain region in the second region 100B to improve the trained device. The concentration of dopant in the dopant diffusion region 247 is greater than the concentration of the same dopant outside the dopant diffusion region 247 For example, in an outer diffusion region, the at least part of the dopant diffusion region 227 in the surrounding fin 56 and the semiconductor strip 52 surrounds and adjoins this. The concentration of the dopant passing through the first dopant layer 220 in the dopant diffusion region 227 (see the 19B and 19C) may be between about 2.5 x 10 19 cm -3 to about 7.5 x 10 19 cm -3 . The resulting support mobility may be between about 8 cm 2 / Vs to about 20 cm 2 / Vs. The sheet resistance may be between about 150 Ω-cm to about 190 Ω-cm.

Unter Bezugnahme auf die 19B und 19C wird nach dem thermischen Diffusionsverfahren 243 der zweite Dotierfilm 240 durch jedes geeignete Verfahren entfernt, beispielsweise durch eine Nassätzung. Ein zweiter Dotierstoffdiffusionsbereich 247 ist in den Finnen 56 und den Halbleiterstreifen 52 ausgebildet.With reference to the 19B and 19C is after the thermal diffusion process 243 the second doping film 240 removed by any suitable method, for example by wet etching. A second dopant diffusion region 247 is in the Finns 56 and the semiconductor strip 52 educated.

Die Abmessungen und Eigenschaften der Öffnung 125 und des thermischen Diffusionsbereichs 247 stimmen mit denen überein, die oben in Bezug auf die Öffnung 124 und den thermischen Diffusionsbereich 227 von 13D beschrieben wurden, und werden nicht wiederholt. The dimensions and characteristics of the opening 125 and the thermal diffusion region 247 agree with those above regarding the opening 124 and the thermal diffusion region 227 from 13D have been described and will not be repeated.

Die 20B und 20C zeigen das Ausbilden von epitaktischen Source/Drain-Bereichen 84 in dem zweiten Bereich 100B. In einigen Ausführungsformen werden die epitaktischen Source/Drain-Bereiche 84 in dem zweiten Bereich 100B epitaktisch in den Vertiefungen unter Verwendung von MOCVD, MBE, LPE, VPE, SEG, einer Kombination davon oder dergleichen gezüchtet. Die epitaktischen Source/Drain-Bereiche 84 können ein beliebiges geeignetes Material umfassen, wie jedes Material, das für p-FinFETs geeignet ist. Wenn beispielsweise die Finne 56 aus Silizium besteht, können die epitaktischen Source/Drain-Bereiche 84 SiGe, SiGeB, Ge, GeSn oder dergleichen umfassen. Die epitaktischen Source/Drain-Bereiche 84 können Oberflächen aufweisen, die von jeweiligen Oberflächen der Finnen 56 angehoben sind und können Facetten aufweisen. Die epitaktischen Source/Drain-Bereiche 84 sind in den Finnen 56 derart ausgebildet, dass jedes Dummy-Gate 76 zwischen einem zugehörigen benachbarten Paar der epitaktischen Source/Drain-Bereiche 84 angeordnet ist. In einigen Ausführungsformen können sich die epitaktischen Source/Drain-Bereiche 84 über die Finnen 56 hinaus und in die Halbleiterstreifen 52 erstrecken, wie in 20B gezeigt ist.The 20B and 20C show the formation of epitaxial source / drain regions 84 in the second area 100B , In some embodiments, the epitaxial source / drain regions become 84 in the second area 100B epitaxially grown in the wells using MOCVD, MBE, LPE, VPE, SEG, a combination thereof, or the like. The epitaxial source / drain regions 84 may include any suitable material, such as any material suitable for p-type FinFETs. If, for example, the Finn 56 is made of silicon, the epitaxial source / drain regions 84 SiGe, SiGeB, Ge, GeSn or the like. The epitaxial source / drain regions 84 may have surfaces that are different from respective surfaces of the fins 56 are raised and may have facets. The epitaxial source / drain regions 84 are in the Finns 56 designed such that each dummy gate 76 between an associated adjacent pair of epitaxial source / drain regions 84 is arranged. In some embodiments, the epitaxial source / drain regions may become 84 about the Finns 56 out and into the semiconductor strips 52 extend as in 20B is shown.

Das Material der epitaktischen Source/Drain-Bereiche 84 in dem zweiten Bereich 100B kann mit Dotierstoffen implantiert werden, ähnlich dem zuvor beschriebenen Verfahren zum Ausbilden der LDD-Bereiche 79, gefolgt von einem Tempern (siehe die 8A, 8B und 8C). Die Source/Drain-Bereiche 84 können eine Verunreinigungskonzentration in einem Bereich von etwa 1019 cm-3 bis etwa 1021 cm-3 aufweisen. Die p-Verunreinigungen für die Source/Drain-Bereiche 84 in dem zweiten Bereich 100B, z. B. dem PMOS-Bereich, können irgendwelche der zuvor beschriebenen p-Verunreinigungen sein. In anderen Ausführungsformen können die epitaktischen Source/Drain-Bereiche 84 während des Wachstums in situ dotiert werden. In den gezeigten Ausführungsformen ist jeder der Source/Drain-Bereiche 84 räumlich von anderen Source/Drain-Bereichen 84 getrennt. In weiteren Ausführungsformen können zwei oder mehr benachbarte Source/Drain-Bereiche 84 vereinigt sein. Beispiele solcher Ausführungsformen sind in den 27C und 31C so gezeigt, dass zwei oder drei benachbarte Source/Drain-Bereiche 84 vereinigt sind, um einen gemeinsamen Source/Drain-Bereich zu bilden. In einigen Ausführungsformen können mehr als zwei benachbarte Source/Drain-Bereiche 84 vereinigt sein.The material of the epitaxial source / drain regions 84 in the second area 100B can be implanted with dopants similar to the previously described method of forming the LDD regions 79 followed by a tempering (see the 8A . 8B and 8C) , The source / drain regions 84 may have an impurity concentration in a range of about 10 19 cm -3 to about 10 21 cm -3 . The p-type impurities for the source / drain regions 84 in the second area 100B , z. The PMOS region may be any of the p-type impurities previously described. In other embodiments, the epitaxial source / drain regions 84 be doped in situ during growth. In the embodiments shown, each of the source / drain regions 84 spatially from other source / drain regions 84 separated. In further embodiments, two or more adjacent source / drain regions 84 be united. Examples of such embodiments are in the 27C and 31C shown to have two or three adjacent source / drain regions 84 are united to form a common source / drain region. In some embodiments, more than two adjacent source / drain regions 84 be united.

Aufgrund der Filmdotierung der U-förmigen Vertiefung 246 (siehe die 20B und 20C), die ein glattes Seitenwandprofil der Vertiefung bereitstellt, können die epitaktischen Source/Drain-Bereiche 84 auf gleichmäßige Weise ausgebildet werden und ein größeres Volumen erzeugen, als ohne die Filmdotierung hergestellt würde.Due to the film doping of the U-shaped recess 246 (see the 20B and 20C) , which provides a smooth sidewall profile of the recess, may include the epitaxial source / drain regions 84 be formed in a uniform manner and produce a larger volume than would be produced without the film doping.

Die Abmessungen und Eigenschaften der epitaktischen Source/Drain-Bereiche 84 können ähnlich zu denen sein, die oben in Bezug auf die epitaktischen Source/Drain-Bereiche 82 von 14D beschrieben wurden, und werden nicht wiederholt.The dimensions and properties of the epitaxial source / drain regions 84 may be similar to those above with respect to the epitaxial source / drain regions 82 from 14D have been described and will not be repeated.

Bezugnehmend auf die 21A, 21B und 21C wird die Maske 230, die den ersten Bereich 100A schützt, unter Verwendung irgendeiner geeigneten Technik entfernt.Referring to the 21A . 21B and 21C becomes the mask 230 that the first area 100A protects, using any suitable technique removed.

Die 22A bis 25C zeigen das Ersetzen der Dummy-Gates 70 in dem ersten Bereich 100A und der Dummy-Gates 76 in dem zweiten Bereich 100B durch eine Ersatz-Gatestruktur. In einigen Ausführungsformen kann die Ersatz-Gatestruktur ein Metallgate sein, wie nachstehend beschrieben. Wie oben erwähnt wird, obwohl ein Gate-Last-Verfahren gezeigt und beschrieben wurde, der Fachmann verstehen, dass ein Gate-First-Verfahren auch verwendet werden kann.The 22A to 25C show the replacement of the dummy gates 70 in the first area 100A and the dummy gates 76 in the second area 100B through a replacement gate structure. In some embodiments, the replacement gate structure may be a metal gate, as described below. As mentioned above, although a gate-load method has been shown and described, it will be understood by those skilled in the art that a gate-first method may also be used.

Bezugnehmend auf die 22A, 22B und 22C werden eine Ätzstoppschicht 87 und ein Zwischenschichtdielektrikum (ILD) 88 über den Dummy-Gates 70 und 76 und über den Source/Drain-Bereichen 82 und 84 abgeschieden. In einer Ausführungsform ist das ILD 88 ein fließfähiger Film, der durch eine fließfähige CVD ausgebildet wird. In einigen Ausführungsformen ist das ILD 88 aus einem Dielektrikum wie beispielsweise Phosphorsilikatglas (PSG), Borosilikatglas (BSG), Bor-dotiertem Phosphorsilikatglas (BPSG), undotiertem Silikatglas (USG) oder dergleichen ausgebildet und kann durch irgendein geeignetes Verfahren wie CVD, PECVD, eine Kombination davon oder dergleichen abgeschieden werden. In einigen Ausführungsformen wird die Ätzstoppschicht 87 als eine Stoppschicht verwendet, während das ILD 88 strukturiert wird, um Öffnungen für nachfolgend ausgebildete Kontakte auszubilden. Dementsprechend kann ein Material für die Ätzstoppschicht 87 so gewählt werden, dass das Material der Ätzstoppschicht 87 eine niedrigere Ätzrate als das Material des ILD 88 aufweist.Referring to the 22A . 22B and 22C become an etch stop layer 87 and an interlayer dielectric (ILD) 88 over the dummy gates 70 and 76 and over the source / drain regions 82 and 84 deposited. In one embodiment, the ILD 88 a flowable film formed by a flowable CVD. In some embodiments, this is the ILD 88 formed of a dielectric such as phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), undoped silicate glass (USG) or the like, and can be deposited by any suitable method such as CVD, PECVD, a combination thereof or the like. In some embodiments, the etch stop layer becomes 87 used as a stop layer while the ILD 88 is patterned to form openings for subsequently formed contacts. Accordingly, a material for the etching stopper layer 87 be chosen so that the material of the etch stop layer 87 a lower etch rate than the material of the ILD 88 having.

Bezugnehmend auf die 23A, 23B und 23C kann ein Planarisierungsverfahren, wie ein CMP, durchgeführt werden, um die obere Fläche des ILD 88 mit den oberen Flächen der Dummy-Gates 70 und 76 zu nivellieren. Nach dem Planarisierungsverfahren sind die oberen Flächen der Dummy-Gates 70 und 76 durch das ILD 88 freigelegt. In einigen Ausfiihrungsformen kann das CMP auch die Masken 72 und 78 oder Teile davon auf den Dummy-Gates 70 und 76 entfernen.Referring to the 23A . 23B and 23C For example, a planarization method, such as a CMP, may be performed around the top surface of the ILD 88 with the top surfaces of the dummy gates 70 and 76 to level. After the planarization process, the top surfaces of the dummy gates 70 and 76 through the ILD 88 exposed. In In some embodiments, the CMP may also use the masks 72 and 78 or parts of it on the dummy gates 70 and 76 remove.

Bezugnehmend auf die 24A, 24B und 24C werden verbleibende Anteile der Masken 72 und 78 und der Dummy-Gates 70 und 76 in einem oder mehreren Ätzschritten entfernt, so dass Vertiefungen 90 ausgebildet werden. Jede der Vertiefungen 90 legt einen Kanalbereich einer zugehörigen Finne 56 frei. Jeder Kanalbereich ist zwischen einem benachbarten Paar der epitaktischen Source/Drain-Bereiche 82 in dem ersten Bereich 100A oder zwischen einem benachbarten Paar der epitaktischen Source/Drain-Bereiche 84 in dem zweiten Bereich 100B angeordnet. In einigen Ausführungsformen kann während des Entfernens die Dummy-Dielektrikumsschicht 58 als Ätzstoppschicht verwendet und freigelegt werden, wenn die Dummy-Gates 70 und 76 geätzt werden. Die freigelegte Dummy-Dielektrikumsschicht 58 kann dann nach dem Entfernen der Dummy-Gates 70 und 76 entfernt werden. In einigen Ausfiihrungsformen können Abschnitte der Dummy-Dielektrikumsschicht 58, die durch das Verfahren zum Entfernen der Dummy-Gates 70 und 76 nicht freigelegt wurden, verbleiben, wie in 24B gezeigt.Referring to the 24A . 24B and 24C become remaining portions of the masks 72 and 78 and the dummy gates 70 and 76 removed in one or more etching steps, so that depressions 90 be formed. Each of the wells 90 defines a channel area of an associated fin 56 free. Each channel region is between an adjacent pair of epitaxial source / drain regions 82 in the first area 100A or between an adjacent pair of epitaxial source / drain regions 84 in the second area 100B arranged. In some embodiments, during removal, the dummy dielectric layer may 58 used as etch stop layer and exposed when the dummy gates 70 and 76 be etched. The exposed dummy dielectric layer 58 can then after removing the dummy gates 70 and 76 be removed. In some embodiments, portions of the dummy dielectric layer 58 that by the method of removing the dummy gates 70 and 76 have not been uncovered, remain as in 24B shown.

Bezugnehmend auf die 25A, 25B und 25C werden Gatedielektrikumsschichten 92 und 96 und Gateelektroden 94 und 98 für die Ersatz-Gates in dem ersten Bereich 100A bzw. dem zweiten Bereich 100B ausgebildet. Die Gatedielektrikumsschichten 92 und 96 werden in den Vertiefungen 90 ausgebildet, beispielsweise auf den oberen Flächen und den Seitenwänden der Finnen 56, auf Seitenwänden der Gate-Abstandshalter 122 bzw. 130 und auf einer oberen Fläche des ILD 88. In einigen Ausführungsformen werden die Gatedielektrikumsschichten 92 und 96 in einer deckend abgeschiedenen Schicht abgeschieden. In einigen Ausführungsformen umfassen die Gatedielektrikumsschichten 92 und 96 Siliziumoxid, Siliziumnitrid oder Mehrfachschichten davon. In anderen Ausführungsformen umfassen die Gatedielektrikumsschichten 92 und 96 ein High-k-Dielektrikum und bei diesen Ausführungsformen können die Gatedielektrikumsschichten 92 und 96 einen k-Wert von mehr als etwa 7,0 aufweisen und können ein Metalloxid oder ein Silikat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb und Kombinationen davon umfassen. Die Herstellungsverfahren der Gatedielektrikumsschichten 92 und 96 können Molekularstrahlabscheidung (MBD), ALD, CVD, PECVD, eine Kombination davon oder dergleichen umfassen. In einigen Ausführungsformen kann das Ausbilden zu einer konform abgeschiedenen Schicht mit horizontalen Abschnitten und vertikalen (oder nicht horizontalen) Abschnitten mit im Wesentlichen der gleichen Dicke führen, wobei beispielsweise die vertikale Dicke der vertikalen Abschnitte der dielektrischen Schichten 92 und 96 und die horizontale Dicke der horizontalen Abschnitte der dielektrischen Schichten 92 und 96 eine Differenz von weniger als 20 Prozent haben. In einigen Ausführungsformen können die Gatedielektrikumsschichten 92 und 96 thermisch gezüchtet werden, wie oben in Bezug auf die Dummy-Dielektrikumsschicht 58 beschrieben ist.Referring to the 25A . 25B and 25C become gate dielectric layers 92 and 96 and gate electrodes 94 and 98 for the replacement gates in the first area 100A or the second area 100B educated. The gate dielectric layers 92 and 96 be in the wells 90 formed, for example, on the upper surfaces and the side walls of the fins 56 , on sidewalls of the gate spacers 122 respectively. 130 and on an upper surface of the ILD 88 , In some embodiments, the gate dielectric layers become 92 and 96 deposited in an opaque deposited layer. In some embodiments, the gate dielectric layers include 92 and 96 Silicon oxide, silicon nitride or multilayers thereof. In other embodiments, the gate dielectric layers include 92 and 96 a high-k dielectric, and in these embodiments, the gate dielectric layers 92 and 96 have a k value of greater than about 7.0, and may include a metal oxide or silicate of Hf, Al, Zr, La, Mg, Ba, Ti, Pb, and combinations thereof. The manufacturing processes of the gate dielectric layers 92 and 96 may include molecular beam deposition (MBD), ALD, CVD, PECVD, a combination thereof, or the like. In some embodiments, forming may result in a conformally deposited layer having horizontal sections and vertical (or non-horizontal) sections of substantially the same thickness, for example, the vertical thickness of the vertical sections of the dielectric layers 92 and 96 and the horizontal thickness of the horizontal portions of the dielectric layers 92 and 96 a difference of less than 20 Percent. In some embodiments, the gate dielectric layers may be 92 and 96 thermally grown as above with respect to the dummy dielectric layer 58 is described.

Als nächstes werden die Gateelektroden 94 und 98 über den Gatedielektrikumsschichten 92 bzw. 96 abgeschieden und füllen die verbleibenden Anteile der Vertiefungen 90 aus. Die Gateelektroden 94 und 98 können aus einem metallhaltigen Material wie TiN, TaN, TaC, TiC, TiO, Co, Ru, Al, Ag, Au, W, Ni, Ti, Cu, Kombinationen davon oder Mehrfachschichten davon hergestellt sein. Nach dem Füllen der Gateelektroden 94 und 98 kann ein Planarisierungsverfahren wie ein CMP durchgeführt werden, um die überschüssigen Anteile der Gatedielektrikumsschichten 92 und 96 und der Gateelektroden 94 und 98 zu entfernen, wobei diese überschüssigen Anteile über der oberen Fläche des ILD 88 liegen. Die resultierenden verbleibenden Materialabschnitte der Gateelektroden 94 und 98 und der Gatedielektrikumsschichten 92 und 96 bilden somit Ersatz-Gates der resultierenden FinFETs.Next are the gate electrodes 94 and 98 over the gate dielectric layers 92 respectively. 96 deposited and fill the remaining portions of the wells 90 out. The gate electrodes 94 and 98 may be made of a metal-containing material such as TiN, TaN, TaC, TiC, TiO, Co, Ru, Al, Ag, Au, W, Ni, Ti, Cu, combinations thereof or multilayers thereof. After filling the gate electrodes 94 and 98 For example, a planarization process such as a CMP may be performed to eliminate the excess portions of the gate dielectric layers 92 and 96 and the gate electrodes 94 and 98 removing these excess portions above the top surface of the ILD 88 lie. The resulting remaining material portions of the gate electrodes 94 and 98 and the gate dielectric layers 92 and 96 thus form spare gates of the resulting FinFETs.

Obwohl nicht gezeigt, können die Gateelektroden 94 und 98 eine Folge von einer oder mehreren gestapelten Schichten (nicht gezeigt) umfassen. Die gestapelten Schichten können in den Vertiefungen 90 über Seitenwänden und Böden der Gateelektroden 94 und 98 und über der oberen Fläche des ILD 88 abgeschieden werden. Die gestapelten Schichten können durch ein deckendes Abscheidungsverfahren wie ALD oder CVD ausgebildet werden und weisen eine im Wesentlichen einheitliche Dicke innerhalb von Prozessvarianzen auf. In einigen Ausführungsformen kann das Ausbilden der Gateelektroden 94 und 98 zu konform abgelagerten Schichten mit horizontalen Abschnitten und vertikalen (oder nicht horizontalen) Abschnitten führen, die im Wesentlichen die gleiche Dicke aufweisen, wobei beispielsweise die vertikale Dicke der vertikalen Abschnitte der Schichten und die horizontale Dicke der horizontalen Abschnitte eine Differenz von weniger als 20 Prozent haben. Die gestapelten Schichten können eine Diffusionssperrschicht und eine oder mehrere Austrittsarbeitsschichten über der Diffusionssperrschicht aufweisen. Die Diffusionssperrschicht kann aus Titannitrid (TiN) oder Thalliumnitrid ausgebildet sein. Die eine oder mehreren Austrittsarbeitsschichten bestimmen die Austrittsarbeit des Gates und können mindestens eine Schicht oder eine Mehrzahl von Schichten aus verschiedenen Materialien umfassen. Das spezifische Material der Austrittsarbeitsschicht kann danach ausgewählt werden, ob der jeweilige FinFET ein n-FinFET oder ein p-FinFET ist. Wenn der FinFET beispielsweise ein n-FinFET ist, kann die Austrittsarbeitsschicht eine AlTiC-Schicht umfassen. Wenn der FinFET ein p-FinFET ist, kann die Austrittsarbeitsschicht eine AlTiN- und/oder eine AlTiC-Schicht umfassen. Nach dem Abscheiden der einen oder mehreren Austrittsarbeitsschichten kann eine Sperrschicht (nicht gezeigt), die eine weitere TiN-Schicht sein kann, ausgebildet werden.Although not shown, the gate electrodes may be 94 and 98 a sequence of one or more stacked layers (not shown). The stacked layers can be in the wells 90 over sidewalls and bottoms of the gate electrodes 94 and 98 and above the upper surface of the ILD 88 be deposited. The stacked layers may be formed by a blanket deposition process such as ALD or CVD and have a substantially uniform thickness within process variances. In some embodiments, forming the gate electrodes 94 and 98 result in conformally deposited layers having horizontal sections and vertical (or non-horizontal) sections having substantially the same thickness, for example the vertical thickness of the vertical sections of the layers and the horizontal thickness of the horizontal sections being less than 20 Percent. The stacked layers may include a diffusion barrier layer and one or more work function layers over the diffusion barrier layer. The diffusion barrier layer may be formed of titanium nitride (TiN) or thallium nitride. The one or more work function layers determine the work function of the gate and may include at least one layer or a plurality of layers of different materials. The specific material of the work function layer may be selected according to whether the respective FinFET is an n-type FinFET or a p-type FinFET. For example, if the FinFET is an n-FinFET, the work function layer may be an AlTiC layer include. If the FinFET is a p-type FinFET, the work function layer may comprise an AlTiN and / or an AlTiC layer. After depositing the one or more work function layers, a barrier layer (not shown), which may be another TiN layer, may be formed.

In einigen Ausführungsformen kann das Ausbilden der Gatedielektrikumsschichten 92 und 96 gleichzeitig erfolgen, so dass die Gatedielektrikumsschichten 92 und 96 aus den gleichen Materialien bestehen, und das Ausbilden der Gateelektroden 94 und 98 kann gleichzeitig erfolgen, so dass die Gateelektroden 94 und 98 aus den gleichen Materialien bestehen. Jedoch können in anderen Ausführungsformen die Gatedielektrikumsschichten 92 und 96 durch unterschiedliche Verfahren ausgebildet werden, so dass die Gatedielektrikumsschichten 92 und 96 aus unterschiedlichen Materialien hergestellt sein können, und die Gateelektroden 94 und 98 können durch unterschiedliche Verfahren ausgebildet werden, so dass die Gateelektroden 94 und 98 aus unterschiedlichen Materialien hergestellt sein können. Verschiedene Maskierungsschritte können verwendet werden, um geeignete Bereiche zu maskieren und freizulegen, wenn getrennte Verfahren verwenden werden.In some embodiments, forming the gate dielectric layers 92 and 96 occur simultaneously so that the gate dielectric layers 92 and 96 made of the same materials, and the formation of the gate electrodes 94 and 98 can be done simultaneously, so that the gate electrodes 94 and 98 made of the same materials. However, in other embodiments, the gate dielectric layers may 92 and 96 be formed by different methods, so that the gate dielectric layers 92 and 96 can be made of different materials, and the gate electrodes 94 and 98 can be formed by different methods, so that the gate electrodes 94 and 98 can be made of different materials. Various masking steps can be used to mask and expose appropriate areas when using separate methods.

Die 26A, 26B und 26C zeigen die FinFET-Vorrichtung nach dem Ausbilden von Kontakten zu den Source/Drain-Bereichen 82 und 84 und den Gates 94 und 96. Ein zweites ILD 102 wird über dem ILD 88 abgeschieden, Kontakte 104 und 106 werden durch das ILD 102 und das ILD 88 ausgebildet und Kontakte 108 und 110 werden durch das ILD 102 ausgebildet. In einer Ausführungsform wird das ILD 102 unter Verwendung ähnlicher Materialien und Verfahren wie das ILD 88, das oben unter Bezugnahme auf die 22A, 22B und 22C beschrieben wurde, ausgebildet, und die Beschreibung wird nicht wiederholt. In einigen Ausfiihrungsformen sind das ILD 102 und das ILD 88 aus demselben Material ausgebildet. In weiteren Ausführungsformen sind das ILD 102 und das ILD 88 aus unterschiedlichen Materialien ausgebildet.The 26A . 26B and 26C show the FinFET device after forming contacts to the source / drain regions 82 and 84 and the gates 94 and 96 , A second ILD 102 will be over the ILD 88 isolated, contacts 104 and 106 be through the ILD 102 and the ILD 88 trained and contacts 108 and 110 be through the ILD 102 educated. In one embodiment, the ILD 102 using similar materials and procedures as the ILD 88 , referring to the above 22A . 22B and 22C has been described, and the description will not be repeated. In some embodiments, these are the ILD 102 and the ILD 88 made of the same material. In other embodiments, these are the ILD 102 and the ILD 88 made of different materials.

Öffnungen für die Kontakte 104 und 106 werden durch die ILDs 88 und 102 und die Ätzstoppschicht 87 ausgebildet. Öffnungen für die Kontakte 108 und 110 werden durch das ILD 102 und die Ätzstoppschicht 87 ausgebildet. Diese Öffnungen können alle gleichzeitig in einem gleichen Verfahren oder in getrennten Verfahren ausgebildet werden. Die Öffnungen können unter Verwendung geeigneter Photolithographie- und Ätztechniken ausgebildet werden. Eine Auskleidung, wie eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material werden in den Öffnungen ausgebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsverfahren, wie ein CMP, kann durchgeführt werden, um überschüssige Materialien von einer oberen Fläche des ILD 102 zu entfernen. Verbleibende Auskleidung und leitendes Material bilden die Kontakte 104, 106, 108 und 110 in den Öffnungen. Ein Temperverfahren kann durchgeführt werden, um ein Silizid (nicht gezeigt) an der Grenzfläche zwischen den epitaktischen Source/Drain-Bereichen 82 und 84 und den Kontakten 104 bzw. 105 auszubilden. Die Kontakte 104 sind räumlich und elektrisch mit den epitaktischen Source/Drain-Bereichen 82 verbunden, die Kontakte 106 sind räumlich und elektrisch mit den epitaktischen Source/Drain-Bereichen 84 verbunden, der Kontakt 108 ist räumlich und elektrisch mit der Gateelektrode 94 verbunden und der Kontakt 110 ist räumlich und elektrisch mit der Gateelektrode 98 verbunden.Openings for the contacts 104 and 106 be through the ILDs 88 and 102 and the etch stop layer 87 educated. Openings for the contacts 108 and 110 be through the ILD 102 and the etch stop layer 87 educated. These openings can all be formed simultaneously in a same process or in separate processes. The openings may be formed using suitable photolithography and etching techniques. A liner such as a diffusion barrier layer, an adhesive layer or the like, and a conductive material are formed in the openings. The lining may comprise titanium, titanium nitride, tantalum, tantalum nitride or the like. The conductive material may be copper, a copper alloy, silver, gold, tungsten, aluminum, nickel or the like. A planarization process, such as a CMP, can be performed to remove excess materials from an upper surface of the ILD 102 to remove. Remaining lining and conductive material form the contacts 104 . 106 . 108 and 110 in the openings. An annealing process may be performed to form a silicide (not shown) at the interface between the epitaxial source / drain regions 82 and 84 and the contacts 104 respectively. 105 train. The contacts 104 are spatial and electrical with the epitaxial source / drain regions 82 connected to the contacts 106 are spatial and electrical with the epitaxial source / drain regions 84 connected, the contact 108 is spatial and electrical with the gate electrode 94 connected and the contact 110 is spatial and electrical with the gate electrode 98 connected.

27C zeigt eine Querschnittsansicht einer FinFET-Vorrichtung, die der in den 26A, 26B und 26C gezeigten FinFET-Vorrichtung ähnelt, wobei gleiche Elemente mit gleichen Bezugszeichen bezeichnet sind. In einigen Ausführungsformen kann die FinFET-Vorrichtung von 27C unter Verwendung ähnlicher Materialien und Verfahren wie die FinFET-Vorrichtung der 26A, 26B und 26C ausgebildet werden, die oben unter Bezugnahme auf die 1-26C beschrieben wurden, und die Beschreibung wird nicht wiederholt. In der gezeigten Ausführungsform sind zwei benachbarte Source/Drain-Bereiche 82 und zwei benachbarte Source/Drain-Bereiche 84 vereinigt, so dass sie jeweilige gemeinsame Source/Drain-Bereiche bilden. In weiteren Ausführungsformen können mehr als zwei benachbarte Source/Drain-Bereiche 82 und mehr als zwei benachbarte Source/Drain-Bereiche 84 vereinigt werden (siehe beispielsweise 29C). 27C shows a cross-sectional view of a FinFET device, the in the 26A . 26B and 26C similar FinFET device shown, wherein like elements are designated by like reference numerals. In some embodiments, the FinFET device of FIG 27C using similar materials and methods as the FinFET device of FIG 26A . 26B and 26C be formed, with reference to the above 1-26C have been described and the description will not be repeated. In the embodiment shown, two adjacent source / drain regions are 82 and two adjacent source / drain regions 84 so that they form respective common source / drain regions. In further embodiments, more than two adjacent source / drain regions 82 and more than two adjacent source / drain regions 84 united (see for example 29C) ,

Die 28C und 29C zeigen vergrößerte Abschnitte eines Querschnitts eines epitaktischen Source/Drain-Bereichs einer Vorrichtung, die verschiedene Anordnungen der epitaktischen Materialien gemäß einigen Ausführungsformen zeigen. Die Schnittansichten der 28C und 29C entsprechen einer FinFET-Vorrichtung, die der in den 26A, 26B und 26C gezeigten FinFET-Vorrichtung ähnlich ist, wobei gleiche Elemente mit gleichen Bezugszeichen versehen sind. In einigen Ausführungsformen können die FinFET-Vorrichtungen der 28C und 29C unter Verwendung ähnlicher Materialien und Verfahren wie die FinFET-Vorrichtung der 26A, 26B und 26C ausgebildet werden, die oben unter Bezugnahme auf die 1-26C beschrieben wurden, und die Beschreibung wird nicht wiederholt.The 28C and 29C 10 show enlarged portions of a cross section of an epitaxial source / drain region of a device showing various arrangements of the epitaxial materials according to some embodiments. The sectional views of 28C and 29C correspond to a FinFET device that in the 26A . 26B and 26C similar FinFET device shown, wherein like elements are provided with the same reference numerals. In some embodiments, the FinFET devices may be the 28C and 29C using similar materials and methods as the FinFET device of FIG 26A . 26B and 26C be formed, with reference to the above 1-26C have been described and the description will not be repeated.

In 28C kann ein einzelner epitaktischer Source/Drain-Bereich 82/84 ein Teil eines FinFETs mit einer einzigen Finne sein oder kann eine einzelne Finne eines FinFETs mit einer Mehrzahl von Finnen sein. Aufgrund des Dotierstoffdiffusionsverfahrens (13B, 13C, 13D, 19B und 19C) kann die Form der epitaktischen Materialien in den Source/Drain-Bereichen 82/84 glatter, größer und definierter sein als ohne die Dotierung. Die epitaktische Source/Drain 82/84 kann eine erste epitaktische Struktur 82Y/84Y und eine abschließende Schicht epitaktischen Materials 82Z/84Z umfassen, die auf der ersten epitaktischen Struktur 82Y/84Y ausgebildet ist, die unter Verwendung von Verfahren und Materialien ausgebildet werden, die denjenigen ähneln, die oben mit Bezug auf die 14B, 14C, 14D, 20B und 20C beschrieben wurden. Die erste epitaktische Struktur 82Y/84Y kann aus mehreren Schichten aus epitaktischem Material bestehen. Eine Breite W7 entspricht der Breite der ersten epitaktischen Struktur 82Y/84Y. In einigen Ausführungsformen kann die Breite W7 etwa 29 nm bis etwa 39 nm betragen. Eine Breite W8 entspricht der Breite des epitaktischen Source/Drain-Bereichs 82/84, der die abschließende Schicht aus epitaktischem Material 82Z/84Z umfasst. In einigen Ausführungsformen kann die Breite W8 etwa 38 nm bis etwa 50 nm betragen. Ein Abstand D7 entspricht der Höhe der ersten epitaktischen Struktur 82Y/84Y. In einigen Ausführungsformen kann der Abstand D7 etwa 36 nm bis etwa 48 nm betragen. Ein Abstand D8 entspricht der Höhe der epitaktischen Source/Drain-Bereiche 82/84, die die abschließende Schicht aus epitaktischem Material 82Z/84Z umfassen. In einigen Ausführungsformen kann D8 etwa 43 nm bis etwa 54 nm betragen. Ein Abstand D9 entspricht der Dicke der abschließenden Schicht aus epitaktischem Material 82Z/84Z. In einigen Ausführungsformen kann D9 etwa 3,5 nm bis etwa 7,5 nm betragen.In 28C may be a single epitaxial source / drain region 82 / 84 a part of a FinFET with a single fin or may be a single fin of a FinFET with a plurality of fins. Due to the dopant diffusion method ( 13B . 13C . 13D . 19B and 19C) may be the shape of the epitaxial materials in the source / drain regions 82 / 84 smoother, larger and more defined than without the doping. The epitaxial source / drain 82 / 84 can be a first epitaxial structure 82Y / 84Y and a final layer of epitaxial material 82Z / 84Z include that on the first epitaxial structure 82Y / 84Y formed using methods and materials similar to those described above with respect to FIGS 14B . 14C . 14D . 20B and 20C have been described. The first epitaxial structure 82Y / 84Y can consist of several layers of epitaxial material. A width W 7 corresponds to the width of the first epitaxial structure 82Y / 84Y , In some embodiments, the width W 7 about 29 nm to about 39 nm amount. A width W 8 corresponds to the width of the epitaxial source / drain region 82 / 84 , the final layer of epitaxial material 82Z / 84Z includes. In some embodiments, the width W 8 about 38 nm to about 50 nm. A distance D7 corresponds to the height of the first epitaxial structure 82Y / 84Y , In some embodiments, the distance D 7 be about 36 nm to about 48 nm. A distance D 8 corresponds to the height of the epitaxial source / drain regions 82 / 84 that the final layer of epitaxial material 82Z / 84Z include. In some embodiments D 8 about 43 nm to about 54 nm. A distance D 9 corresponds to the thickness of the final layer of epitaxial material 82Z / 84Z , In some embodiments D 9 about 3.5 nm to about 7.5 nm.

Das Profil der epitaktischen Source/Drain-Bereiche 82/84 kann ein größeres Volumen und eine größere Höhe mit besser definierten Facetten als Folge des hier beschriebenen Zwischenmetall-Dotierstoffdiffusionsverfahrens aufweisen (siehe die 12B, 12C, 18B und 18C und die begleitende Beschreibung).The profile of the epitaxial source / drain regions 82 / 84 may have a larger volume and a greater height with better defined facets as a result of the intermetallic dopant diffusion method described herein (see US Pat 12B . 12C . 18B and 18C and the accompanying description).

In 29C kann ein dreifacher epitaktischer Source/Drain-Bereich 82/84 ein Teil der Finnen eines FinFET sein, der eine kronenförmige Struktur mit einer vereinigten epitaktischen Struktur zeigt. Aufgrund des Dotierstoffdiffusionsverfahrens (13B, 13C, 13D, 19B und 19C) kann die Form der epitaktischen Materialien in den Source/Drain-Bereichen 82/84 glatter, größer und definierter sein als ohne die Dotierung. Die epitaktischen Source/Drain-Bereiche 82/84 können eine erste vereinigte epitaktische Struktur 82Y/84Y und eine abschließende Schicht aus epitaktischem Material 82Z/84Z umfassen, die auf der ersten vereinigten epitaktischen Struktur 82Y/84Y unter Verwendung von Verfahren und Materialien wie denen ausgebildet ist, die oben mit Bezug auf die 14B, 14C, 14D, 20B und 20C beschrieben sind. Die erste epitaktische Struktur 82Y/84Y kann aus mehreren Schichten aus epitaktischem Material bestehen. Ein Abstand D10 entspricht der Höhe der ersten epitaktischen Struktur 82Y/84Y. In einigen Ausführungsformen kann der Abstand D10 etwa 51 nm bis etwa 58 nm betragen. Ein Abstand D11 entspricht der Höhe der epitaktischen Source/Drain-Bereiche 82/84, die die abschließende Schicht aus epitaktischem Material 82Z/84Z umfassen. In einigen Ausführungsformen kann der Abstand D11 etwa 53 nm bis etwa 64 nm betragen. Der Abstand D9 entspricht der Dicke der abschließenden Schicht aus epitaktischem Material 82Z/84Z. In einigen Ausfiihrungsformen kann der Abstand D9 etwa 3,5 nm bis etwa 7,5 nm betragen.In 29C can be a triple epitaxial source / drain region 82 / 84 be part of the fins of a FinFET showing a crown-shaped structure with a unified epitaxial structure. Due to the dopant diffusion method ( 13B . 13C . 13D . 19B and 19C) may be the shape of the epitaxial materials in the source / drain regions 82 / 84 smoother, larger and more defined than without the doping. The epitaxial source / drain regions 82 / 84 can be a first united epitaxial structure 82Y / 84Y and a final layer of epitaxial material 82Z / 84Z include, on the first united epitaxial structure 82Y / 84Y formed using methods and materials such as those described above with respect to 14B . 14C . 14D . 20B and 20C are described. The first epitaxial structure 82Y / 84Y can consist of several layers of epitaxial material. A distance D 10 corresponds to the height of the first epitaxial structure 82Y / 84Y , In some embodiments, the distance D 10 be about 51 nm to about 58 nm. A distance D 11 corresponds to the height of the epitaxial source / drain regions 82 / 84 that the final layer of epitaxial material 82Z / 84Z include. In some embodiments, the distance D 11 about 53 nm to about 64 nm. The distance D 9 corresponds to the thickness of the final layer of epitaxial material 82Z / 84Z , In some embodiments, the distance D 9 about 3.5 nm to about 7.5 nm.

Ausfiihrungsformen der vorliegenden Offenbarung stellen eine erhöhte Konzentration von Dotierstoffverunreinigungen in den Source/Drain-Bereichen eines n-FinFET, p-FinFET oder von beiden (wie in einer komplementären Konfiguration) bereit. Die erhöhte Dotierstoffkonzentration sorgt für eine verbesserte Trägerbeweglichkeit und reduziert den Schichtwiderstand in den Source/Drain-Bereichen. Ein zusätzlicher Vorteil des Verfahrens der Verwendung der thermischen Diffusion eines dotierten Silikatglases zur Bereitstellung des Dotierstoffs besteht darin, dass das Profil der vertieften Finne in den Source/Drain-Bereichen (vor dem Ausbilden eines epitaktischen Source/Drain-Bereichs) glattere Oberflächen aufweist. Die glatteren Oberflächen sorgen für eine epitaktische Struktur in den Source/Drain-Bereichen (den oben beschriebenen epitaktischen Source/Drain-Bereichen), die ein definierteres facettiertes Profil mit größerer Höhe, Breite und Volumen aufweist.Embodiments of the present disclosure provide an increased concentration of dopant impurities in the source / drain regions of an n-FinFET, p-FinFET or both (as in a complementary configuration). The increased dopant concentration provides improved carrier mobility and reduces sheet resistance in the source / drain regions. An additional advantage of the method of using the thermal diffusion of a doped silicate glass to provide the dopant is that the profile of the recessed fin in the source / drain regions (prior to forming an epitaxial source / drain region) has smoother surfaces. The smoother surfaces provide an epitaxial structure in the source / drain regions (the epitaxial source / drain regions described above), which has a more defined faceted profile of greater height, width and volume.

Eine Ausführungsform ist ein Verfahren, das das Ätzen eines Substrats zum Ausbilden eines ersten Halbleiterstreifens umfasst. Eine erste Dummy-Gatestruktur ist über einem ersten Kanalbereich des ersten Halbleiterstreifens ausgebildet, wobei das erste Dummy-Gate senkrecht zu dem ersten Halbleiterstreifen ist. Eine erste Vertiefung wird in den ersten Halbleiterstreifen auf einer ersten Seite des ersten Dummy-Gates geätzt. Eine zweite Vertiefung wird in den ersten Halbleiterstreifen auf einer zweiten Seite des ersten Dummy-Gates geätzt. Ein erster Zwischenmetall-Dotierfilm wird in der ersten Vertiefung und der zweiten Vertiefung ausgebildet. Ein erster Dotierstoff des Zwischenmetall-Dotierfilms wird in den ersten Halbleiterstreifen in der Nähe der ersten Vertiefung und in den ersten Halbleiterstreifen in der Nähe der zweiten Vertiefung diffundiert. Ein Source/Drain-Bereich wird in der ersten Vertiefung und der zweiten Vertiefung epitaktisch gezüchtet.One embodiment is a method that includes etching a substrate to form a first semiconductor stripe. A first dummy gate structure is formed over a first channel region of the first semiconductor strip, wherein the first dummy gate is perpendicular to the first semiconductor strip. A first recess is etched in the first semiconductor strip on a first side of the first dummy gate. A second recess is etched in the first semiconductor strip on a second side of the first dummy gate. A first intermetal doping film is formed in the first recess and the second recess. A first dopant of the intermetal dopant film is diffused in the first semiconductor strip near the first recess and in the first semiconductor strip near the second recess. A source / drain region is epitaxially grown in the first well and the second well.

Eine weitere Ausführungsform ist ein Verfahren, das das Ätzen eines ersten Satzes von Vertiefungen in einem Source/Drain-Bereich eines ersten Bereichs einer FinFET-Vorrichtung und das Abscheiden eines ersten Zwischenmetall-Dotierfilms in dem ersten Satz von Vertiefungen umfasst. Der erste Zwischenmetall-Dotierfilm wird getempert, um den Dotierstoff des ersten Zwischenmetall-Dotierfilms benachbart zu dem ersten Satz von Vertiefungen zu diffundieren. Ein erster epitaktischer Source/Drain-Bereich wird in einer oder mehreren Vertiefungen des ersten Satzes von Vertiefungen gezüchtet. Das Verfahren umfasst auch das Ätzen eines zweiten Satzes von Vertiefungen in einem Source/Drain-Bereich eines zweiten Bereichs einer FinFET-Vorrichtung und das Abscheiden eines zweiten Zwischenmetall-Dotierfilms in dem zweiten Satz von Vertiefungen, wobei der zweite Zwischenmetall-Dotierfilm sich von dem ersten Zwischenmetall-Dotierfilm unterscheidet. Der zweite Zwischenmetall-Dotierfilm wird getempert, um den Dotierstoff des zweiten Zwischenmetall-Dotierfilms benachbart zu dem zweiten Satz von Vertiefungen zu diffundieren. Ein zweiter epitaktischer Source/Drain-Bereich wird in einer oder mehreren Vertiefungen des zweiten Satzes von Vertiefungen gezüchtet. Another embodiment is a method comprising etching a first set of pits in a source / drain region of a first region of a FinFET device and depositing a first intermetal dopant film in the first set of pits. The first intermetal doping film is annealed to diffuse the dopant of the first intermetal doping film adjacent to the first set of wells. A first epitaxial source / drain region is grown in one or more wells of the first set of wells. The method also includes etching a second set of pits in a source / drain region of a second region of a FinFET device and depositing a second intermetal dopant film in the second set of pits, the second intermetal dopant film being different from the first Intermetallic doping film is different. The second intermetal doping film is annealed to diffuse the dopant of the second intermetal doping film adjacent to the second set of wells. A second epitaxial source / drain region is grown in one or more wells of the second set of wells.

Eine Ausführungsform ist eine Halbleitervorrichtung, die eine Mehrzahl von Halbleiterstreifen und einen zwischen der Mehrzahl von Halbleiterstreifen ausgebildeten Isolationsbereich umfasst, wobei der Isolationsbereich eine obere Fläche aufweist, die niedriger ist als eine obere Fläche der Mehrzahl von Halbleiterstreifen. Die Vorrichtung umfasst auch eine Mehrzahl von Gatestapeln, die über einem ersten Kanalbereich der Mehrzahl von Halbleiterstreifen ausgebildet sind, wobei die Mehrzahl von Gatestapeln senkrecht zu der Mehrzahl von Halbleiterstreifen sind. Ein erster epitaktischer Source/Drain-Bereich ist zwischen zwei ersten der Mehrzahl von Gatestapeln angeordnet, wobei der erste epitaktische Source/Drain-Bereich in einer ersten Öffnung in einem ersten Streifen der Mehrzahl von Halbleiterstreifen ausgebildet ist. Ein erster Dotierstoffdiffusionsbereich umgibt den ersten epitaktischen Source/Drain- Bereich und weist eine erste Konzentration des ersten Dotierstoffs auf. Ein erster äußerer Diffusionsbereich umgibt mindestens einen Teil des ersten Dotierstoffdiffusionsbereichs und grenzet an ihn an und weist eine zweite Konzentration des ersten Dotierstoffs auf. Die erste Konzentration des ersten Dotierstoffs ist größer als die zweite Konzentration des ersten Dotierstoffs.One embodiment is a semiconductor device that includes a plurality of semiconductor strips and an isolation region formed between the plurality of semiconductor strips, wherein the isolation region has an upper surface that is lower than an upper surface of the plurality of semiconductor strips. The device also includes a plurality of gate stacks formed over a first channel region of the plurality of semiconductor strips, wherein the plurality of gate stacks are perpendicular to the plurality of semiconductor strips. A first epitaxial source / drain region is disposed between two first ones of the plurality of gate stacks, wherein the first epitaxial source / drain region is formed in a first opening in a first strip of the plurality of semiconductor strips. A first dopant diffusion region surrounds the first epitaxial source / drain region and has a first concentration of the first dopant. A first outer diffusion region surrounds and adjoins at least a portion of the first dopant diffusion region and has a second concentration of the first dopant. The first concentration of the first dopant is greater than the second concentration of the first dopant.

Während diese Erfindung unter Bezugnahme auf beispielhafte Ausführungsformen beschrieben wurde, soll diese Beschreibung nicht in einem einschränkenden Sinne aufgefasst werden. Verschiedene Modifikationen und Kombinationen der beispielshaften Ausfiihrungsformen sowie weitere Ausführungsformen der Erfindung werden für Fachleute in dem Gebiet unter Bezugnahme auf die Beschreibung offensichtlich sein. Es ist daher beabsichtigt, dass die beigefügten Ansprüche jegliche derartige Modifikationen oder Ausführungsformen umfassen.While this invention has been described with reference to exemplary embodiments, this description is not intended to be construed in a limiting sense. Various modifications and combinations of the exemplary embodiments and other embodiments of the invention will be apparent to those skilled in the art upon reference to the specification. It is therefore intended that the appended claims encompass any such modifications or embodiments.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturCited patent literature

  • US 62527698 [0001]US 62527698 [0001]

Claims (20)

Verfahren, umfassend: Ätzen eines Substrats, um einen ersten Halbleiterstreifen auszubilden; Ausbilden einer ersten Dummy-Gatestruktur über einem ersten Kanalbereich des ersten Halbleiterstreifens, wobei die erste Dummy-Gatestruktur senkrecht zu dem ersten Halbleiterstreifen ist; Ätzen einer ersten Vertiefung in dem ersten Halbleiterstreifen auf einer ersten Seite der ersten Dummy-Gatestruktur; Ätzen einer zweiten Vertiefung in dem ersten Halbleiterstreifen auf einer zweiten Seite der ersten Dummy-Gatestruktur; Ausbilden eines ersten Zwischenmetall-Dotierfilms in der ersten Vertiefung und der zweiten Vertiefung; Diffundieren eines ersten Dotierstoffs des ersten Zwischenmetall-Dotierfilms in den ersten Halbleiterstreifen nahe der ersten Vertiefung und in den ersten Halbleiterstreifen nahe der zweiten Vertiefung; epitaktisches Züchten eines Source/Drain-Bereichs in der ersten Vertiefung; und epitaktisches Züchten eines Source/Drain-Bereichs in der zweiten Vertiefung.Method, comprising: Etching a substrate to form a first semiconductor strip; Forming a first dummy gate structure over a first channel region of the first semiconductor strip, the first dummy gate structure being perpendicular to the first semiconductor strip; Etching a first recess in the first semiconductor strip on a first side of the first dummy gate structure; Etching a second recess in the first semiconductor strip on a second side of the first dummy gate structure; Forming a first intermetallic doping film in the first recess and the second recess; Diffusing a first dopant of the first intermetal doping film into the first semiconductor strip near the first recess and in the first semiconductor strip near the second recess; epitaxially growing a source / drain region in the first recess; and epitaxially growing a source / drain region in the second recess. Verfahren nach Anspruch 1, wobei der erste Dotierstoff aus einer Gruppe ausgewählt ist, die aus Phosphor, Arsen, Antimon, Wismut oder einer Kombination von zwei oder mehr davon besteht.Method according to Claim 1 wherein the first dopant is selected from the group consisting of phosphorus, arsenic, antimony, bismuth or a combination of two or more thereof. Verfahren nach Anspruch 1, wobei der erste Dotierstoff aus einer Gruppe ausgewählt ist, die aus Bor, Aluminium, Gallium, Indium oder einer Kombination von zwei oder mehr davon besteht.Method according to Claim 1 wherein the first dopant is selected from the group consisting of boron, aluminum, gallium, indium, or a combination of two or more thereof. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Diffundieren des ersten Dotierstoffs das thermische Tempern des ersten Zwischenmetall-Dotierfilms zwischen 900 und 1000 Grad Celsius für 3 bis 6 Sekunden umfasst.The method of any one of the preceding claims, wherein diffusing the first dopant comprises thermally annealing the first intermetal doping film between 900 and 1000 degrees Celsius for 3 to 6 seconds. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden des ersten Zwischenmetall-Dotierfilms umfasst: Bereitstellen eines ersten Prozessgases mit einer ersten Konzentration, wobei das erste Prozessgas eine Quelle für Silizium ist, und Bereitstellen eines zweiten Prozessgases mit einer zweiten Konzentration, wobei das zweite Prozessgas eine Quelle für den ersten Dotierstoff ist, wobei ein Verhältnis der zweiten Konzentration zu der ersten Konzentration eine Konzentration des ersten Dotierstoffs in dem ersten Zwischenmetall-Dotierfilm bestimmt.The method of any one of the preceding claims, wherein forming the first intermetal dopant film comprises: Providing a first process gas having a first concentration, wherein the first process gas is a source of silicon, and Providing a second process gas having a second concentration, wherein the second process gas is a source of the first dopant, wherein a ratio of the second concentration to the first concentration determines a concentration of the first dopant in the first intermetal doping film. Verfahren nach Anspruch 5, wobei das erste Prozessgas umfasst: Tetraethylorthosilikat (TEOS) oder Silan (SiH4), und wobei das zweite Prozessgas umfasst: PH3, POCl3 oder B2H6.Method according to Claim 5 wherein the first process gas comprises: tetraethylorthosilicate (TEOS) or silane (SiH 4 ), and wherein the second process gas comprises: PH 3 , POCl 3 or B 2 H 6 . Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Ausbilden einer zweiten Dummy-Gatestruktur über einem zweiten Kanalbereich des ersten Halbleiterstreifens, wobei der erste Kanalbereich von dem zweiten Kanalbereich durch eine Isolationsstruktur getrennt ist; Ätzen einer dritten Vertiefung in den ersten Halbleiterstreifen auf einer ersten Seite der zweiten Dummy-Gatestruktur; Ätzen einer vierten Vertiefung in den ersten Halbleiterstreifen auf einer zweiten Seite der zweiten Dummy-Gatestruktur; Ausbilden eines zweiten Zwischenmetall-Dotierfilms in der dritten Vertiefung und der vierten Vertiefung; Diffundieren eines zweiten Dotierstoffs des zweiten Zwischenmetall-Dotierfilms in den ersten Halbleiterstreifen nahe der dritten Vertiefung und in den ersten Halbleiterstreifen nahe der vierten Vertiefung, wobei sich der zweite Dotierstoff von dem ersten Dotierstoff unterscheidet; und epitaktisches Züchten eines Source/Drain-Bereichs in der dritten Vertiefung und eines Source/Drain-Bereichs in der vierten Vertiefung.The method of any one of the preceding claims, further comprising: Forming a second dummy gate structure over a second channel region of the first semiconductor strip, the first channel region being separated from the second channel region by an isolation structure; Etching a third recess in the first semiconductor strip on a first side of the second dummy gate structure; Etching a fourth recess in the first semiconductor strip on a second side of the second dummy gate structure; Forming a second intermetal doping film in the third recess and the fourth recess; Diffusing a second dopant of the second intermetal doping film into the first semiconductor strip near the third recess and in the first semiconductor strip near the fourth recess, the second dopant being different from the first dopant; and epitaxially growing a source / drain region in the third depression and a source / drain region in the fourth recess. Verfahren nach Anspruch 7, ferner umfassend: Ätzen des Substrats, um einen zweiten Halbleiterstreifen parallel zu dem ersten Halbleiterstreifen auszubilden, wobei die erste Dummy-Gatestruktur über einem ersten Kanalbereich des zweiten Halbleiterstreifens ausgebildet wird und wobei die zweite Dummy-Gatestruktur über einem zweiten Kanalbereich des zweiten Halbleiterstreifens ausgebildet wird; Abscheiden eines Isolationsmaterials zwischen dem ersten und dem zweiten Halbleiterstreifen; Diffundieren des ersten Dotierstoffs des ersten Zwischenmetall-Dotierfilms in den zweiten Halbleiterstreifen; und Diffundieren des zweiten Dotierstoffs des zweiten Zwischenmetall-Dotierfilms in den zweiten Halbleiterstreifen.Method according to Claim 7 , further comprising: etching the substrate to form a second semiconductor strip parallel to the first semiconductor strip, wherein the first dummy gate structure is formed over a first channel region of the second semiconductor strip, and wherein the second dummy gate structure is formed over a second channel region of the second semiconductor strip ; Depositing an insulating material between the first and second semiconductor strips; Diffusing the first dopant of the first intermetal doping film into the second semiconductor strip; and diffusing the second dopant of the second intermetal dopant film into the second semiconductor stripe. Verfahren, umfassend: Ätzen eines ersten Satzes von Vertiefungen in einen Source/Drain-Bereich eines ersten Bereichs einer FinFET-Vorrichtung; Abscheiden eines ersten Zwischenmetall-Dotierfilms in dem ersten Satz von Vertiefungen; Tempern des ersten Zwischenmetall-Dotierfilms, um den Dotierstoff des ersten Zwischenmetall-Dotierfilms benachbart zu dem ersten Satz von Vertiefungen zu diffundieren; Entfernen des ersten Zwischenmetall-Dotierfilms; Züchten eines ersten epitaktischen Source/Drain-Bereichs in einer oder mehreren Vertiefungen des ersten Satzes von Vertiefungen; Ätzen eines zweiten Satzes von Vertiefungen in einen Source/Drain-Bereich eines zweiten Bereichs einer FinFET-Vorrichtung; Abscheiden eines zweiten Zwischenmetall-Dotierfilms, der sich von dem ersten Zwischenmetall-Dotierfilm unterscheidet, in dem zweiten Satz von Vertiefungen; Tempern des zweiten Zwischenmetall-Dotierfilms, um den Dotierstoff des zweiten Zwischenmetall-Dotierfilms benachbart zu dem zweiten Satz von Vertiefungen zu diffundieren; Entfernen des zweiten Zwischenmetall-Dotierfilms; und Züchten eines zweiten epitaktischen Source/Drain-Bereichs in einer oder mehreren Vertiefungen des zweiten Satzes von Vertiefungen.A method, comprising: etching a first set of pits into a source / drain region of a first region of a FinFET device; Depositing a first intermetal dopant film in the first set of wells; Annealing the first intermetal doping film to diffuse the dopant of the first intermetal doping film adjacent to the first set of wells; Removing the first intermetallic doping film; Growing a first epitaxial source / drain region in one or more wells of the first set of wells; Etching a second set of pits into a source / drain region of a second region of a FinFET device; Depositing a second intermetal doping film different from the first intermetal doping film in the second set of depressions; Annealing the second intermetal dopant film to diffuse the dopant of the second intermetal dopant film adjacent to the second set of wells; Removing the second intermetallic doping film; and growing a second epitaxial source / drain region in one or more wells of the second set of wells. Verfahren nach Anspruch 9, wobei der Dotierstoff des ersten Zwischenmetall-Dotierfilms aus einer Liste ausgewählt ist, die aus Phosphor, Arsen, Antimon, Wismut oder einer Kombination von zwei oder mehr davon besteht, und wobei der Dotierstoff des zweiten Zwischenmetall-Dotierfilms aus einer Liste ausgewählt ist, die aus Bor, Aluminium, Gallium, Indium oder einer Kombination von zwei oder mehr davon besteht.Method according to Claim 9 wherein the dopant of the first intermetal dopant film is selected from a list consisting of phosphorus, arsenic, antimony, bismuth, or a combination of two or more thereof, and wherein the dopant of the second intermetallic dopant film is selected from a list is boron, aluminum, gallium, indium, or a combination of two or more thereof. Verfahren nach Anspruch 9, wobei der erste Zwischenmetall-Dotierfilm ein erstes dotiertes Silikatglas ist und wobei der zweite Zwischenmetall-Dotierfilm ein zweites dotiertes Silikatglas ist.Method according to Claim 9 wherein the first intermetal doping film is a first doped silicate glass and wherein the second intermetal doping film is a second doped silicate glass. Verfahren nach Anspruch 11, wobei das Tempern des ersten Zwischenmetall-Dotierfilms das Tempern des ersten dotierten Silikatglases zwischen 900 und 1000 Grad Celsius für 3 bis 6 Sekunden umfasst.Method according to Claim 11 wherein the annealing of the first intermetallic doping film comprises annealing the first doped silicate glass between 900 and 1000 degrees Celsius for 3 to 6 seconds. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 12, ferner umfassend: Ausbilden einer ersten Dummy-Gatestruktur senkrecht zu und über einer oder mehreren Halbleiterfinnen, wobei der erste Satz von Vertiefungen in der einen oder den mehreren Halbleiterfinnen auf gegenüberliegenden Seiten der ersten Dummy-Gatestruktur geätzt wird.Method according to one of the preceding Claims 9 to 12 further comprising forming a first dummy gate structure perpendicular to and over one or more semiconductor fins, wherein the first set of recesses in the one or more semiconductor fins is etched on opposite sides of the first dummy gate structure. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 13, wobei der erste epitaktische Source/Drain-Bereich eine Mehrzahl von epitaktischen Strukturen umfasst, die zu einer einzigen facettierten Struktur vereinigt sind.Method according to one of the preceding Claims 9 to 13 wherein the first epitaxial source / drain region comprises a plurality of epitaxial structures combined into a single faceted structure. Verfahren nach Anspruch 13, ferner umfassend: Ersetzen der ersten Dummy-Gatestruktur durch eine erste Ersatz-Gatestruktur, wobei die erste Ersatz-Gatestruktur eine Gateelektrode umfasst; Ausbilden einer ersten dielektrischen Schicht über der ersten Ersatz-Gatestruktur; und Ausbilden eines Kontakts durch die erste dielektrische Schicht zu der Gateelektrode.Method according to Claim 13 further comprising: replacing the first dummy gate structure with a first replacement gate structure, the first replacement gate structure including a gate electrode; Forming a first dielectric layer over the first replacement gate structure; and forming a contact through the first dielectric layer to the gate electrode. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 15, wobei: das Abscheiden des ersten Zwischenmetall-Dotierfilms umfasst: Bereitstellen eines ersten Prozessgases mit einer ersten Konzentration, wobei das erste Prozessgas eine Quelle für Silizium ist, und Bereitstellen eines zweiten Prozessgases mit einer zweiten Konzentration, wobei das zweite Prozessgas eine Quelle für den ersten Dotierstoff ist; und das Abscheiden des zweiten Zwischenmetall-Dotierfilms umfasst: Bereitstellen eines dritten Prozessgases mit einer dritten Konzentration, wobei das dritte Prozessgas eine Quelle für Silizium ist, und Bereitstellen eines vierten Prozessgases mit einer vierten Konzentration, wobei das vierte Prozessgas eine Quelle für den zweiten Dotierstoff ist.Method according to one of the preceding Claims 9 to 15 wherein: depositing the first intermetallic dopant film comprises: providing a first process gas at a first concentration, wherein the first process gas is a source of silicon; and providing a second process gas at a second concentration, the second process gas being a source for the first Dopant is; and depositing the second intermetal dopant film comprises: providing a third process gas having a third concentration, wherein the third process gas is a source of silicon; and providing a fourth process gas having a fourth concentration, wherein the fourth process gas is a source of the second dopant , Halbleitervorrichtung, umfassend: eine Mehrzahl von Halbleiterstreifen; einen Isolationsbereich, der zwischen der Mehrzahl von Halbleiterstreifen ausgebildet ist, wobei der Isolationsbereich eine obere Fläche aufweist, die niedriger als eine obere Fläche der Mehrzahl von Halbleiterstreifen ist; eine Mehrzahl von Gatestapeln, die über jeweiligen Kanalbereichen der Mehrzahl von Halbleiterstreifen ausgebildet sind, wobei die Mehrzahl von Gatestapeln senkrecht zu der Mehrzahl von Halbleiterstreifen ist; einen ersten epitaktischen Source/Drain-Bereich, der zwischen ersten zwei der Mehrzahl von Gatestapeln angeordnet ist, wobei der erste epitaktische Source/Drain-Bereich in einer ersten Öffnung in einem ersten Streifen der Mehrzahl von Halbleiterstreifen ausgebildet ist; einen ersten Dotierstoffdiffusionsbereich, der den ersten epitaktischen Source/Drain-Bereich umgibt, wobei der erste Dotierstoffdiffusionsbereich eine erste Konzentration eines ersten Dotierstoffs aufweist; und einen ersten äußeren Diffusionsbereich, der mindestens einen Teil des ersten Dotierstoffdiffusionsbereichs umgibt und an diesen angrenzt, wobei der erste äußere Diffusionsbereich eine zweite Konzentration des ersten Dotierstoffs aufweist, wobei die erste Konzentration des ersten Dotierstoffs größer als die zweite Konzentration des ersten Dotierstoffs ist.A semiconductor device, comprising: a plurality of semiconductor strips; an isolation region formed between the plurality of semiconductor strips, the isolation region having an upper surface lower than an upper surface of the plurality of semiconductor strips; a plurality of gate stacks formed over respective channel regions of the plurality of semiconductor strips, the plurality of gate stacks being perpendicular to the plurality of semiconductor strips; a first epitaxial source / drain region disposed between first two of the plurality of gate stacks, the first epitaxial source / drain region being formed in a first opening in a first strip of the plurality of semiconductor strips; a first dopant diffusion region surrounding the first epitaxial source / drain region, the first dopant diffusion region having a first concentration of a first dopant; and a first outer diffusion region surrounding and contiguous with at least a portion of the first dopant diffusion region, the first outer diffusion region having a second concentration of the first dopant, wherein the first concentration of the first dopant is greater than the second concentration of the first dopant. Halbleitervorrichtung nach Anspruch 17, wobei die erste Öffnung eine untere Fläche aufweist, die niedriger als die obere Fläche des Isolationsbereichs ist. Semiconductor device according to Claim 17 wherein the first opening has a lower surface that is lower than the upper surface of the isolation region. Halbleitervorrichtung nach Anspruch 17 oder 18, ferner umfassend: einen zweiten epitaktischen Source/Drain-Bereich, der zwischen zweiten zwei der Mehrzahl von Gatestapeln angeordnet ist, wobei der zweite epitaktische Source/Drain-Bereich in einer zweiten Öffnung in dem ersten Streifen der Mehrzahl von Halbleiterstreifen ausgebildet ist; und einen zweiten Dotierstoffdiffusionsbereich, der den zweiten epitaktischen Source/Drain-Bereich umgibt, wobei der zweite Dotierstoffdiffusionsbereich eine erste Konzentration eines zweiten Dotierstoffs aufweist; und einen zweiten äußeren Diffusionsbereich, der mindestens einen Teil des zweiten Dotierstoffdiffusionsbereichs umgibt und an diesen angrenzt, wobei der zweite äußere Diffusionsbereich eine zweite Konzentration des zweiten Dotierstoffs aufweist, wobei die erste Konzentration des zweiten Dotierstoffs größer ist als die zweite Konzentration des zweiten Dotierstoffs und wobei der erste Dotierstoff eine n-Verunreinigung ist und der zweite Dotierstoff eine p-Verunreinigung ist.Semiconductor device according to Claim 17 or 18 , further comprising: a second epitaxial source / drain region disposed between second two of the plurality of gate stacks, the second epitaxial source / drain region being formed in a second opening in the first strip of the plurality of semiconductor strips; and a second dopant diffusion region surrounding the second epitaxial source / drain region, the second dopant diffusion region having a first concentration of a second dopant; and a second outer diffusion region surrounding and contiguous with at least a portion of the second dopant diffusion region, the second outer diffusion region having a second concentration of the second dopant, the first concentration of the second dopant being greater than the second concentration of the second dopant and the first dopant is an n-type impurity and the second dopant is a p-type impurity. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche 17 bis 19, ferner umfassend: einen dritten epitaktischen Source/Drain-Bereich, der zwischen den ersten zwei der Mehrzahl von Gatestapeln angeordnet ist, wobei der dritte epitaktische Source/Drain-Bereich in einer dritten Öffnung in einem zweiten Streifen der Mehrzahl von Halbleiterstreifen ausgebildet ist, wobei der zweite Streifen benachbart zu dem ersten Streifen ist, wobei der dritte epitaktische Source/Drain-Bereich mit dem ersten epitaktischen Source/Drain-Bereich vereinigt ist, wobei der vereinigte epitaktische Source/Drain-Bereich eine facettierte epitaktische Struktur mit einer oberen Fläche aufweist, die mindestens etwa 2 nm höher als eine obere Fläche des ersten Streifens ist.Semiconductor device according to one of the preceding Claims 17 to 19 , further comprising: a third epitaxial source / drain region disposed between the first two of the plurality of gate stacks, wherein the third epitaxial source / drain region is formed in a third opening in a second strip of the plurality of semiconductor strips the second strip is adjacent to the first strip, the third epitaxial source / drain region being associated with the first epitaxial source / drain region, the combined epitaxial source / drain region having a faceted epitaxial structure having an upper surface, which is at least about 2 nm higher than an upper surface of the first strip.
DE102017127209.7A 2017-06-30 2017-11-20 INTERMEDIATE METAL FILM WITH DIFFUSION IN SOURCE / DRAIN Pending DE102017127209A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527698P 2017-06-30 2017-06-30
US62/527,698 2017-06-30
US15/665,968 2017-08-01
US15/665,968 US10170555B1 (en) 2017-06-30 2017-08-01 Intermetallic doping film with diffusion in source/drain

Publications (1)

Publication Number Publication Date
DE102017127209A1 true DE102017127209A1 (en) 2019-01-03

Family

ID=64661782

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017127209.7A Pending DE102017127209A1 (en) 2017-06-30 2017-11-20 INTERMEDIATE METAL FILM WITH DIFFUSION IN SOURCE / DRAIN

Country Status (1)

Country Link
DE (1) DE102017127209A1 (en)

Similar Documents

Publication Publication Date Title
DE102019117191B4 (en) Semiconductor device and method of manufacturing the same
DE102017123950B4 (en) FINFET COMPONENT AND METHOD OF MANUFACTURING THE SAME
DE102017118199A1 (en) FINFET DEVICE AND TRAINING PROCESS
DE102017127554B3 (en) Semiconductor fabrication process with prevention of gate height loss in planarization processes
DE102015109820A1 (en) Metal gate scheme for device and method of forming
DE102019116328B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019116036B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019118376A1 (en) FINFET DEVICE AND METHOD FOR MAKING SAME
DE102019117011B4 (en) SEMICONDUCTOR DEVICE AND PRODUCTION METHOD
DE102019102135A1 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102019109857A1 (en) SEMICONDUCTOR COMPONENT AND MANUFACTURING METHOD
DE102020109608A1 (en) DUMMY FINS AND METHOD OF MANUFACTURING THEREOF
DE102019111297B4 (en) Semiconductor device and method
DE102021105733A1 (en) CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
DE102021103538A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020124234A1 (en) METAL GATES AND THE PROCESS FOR THEIR MANUFACTURING
DE102020113099A1 (en) FERROELECTRIC DIRECT ACCESS STORAGE DEVICE WITH A THREE DIMENSIONAL FERROELECTRIC CAPACITOR
DE102018106191B4 (en) METHOD OF SELECTIVE FORMATION OF GATE SPACERS OF A FINFET USING A FLUORINATION PROCESS
DE102017126435B4 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD
DE102022132143A1 (en) METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND SEMICONDUCTOR DEVICES
DE102023101602A1 (en) METAL GATE STRUCTURE AND METHOD OF PRODUCTION THEREOF
DE102021115949A1 (en) CONDUCTIVE COVERING FOR EXIT WORKING LAYER AND METHODS OF FORMING IT
DE102020119452A1 (en) Semiconductor device and method
DE102017127209A1 (en) INTERMEDIATE METAL FILM WITH DIFFUSION IN SOURCE / DRAIN
DE102018122654A1 (en) FINNENFELDEFFEKTTRANSISTORVORRICHTUNG AND METHOD FOR MAKING THE SAME

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication