DE102017100349B4 - Separation of semiconductor dies and resulting structures - Google Patents

Separation of semiconductor dies and resulting structures Download PDF

Info

Publication number
DE102017100349B4
DE102017100349B4 DE102017100349.5A DE102017100349A DE102017100349B4 DE 102017100349 B4 DE102017100349 B4 DE 102017100349B4 DE 102017100349 A DE102017100349 A DE 102017100349A DE 102017100349 B4 DE102017100349 B4 DE 102017100349B4
Authority
DE
Germany
Prior art keywords
sidewall
notch
semiconductor substrate
dielectric layers
die
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017100349.5A
Other languages
German (de)
Other versions
DE102017100349A1 (en
Inventor
Fu-Chen Chang
Cheng-Lin Huang
Wen-Ming Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/374,885 external-priority patent/US10720360B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017100349A1 publication Critical patent/DE102017100349A1/en
Application granted granted Critical
Publication of DE102017100349B4 publication Critical patent/DE102017100349B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/03444Manufacturing methods by blanket deposition of the material of the bonding area in gaseous form
    • H01L2224/0345Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/034Manufacturing methods by blanket deposition of the material of the bonding area
    • H01L2224/0346Plating
    • H01L2224/03464Electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/03618Manufacturing methods by patterning a pre-deposited material with selective exposure, development and removal of a photosensitive material, e.g. of a photosensitive conductive resin
    • H01L2224/0362Photolithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1131Manufacturing methods by local deposition of the material of the bump connector in liquid form
    • H01L2224/1132Screen printing, i.e. using a stencil
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/113Manufacturing methods by local deposition of the material of the bump connector
    • H01L2224/1133Manufacturing methods by local deposition of the material of the bump connector in solid form
    • H01L2224/11334Manufacturing methods by local deposition of the material of the bump connector in solid form using preformed bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/1145Physical vapour deposition [PVD], e.g. evaporation, or sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/11444Manufacturing methods by blanket deposition of the material of the bump connector in gaseous form
    • H01L2224/11452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/114Manufacturing methods by blanket deposition of the material of the bump connector
    • H01L2224/1146Plating
    • H01L2224/11462Electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/118Post-treatment of the bump connector
    • H01L2224/1183Reworking, e.g. shaping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13021Disposition the bump connector being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13609Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13601Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13611Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/1354Coating
    • H01L2224/13599Material
    • H01L2224/136Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13664Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • H01L2224/81815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83102Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus using surface energy, e.g. capillary forces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/831Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus
    • H01L2224/83104Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector the layer connector being supplied to the parts to be connected in the bonding apparatus by applying pressure, e.g. by injection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren, umfassend:Erhalten eines Wafers (200), umfassend:einen ersten integrierten Schaltungs-Die (100),einen zweiten integrierten Schaltungs-Die (100), undein Ritzrahmengebiet (202) zwischen dem ersten integrierten Schaltungs-Die (100) und dem zweiten integrierten Schaltungs-Die (100), undAusbilden einer Kerbe (206) in dem Ritzrahmengebiet (202), wobei sich die Kerbe (206) durch mehrere dielektrische Schichten (120) in ein Halbleitersubstrat (102) erstreckt, und wobei die Kerbe (206) Folgendes aufweist:eine erste Breite (W5) an einer Grenzfläche zwischen den mehreren dielektrischen Schichten (120) und dem Halbleitersubstrat (102), undeine zweite Breite (W4) an einer dem Halbleitersubstrat (102) entgegengesetzten Fläche der mehreren dielektrischen Schichten (120), wobei ein Verhältnis der zweiten Breite (W4) zu der ersten Breite (W5) mindestens 0,6 und weniger als 1,0 beträgt.A method comprising:obtaining a wafer (200) comprising:a first integrated circuit die (100),a second integrated circuit die (100), anda scribe frame region (202) between the first integrated circuit die (100) and the second integrated circuit die (100), andforming a notch (206) in the scribe frame region (202), the notch (206) extending through a plurality of dielectric layers (120) into a semiconductor substrate (102), and the notch (206) having:a first width (W5) at an interface between the plurality of dielectric layers (120) and the semiconductor substrate (102), anda second width (W4) at a surface of the plurality of dielectric layers (120) opposite the semiconductor substrate (102), wherein a ratio of the second width (W4) to the first width (W5) is at least 0.6 and less than 1.0 amounts.

Description

STAND DER TECHNIKSTATE OF THE ART

Die Halbleiterindustrie hat aufgrund fortwährender Verbesserungen der Integrationsdichte verschiedener elektronischer Bauelemente (z.B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) ein schnelles Wachstum erfahren. Zum größten Teil resultierte die Verbesserung der Integrationsdichte aus schrittweisen Verringerungen der minimalen Merkmalgröße, wodurch ermöglicht wird, dass mehr Komponenten in einen bestimmten Bereich integriert werden. Mit dem wachsenden Bedarf nach einer Verkleinerung von elektronischen Vorrichtungen trat eine Notwendigkeit für kleinere und einfallsreichere Häusungstechniken von Halbleiter-Dies zutage. Ein Beispiel derartiger Häusungssysteme stellt die Package-on-Package-Technologie (PoP) dar. In einer PoP-Vorrichtung wird ein oberes Halbleiter-Package auf einem unteren Halbleiter-Package gestapelt, um eine hohe Integration und Komponentendichte bereitzustellen. Die PoP-Technology ermöglicht im Allgemeinen eine Herstellung von Halbleitervorrichtungen mit verbesserten Funktionalitäten und einem kleinen Flächenbedarf auf einer Leiterplatte (PCB).The semiconductor industry has experienced rapid growth due to continued improvements in the integration density of various electronic devices (e.g., transistors, diodes, resistors, capacitors, etc.). For the most part, the improvement in integration density has resulted from incremental reductions in the minimum feature size, allowing more components to be integrated into a given area. With the growing need to downsize electronic devices, a need for smaller and more inventive packaging techniques of semiconductor dies has emerged. One example of such packaging systems is package-on-package (PoP) technology. In a PoP device, an upper semiconductor package is stacked on top of a lower semiconductor package to provide high integration and component density. PoP technology generally enables semiconductor devices to be manufactured with improved functionality and a small footprint on a printed circuit board (PCB).

Aus der US 2007/0272668 A1 und der US 2005/0101108 A1 sind Verfahren bekannt, bei denen Halbleiter-Dies dadurch vereinzelt werden, dass zunächst eine Kerbe mit einem Laserstrahl ausgebildet wird und daraufhin ein Sägeprozess in der Kerbe stattfindet.From the US 2007/0272668 A1 and the US 2005/0101108 A1 Methods are known in which semiconductor dies are separated by first forming a notch with a laser beam and then a sawing process takes place in the notch.

ZUSAMMENFASSUNG DER ERFINDUNGSUMMARY OF THE INVENTION

Die vorliegende Erfindung betrifft ein Verfahren gemäß Anspruch 1, das das Ausbilden einer Kerbe in einem Ritzrahmengebiet einer Wafers umfasst, ein Verfahren gemäß Anspruch 9, bei dem eine Kerbe in einem Ritzrahmengebiet unter Verwendung mehrerer Laserstrahlen ausgebildet wird und ein Sägeblatt verwendet wird, um einen durch die Kerbe freigelegten Abschnitt durchzusägen, und eine entsprechende Vorrichtung gemäß Anspruch 13. Bevorzugte Ausführungsformen werden in den abhängigen Ansprüchen angegeben.The present invention relates to a method according to claim 1, which comprises forming a notch in a scribe frame region of a wafer, a method according to claim 9, in which a notch is formed in a scribe frame region using a plurality of laser beams and a saw blade is used to saw through a portion exposed by the notch, and a corresponding apparatus according to claim 13. Preferred embodiments are given in the dependent claims.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Zeichnungen gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Vielmehr können die Abmessungen der verschiedenen Merkmale zur Klarheit der Erörterung beliebig vergrößert oder verkleinert sein.

  • 1A bis 1C zeigen verschiedene Ansichten eines Halbleiter-Die in einem Wafer gemäß einigen Ausführungsformen;
  • 2 bis 5 zeigen Querschnittsansichten verschiedener Zwischenschritte einer Vereinzelung eines Halbleiter-Die gemäß einigen Ausführungsformen; und
  • 6A und 6B zeigen Querschnittsansichten eines Halbleitervorrichtungs-Package gemäß einigen Ausführungsformen.
Aspects of the present disclosure are best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. Rather, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • 1A to 1C show various views of a semiconductor die in a wafer according to some embodiments;
  • 2 to 5 show cross-sectional views of various intermediate steps of a semiconductor die singulation according to some embodiments; and
  • 6A and 6B show cross-sectional views of a semiconductor device package according to some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale der Erfindung bereit. Konkrete Beispiele von Komponenten und Anordnungen sind nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich lediglich Beispiele. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor.The disclosure below provides many different embodiments, or examples, for implementing various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples. For example, forming a first feature over or on a second feature in the description below may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features such that the first and second features may not be in direct contact. Additionally, the present disclosure may repeat reference numbers and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity, and does not, in and of itself, dictate any relationship between the various embodiments and/or configurations discussed.

Außerdem können hierin Begriffe, die sich auf räumliche Relativität beziehen, wie z.B. „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, zur Erleichterung der Besprechung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal (zu anderen Elementen oder Merkmalen), wie in den Figuren dargestellt, zu beschreiben. Die Begriffe, die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der verwendeten oder betriebenen Vorrichtung zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann auf eine andere Weise ausgerichtet sein (um 90 Grad gedreht oder anders ausgerichtet) und die hier verwendeten Bezeichnungen, die räumliche Relativität betreffen, können gleichermaßen dementsprechend ausgelegt werden.In addition, terms relating to spatial relativity, such as "below," "under," "lower," "above," "upper," and the like, may be used herein for ease of discussion to describe the relationship of one element or feature to another element or feature(s) as illustrated in the figures. The terms relating to spatial relativity are intended to encompass various orientations of the device used or operated in addition to the orientation illustrated in the figures. The device may be oriented in a different manner (rotated 90 degrees or otherwise oriented) and the terms relating to spatial relativity used herein may be equally interpreted accordingly.

Verschiedene Ausführungsformen werden in einem bestimmten Kontext, nämlich eines Halbleiter-Die in einem Chip-on-Wafer-on-Substrat-Package (CoWoS-Package), beschrieben. Verschiedene Ausführungsformen können jedoch auf eine Halbleiter-Die-Vereinzelung in anderen Package-Ausgestaltungen angewendet werden.Various embodiments are described in a particular context, namely a semiconductor die in a chip-on-wafer-on-substrate (CoWoS) package. However, various embodiments may be applied to semiconductor die singulation in other package configurations.

1A zeigt eine Querschnittsansicht eines Die 100 gemäß einigen Ausführungsformen. Der Die 100 kann ein Halbleiter-Die sein und könnte eine beliebige Art von integrierter Schaltung, wie z.B. ein Prozessor, eine Logikschaltung, ein Speicher, eine analoge Schaltung, eine digitale Schaltung, ein Mischsignal und dergleichen, sein. Obwohl in der gesamten Beschreibung auf einen Die verwiesen wird, können Abschnitte oder die gesamte Verarbeitung des Die 100 stattfinden, während der Die 100 einen Teil eines größeren Wafers 200 (siehe 1B) bildet. Zum Beispiel umfasst der Wafer 200 mehrere Dies 100 (von denen z.B. jeder Merkmale aufweist, wie unter Bezugnahme auf 1A beschrieben), und ein Vereinzelungsprozess kann angewendet werden, um die Dies 100 entlang eines Ritzrahmengebiets 202 zwischen benachbarten Dies 100 zu trennen, wie nachstehend ausführlicher beschrieben. 1A shows a cross-sectional view of a die 100 according to some embodiments. The die 100 may be a semiconductor die and could be any type of integrated circuit, such as a processor, a logic circuit, a memory, an analog circuit, a digital circuit, a mixed signal, and the like. Although reference is made to a die throughout the description, portions or all of the processing of the die 100 may occur while the die 100 is a portion of a larger wafer 200 (see 1B) For example, the wafer 200 comprises a plurality of dies 100 (e.g., each of which has features as described with reference to 1A described), and a singulation process may be applied to separate the dies 100 along a scribe frame region 202 between adjacent dies 100, as described in more detail below.

Der Die 100 kann ein Substrat 102, aktive Vorrichtungen 104 und eine Verbindungsstruktur 106 über dem Substrat umfassen. Das Substrat 102 kann zum Beispiel dotiertes oder undotiertes Bulk-Silizium oder eine aktive Schicht aus einem SOI-Substrat (Silizium auf einem Isolator) umfassen. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, wie z.B. Silizium, die auf einer Isolationsschicht ausgebildet ist. Die Isolationsschicht kann zum Beispiel eine vergrabene Oxid-Schicht (BOX-Schicht) oder eine Siliziumoxidschicht sein. Die Isolationsschicht wird auf einem Substrat, wie z.B. Silizium- oder Glassubstrat, bereitgestellt. Alternativ kann das Substrat 102 andere Elementhalbleiter, wie z.B. Germanium, einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid umfasst, einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP umfasst, oder Kombinationen davon umfassen. Andere Substrate, wie z.B. mehrschichtige oder Gradientensubstrate, können ebenfalls verwendet werden.The die 100 may include a substrate 102, active devices 104, and an interconnect structure 106 over the substrate. The substrate 102 may include, for example, doped or undoped bulk silicon or an active layer of a silicon on insulator (SOI) substrate. In general, an SOI substrate includes a layer of a semiconductor material, such as silicon, formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer or a silicon oxide layer. The insulating layer is provided on a substrate, such as a silicon or glass substrate. Alternatively, the substrate 102 may comprise other elemental semiconductors such as germanium, a compound semiconductor comprising silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide, an alloy semiconductor comprising SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP, or combinations thereof. Other substrates such as multilayer or gradient substrates may also be used.

Aktive Vorrichtungen 104, wie z.B. Transistoren, Kondensatoren, Widerstände, Dioden, Fotodioden, Fuses und dergleichen, können an der oberen Fläche des Substrats 102 ausgebildet werden. Die Verbindungsstruktur 106 kann über den aktiven Vorrichtungen 104 und dem Substrat 102 ausgebildet werden. Die Verbindungsstruktur 106 kann dielektrische Zwischenschichten (ILD) und/oder dielektrische Zwischenmetallschichten (IMD) umfassen, die leitfähige Merkmale 108 (z.B. leitfähige Leitungen und Durchkontaktierungen) enthalten, welche unter Verwendung eines geeigneten Verfahrens ausgebildet werden. Die ILD- und IMD-Schichten können Low-k-Dielektrikumsmaterialien, die zum Beispiel k-Werte, die niedriger als ungefähr 4,0 sind, aufweisen, und Extra-Low-k-Dielektrikumsmaterialien (ELK-Materialien), die k-Werte, die zum Beispiel niedriger als ungefähr 2,0 sind, aufweisen, umfassen, die zwischen derartigen leitfähigen Merkmalen angeordnet sind. In einigen Ausführungsformen können die ILD- und IMD-Schichten zum Beispiel aus Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), Fluorsilikatglas (FSG), SiOxCy, Spin-On-Glas, Spin-On-Polymeren, Silizium-Kohlenstoff-Material, Verbindungen davon, Zusammensetzungen davon, Kombinationen davon oder dergleichen, die mithilfe eines beliebigen geeigneten Verfahrens, wie z.B. einer Rotationsbeschichtung, einer chemischen Gasphasenabscheidung (CVD) und einer Plasma-gestützten CVD (PECVD) ausgebildet werden, gefertigt werden.Active devices 104, such as transistors, capacitors, resistors, diodes, photodiodes, fuses, and the like, may be formed on the top surface of the substrate 102. The interconnect structure 106 may be formed over the active devices 104 and the substrate 102. The interconnect structure 106 may include interlayer dielectric (ILD) and/or intermetal dielectric (IMD) layers containing conductive features 108 (e.g., conductive lines and vias) formed using a suitable process. The ILD and IMD layers may include low-k dielectric materials, for example, having k values lower than about 4.0, and extra-low-k dielectric (ELK) materials, for example, having k values lower than about 2.0, disposed between such conductive features. In some embodiments, the ILD and IMD layers may be made of, for example, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorosilicate glass (FSG), SiOxCy, spin-on glass, spin-on polymers, silicon carbon material, compounds thereof, compositions thereof, combinations thereof, or the like, formed using any suitable process such as spin coating, chemical vapor deposition (CVD), and plasma enhanced CVD (PECVD).

Die leitfähigen Merkmale 108 können mithilfe eines Damascene-Prozesses, wie z.B. eines Einzeln-Damascene- oder eines Dual-Damascene-Prozesses, ausgebildet werden. Die leitfähigen Merkmale 108 werden aus einem leitfähigen Material (das z.B. Kupfer, Aluminium, Wolfram, Kombinationen davon und dergleichen umfasst) ausgebildet, und die leitfähigen Merkmale 108 können mit einer Diffusionsbarriereschicht und/oder einer Haftschicht (nicht dargestellt) ausgekleidet werden. Die Diffusionsbarriereschicht kann aus einer oder mehreren Schichten aus TaN, Ta, TiN, Ti, CoW oder dergleichen ausgebildet werden. Die leitfähigen Merkmale 108 in der Verbindungsstruktur 106 verbinden elektrisch verschiedene aktive Vorrichtungen 104, um Funktionsschaltungen innerhalb des Die 100 auszubilden. Die durch derartige Schaltungen bereitgestellten Funktionen können Speicherstrukturen, Verarbeitungsstrukturen, Sensoren, Verstärker, Leistungsverteilung, Eingabe-/Ausgabeschaltungen oder dergleichen umfassen. Ein Fachmann wird einsehen, dass die vorstehenden Beispiele zu Veranschaulichungszwecken bereitgestellt sind, um Anwendungen der verschiedenen Ausführungsformen weiter zu erläutern, und in keiner Weise als Beschränkung der verschiedenen Ausführungsformen gedacht sind. Andere Schaltungen können verwendet werden, wie es für eine gegebene Anwendung geeignet ist.The conductive features 108 may be formed using a damascene process, such as a single damascene or a dual damascene process. The conductive features 108 are formed from a conductive material (e.g., including copper, aluminum, tungsten, combinations thereof, and the like), and the conductive features 108 may be lined with a diffusion barrier layer and/or an adhesion layer (not shown). The diffusion barrier layer may be formed from one or more layers of TaN, Ta, TiN, Ti, CoW, or the like. The conductive features 108 in the interconnect structure 106 electrically connect various active devices 104 to form functional circuits within the die 100. The functions provided by such circuits may include memory structures, processing structures, sensors, amplifiers, power distribution, input/output circuits, or the like. One skilled in the art will appreciate that the foregoing examples are provided for illustrative purposes to further explain applications of the various embodiments, and are in no way intended to limit the various embodiments. Other circuits may be used as appropriate for a given application.

Es ist ebenfalls zu beachten, dass eine oder mehrere Ätzstoppschichten (nicht dargestellt) zwischen benachbarten der ILD- und IMD-Schichten angeordnet werden können. Im Allgemeinen stellen die Ätzstoppschichten einen Mechanismus bereit, um einen Ätzprozess beim Ausbilden von Durchkontaktierungen und/oder Kontakten anzuhalten. Die Ätzstoppschichten werden aus einem dielektrischen Material ausgebildet, das im Verhältnis zu den benachbarten Schichten, z.B. dem darunterliegenden Substrat 102 und der darüberliegenden Verbindungsstruktur 106, eine andere Ätzselektivität aufweist. In einer Ausführungsform können die Ätzstoppschichten aus SiN, SiCN, SiCO, CN, Kombinationen davon oder dergleichen, die mithilfe einer CVD- oder einer PECVD-Technik abgeschieden werden, ausgebildet werden.It should also be noted that one or more etch stop layers (not shown) may be disposed between adjacent ones of the ILD and IMD layers. In general, the etch stop layers provide a mechanism to inhibit an etch process in forming vias. clockings and/or contacts. The etch stop layers are formed from a dielectric material having a different etch selectivity relative to the adjacent layers, eg the underlying substrate 102 and the overlying interconnect structure 106. In one embodiment, the etch stop layers may be formed from SiN, SiCN, SiCO, CN, combinations thereof, or the like deposited using a CVD or a PECVD technique.

Wie ferner durch 1A dargestellt, umfasst die Verbindungsstruktur 106 außerdem einen oder mehrere Dichtringe 110, die sich auch durch die ILD- und IMD-Schichten benachbart zu den leitfähigen Merkmalen 108 erstrecken können. Die Dichtringe 110 können einen Schutz für die Merkmale des Die 100 (z.B. die leitfähigen Merkmale 108) vor Wasser, Chemikalien, Rückständen und/oder Kontaminanten bereitstellen, die während der Verarbeitung des Die 100 vorhanden sein können. Jeder Dichtring 110 kann entlang eines Umfangs des Die 100 ausgebildet werden und kann eine kontinuierliche Struktur sein, die derart ausgebildet wird, dass sie ein Funktionsschaltungsgebiet 119 des Die 100 (z.B. das Gebiet des Die 100, das die darin ausgebildeten aktiven Vorrichtungen 104 und die leitfähigen Merkmale 108 aufweist) umgibt, wie in der in 1C bereitgestellten Draufsicht auf den Die 100 veranschaulicht. In 1C ist ein einzelner Dichtring 110 dargestellt, obwohl mehrere Dichtringe aufgenommen werden können (siehe z.B. 1A). Außerdem weist der Dichtring 110 in 1C eine im Wesentlichen rechteckige Form auf, obwohl der Dichtring 110 in anderen Ausführungsformen eine andere Form in einer oberen Draufsicht aufweisen kann. Wie durch 1B dargestellt, sind die Dies 100 in dem Wafer 200 durch ein Ritzrahmengebiet 202 (das z.B. zwischen den Dichtringen 110 benachbarter Dies 100 angeordnet ist) getrennt.As further demonstrated by 1A , the interconnect structure 106 further includes one or more sealing rings 110 that may also extend through the ILD and IMD layers adjacent to the conductive features 108. The sealing rings 110 may provide protection for the features of the die 100 (e.g., the conductive features 108) from water, chemicals, residues, and/or contaminants that may be present during processing of the die 100. Each sealing ring 110 may be formed along a perimeter of the die 100 and may be a continuous structure formed to surround a functional circuit region 119 of the die 100 (e.g., the region of the die 100 having the active devices 104 and the conductive features 108 formed therein), as shown in the embodiment shown in FIG. 1C provided top view of the Die 100. In 1C a single sealing ring 110 is shown, although several sealing rings can be accommodated (see e.g. 1A) . In addition, the sealing ring 110 in 1C has a substantially rectangular shape, although in other embodiments the sealing ring 110 may have a different shape in a top plan view. As shown by 1B As shown, the dies 100 in the wafer 200 are separated by a scribe frame region 202 (which is arranged, for example, between the sealing rings 110 of adjacent dies 100).

Unter Bezugnahme auf 1A können die Dichtringe 110 aus einem leitfähigen Material ausgebildet werden. In einer Ausführungsform werden die Dichtringe 110 durch ein gleiches Material, zur gleichen Zeit und mithilfe eines gleichen Prozesses (Prozesse) ausgebildet wie die leitfähigen Merkmale 108. Zum Beispiel können die Dichtringe 110 leitfähige Leitungsabschnitte in verschiedenen ILD- und IMD-Schichten umfassen, wobei leitfähige Durchkontaktierungsabschnitte die leitfähigen Leitungsabschnitte zwischen den ILD- und IMD-Schichten verbinden.With reference to 1A the sealing rings 110 may be formed from a conductive material. In one embodiment, the sealing rings 110 are formed by a same material, at the same time, and using a same process(es) as the conductive features 108. For example, the sealing rings 110 may include conductive line portions in different ILD and IMD layers, with conductive via portions connecting the conductive line portions between the ILD and IMD layers.

In verschiedenen Ausführungsformen können die Dichtringe 110 von den aktiven Vorrichtungen 104 elektrisch isoliert sein und die Dichtringe 110 bilden möglicherweise keine Funktionsschaltungen mit den aktiven Vorrichtungen 104. Die Dichtringe 110 können von einem Funktionsschaltungsgebiet 119 des Die 100 um einen Mindestabstand beabstandet sein. Indem ein Mindestabstand zwischen den Dichtringen 110 und Funktionsschaltungen aufgenommen wird, kann das Risiko von Schäden an den leitfähigen Merkmalen 108 während der Ausbildung des Dichtrings 110 reduziert werden. Obwohl 1A den Dichtring 110 derart zeigt, dass er an einer oberen Fläche des Substrats 102 anhält, kann sich außerdem in anderen Ausführungsformen der Dichtring 110 in das Substrat 102 erstrecken. In einigen Ausführungsformen kann eine Unterseite des Dichtrings 110 im Wesentlichen auf gleicher Höhe oder niedriger liegen als Unterseiten der aktiven Vorrichtungsgebiete (z.B. Source-/Draingebiete 104') im Substrat 102.In various embodiments, the sealing rings 110 may be electrically isolated from the active devices 104 and the sealing rings 110 may not form functional circuits with the active devices 104. The sealing rings 110 may be spaced from a functional circuit region 119 of the die 100 by a minimum distance. By including a minimum distance between the sealing rings 110 and functional circuits, the risk of damage to the conductive features 108 during formation of the sealing ring 110 may be reduced. Although 1A Additionally, in other embodiments, the sealing ring 110 may extend into the substrate 102. In some embodiments, a bottom surface of the sealing ring 110 may be substantially level with or lower than bottom surfaces of the active device regions (e.g., source/drain regions 104') in the substrate 102.

Der Die 100 umfasst außerdem Pads 114, wie z.B. Aluminium-Pads, an denen externe Verbindungen vorgenommen werden. Die Pads 114 können eine elektrische Verbindung mit den aktiven Vorrichtungen 104 über die leitfähigen Merkmale 108 bereitstellen. Die Pads 114 befinden sich auf Seiten des Die 100, die als jeweilige aktive Seiten bezeichnet werden können. Passivierungsfilme 112 werden über der Verbindungsstruktur 106 und auf Abschnitten der Pads 114 angeordnet. Die Passivierungsfilme 112 können eine Einfachpassivierungsschicht- oder eine Mehrfachschichtstruktur umfassen. In einigen Ausführungsformen können die Passivierungsfilme 112 ein ähnliches Material umfassen wie die darunterliegenden ILD- und IMD-Schichten (z.B. ein Low-k-Dielektrikum). In anderen Ausführungsformen können die Passivierungsfilme 112 aus nicht organischen Materialien, wie z.B. Siliziumoxid, nicht dotiertem Silikatglas, Siliziumoxinitrid und dergleichen, ausgebildet werden. Andere geeignete Passivierungsmaterialien können ebenfalls verwendet werden.The die 100 also includes pads 114, such as aluminum pads, to which external connections are made. The pads 114 may provide electrical connection to the active devices 104 via the conductive features 108. The pads 114 are located on sides of the die 100 that may be referred to as respective active sides. Passivation films 112 are disposed over the interconnect structure 106 and on portions of the pads 114. The passivation films 112 may comprise a single passivation layer or a multi-layer structure. In some embodiments, the passivation films 112 may comprise a similar material as the underlying ILD and IMD layers (e.g., a low-k dielectric). In other embodiments, the passivation films 112 may be formed from non-organic materials, such as silicon oxide, undoped silicate glass, silicon oxynitride, and the like. Other suitable passivation materials may also be used.

Durch die Passivierungsfilme 112 können Öffnungen strukturiert werden, um jeweilige Mittelabschnitte der Pads 114 freizulegen. Die Pads 116 werden in den Öffnungen durch die Passivierungsfilme 112 ausgebildet und können als Under-Bump-Mattallurgies (UBMs) 116 bezeichnet werden. In der dargestellten Ausführungsform werden die Pads 116 durch Öffnungen in den Passivierungsfilmen 112 zu den Pads 114 ausgebildet. Um die Pads 116 auszubilden, wird zum Beispiel eine Keimschicht (nicht dargestellt) über den Passivierungsfilmen 112 ausgebildet. In einigen Ausführungsformen ist die Keimschicht eine Metallschicht, die eine einfache Schicht oder eine Verbundschicht sein kann, die mehrere, aus verschiedenen Materialien ausgebildete Teilschichten umfasst. In einigen Ausführungsformen umfasst die Keimschicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Keimschicht kann zum Beispiel unter Verwendung einer PVD oder dergleichen ausgebildet werden. Ein Fotolack wird dann auf der Keimschicht ausgebildet und strukturiert. Der Fotolack kann mithilfe einer Rotationsbeschichtung oder dergleichen ausgebildet werden und kann zum Strukturieren mit Licht belichtet werden. Die Struktur des Fotolacks entspricht den Pads 116 und fakultativ dem Abschnitt der Dichtringe 110 über den Passivierungsfilmen 112. Das Strukturieren bildet Öffnungen durch den Fotolack, um die Keimschicht freizulegen. Ein leitfähiges Material wird in den Öffnungen des Fotolacks und auf den freigelegten Abschnitten der Keimschicht ausgebildet. Das leitfähige Material kann mithilfe eines Plattierens, wie z.B. eines Elektroplattierens oder eines stromlosen Plattierens, oder dergleichen ausgebildet werden. Das leitfähige Material kann ein Metall, wie z.B. Kupfer, Titan, Wolfram, Aluminium oder dergleichen, umfassen. Dann werden der Fotolack und die Abschnitte der Keimschicht, auf denen das leitfähige Material nicht ausgebildet wurde, entfernt. Der Fotolack kann mithilfe eines geeigneten Veraschungs- oder Abtragungsprozesses, wie z.B. unter Verwendung eines Sauerstoffplasmas oder dergleichen, entfernt werden. Nachdem der Fotolack entfernt wurde, werden freigelegte Abschnitte der Keimschicht entfernt, wie z.B. unter Verwendung eines geeigneten Ätzprozesses, wie eines Nass- oder Trockenätzens. Die verbleibenden Abschnitte der Keimschicht und das leitfähige Material bilden die Pads 116. Die verbleibenden Abschnitte der Keimschicht können fakultativ außerdem Abschnitte der Dichtringe 110 über den Passivierungsfilmen 112 bereitstellen. In der Ausführungsform, in der die Pads 116 anders ausgebildet werden, können mehr Fotolack- und Strukturierungsschritte verwendet werden.Openings may be patterned through the passivation films 112 to expose respective center portions of the pads 114. The pads 116 are formed in the openings through the passivation films 112 and may be referred to as under-bump mat alloys (UBMs) 116. In the illustrated embodiment, the pads 116 are formed through openings in the passivation films 112 to the pads 114. To form the pads 116, for example, a seed layer (not shown) is formed over the passivation films 112. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer comprising multiple sublayers formed from different materials. In some embodiments, the seed layer comprises a titanium layer and a copper layer over the titanium layer. The seed layer may be formed, for example, using PVD or the like. A photoresist is then formed and patterned on the seed layer. The Photoresist may be formed using spin coating or the like and may be exposed to light for patterning. The pattern of the photoresist corresponds to the pads 116 and optionally the portion of the seal rings 110 above the passivation films 112. The patterning forms openings through the photoresist to expose the seed layer. A conductive material is formed in the openings of the photoresist and on the exposed portions of the seed layer. The conductive material may be formed using plating, such as electroplating or electroless plating, or the like. The conductive material may comprise a metal, such as copper, titanium, tungsten, aluminum, or the like. Then, the photoresist and the portions of the seed layer on which the conductive material was not formed are removed. The photoresist may be removed using a suitable ashing or ablation process, such as using an oxygen plasma or the like. After the photoresist is removed, exposed portions of the seed layer are removed, such as using a suitable etching process such as a wet or dry etch. The remaining portions of the seed layer and the conductive material form the pads 116. The remaining portions of the seed layer may optionally also provide portions of the sealing rings 110 over the passivation films 112. In the embodiment where the pads 116 are formed differently, more photoresist and patterning steps may be used.

Die leitfähigen Verbinder 118 werden auf den UBMs 116 ausgebildet. Die leitfähigen Verbinder 118 können BGA-Verbinder, Lotkugeln, Metallsäulen, C4-Bumps (Controlled Collapse Chip Connection), Mikrobumps, mithilfe einer ENEPIG-Technik (electroless nickel-electroless palladium-immersion gold) ausgebildete Bumps (Hügel) oder dergleichen sein. Die leitfähigen Verbinder 118 können ein leitfähiges Material, wie z.B. Lötzinn, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, dergleichen oder eine Kombination davon, umfassen. In einigen Ausführungsformen werden die leitfähigen Verbinder 118 ausgebildet, indem anfangs eine Schicht aus Lötzinn mithilfe derartiger Verfahren, wie z.B. Verdampfen, Elektroplattieren, Drucken, Lötzinnübertragen, Kugelanordnen oder dergleichen, ausgebildet wird. Nachdem eine Schicht aus Lötzinn auf der Struktur ausgebildet wurde, kann ein Wiederaufschmelzen (Reflow) durchgeführt werden, um das Material zu den gewünschten Hügelformen zu formen. In einer anderen Ausführungsform sind die leitfähigen Verbinder 118 Metallsäulen (wie z.B. Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, stromloses Plattieren, CVD oder dergleichen ausgebildet werden. Die Metallsäulen können frei von Lötzinn sein und im Wesentlichen vertikale Seitenwände aufweisen. In einigen Ausführungsformen wird eine Metallabdeckschicht (nicht dargestellt) auf der Oberseite von Metallsäulen-Verbindern 118 ausgebildet. Die Metallabdeckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, dergleichen oder eine Kombination davon umfassen und kann mithilfe eines Plattierungsprozesses ausgebildet werden.The conductive interconnects 118 are formed on the UBMs 116. The conductive interconnects 118 may be BGA interconnects, solder balls, metal pillars, controlled collapse chip connection (C4) bumps, microbumps, bumps formed using an electroless nickel-electroless palladium-immersion gold (ENEPIG) technique, or the like. The conductive interconnects 118 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or a combination thereof. In some embodiments, the conductive interconnects 118 are formed by initially forming a layer of solder using such techniques as evaporation, electroplating, printing, solder transfer, ball arranging, or the like. After a layer of solder is formed on the structure, reflow may be performed to form the material into the desired bump shapes. In another embodiment, conductive interconnects 118 are metal pillars (such as copper pillars) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars may be free of solder and have substantially vertical sidewalls. In some embodiments, a metal capping layer (not shown) is formed on top of metal pillar interconnects 118. The metal capping layer may include nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, the like, or a combination thereof, and may be formed using a plating process.

2 zeigt ein Ritzrahmengebiet 202 des Wafers 200. Das Ritzrahmengebiet 202 ist zwischen den Dichtringen 110 benachbarter Dies 100 angeordnet. Jeder der Dies 100 kann ähnliche Merkmale aufweisen wie in 1A, 1B und 1C beschrieben, wobei gleiche Bezugszeichen auf gleiche Elemente, die unter Verwendung gleicher Prozesse ausgebildet werden, verweisen. Zum Beispiel umfasst jeder Die 100 ein Halbleitersubstrat 102, dielektrische Schichten 120 (die z.B. Low-k-Dielektrikumsschichten der Verbindungsstruktur 106 und Passivierungsfilme 112 umfassen, siehe 1A) und Dichtringe 110. In einigen Ausführungsformen kann eine Dicke T1 der dielektrischen Schichten 120 ungefähr 10 µm betragen. In anderen Ausführungsformen können die dielektrischen Schichten 120 eine andere Abmessung aufweisen. Obwohl ein einzelnes Ritzrahmengebiet 202 dargestellt ist, kann jeder Die 100 auf allen Seiten (z.B. vier Seiten) durch Ritzrahmengebiete 202 in einer oberen Draufsicht auf den Wafer 200 umgeben sein (nicht dargestellt). Ein nachstehend beschriebener Vereinzelungsprozess der Ausführungsform kann auf alle Seiten jedes Die 100 angewendet werden, um die Dies 100 von anderen Merkmalen im Wafer 200 vollständig zu trennen. 2 shows a scribe frame region 202 of the wafer 200. The scribe frame region 202 is arranged between the sealing rings 110 of adjacent dies 100. Each of the dies 100 may have similar features as in 1A , 1B and 1C , wherein like reference numerals refer to like elements formed using like processes. For example, each die 100 includes a semiconductor substrate 102, dielectric layers 120 (e.g., including low-k dielectric layers of the interconnect structure 106, and passivation films 112, see 1A) and sealing rings 110. In some embodiments, a thickness T1 of the dielectric layers 120 may be approximately 10 μm. In other embodiments, the dielectric layers 120 may have a different dimension. Although a single scribe frame region 202 is shown, each die 100 may be surrounded on all sides (eg, four sides) by scribe frame regions 202 in a top plan view of the wafer 200 (not shown). A singulation process of the embodiment described below may be applied to all sides of each die 100 to completely separate the dies 100 from other features in the wafer 200.

3 bis 5 offenbaren einen Vereinzelungsprozess gemäß einigen Ausführungsformen, der zum Trennen der Dies 100 von anderen Merkmalen im Wafer 200 (z.B. anderen Dies 100) verwendet wird. Der Vereinzelungsprozess kann einen Laserablationsprozess umfassen, der zum Ausbilden einer Kerbe durch die dielektrischen Schichten 120, die sich teilweise in das Halbleitersubstrat 102 erstreckt, verwendet wird. Der Laserablationsprozess kann mehrere Durchgänge mit einem Laserstrahl umfassen, um eine Kerbe mit einer geeigneten Ausgestaltung bereitzustellen. Nachdem die Kerbe ausgebildet wurde, kann ein mechanischer Sägeprozess über die Kerbe angewendet werden, um die Dies 100 vollständig zu vereinzeln. 3 to 5 disclose a singulation process used to separate the dies 100 from other features in the wafer 200 (e.g., other dies 100), according to some embodiments. The singulation process may include a laser ablation process used to form a notch through the dielectric layers 120 that partially extends into the semiconductor substrate 102. The laser ablation process may include multiple passes with a laser beam to provide a notch with an appropriate configuration. After the notch is formed, a mechanical sawing process may be applied across the notch to completely singulate the dies 100.

Unter Bezugnahme auf 3 wird ein Laserablationsprozess auf den Wafer 200 in dem Ritzrahmengebiet 202 angewendet. Der Laserablationsprozess kann ein Anwenden mehrerer Laserstrahlen 204 (mit 204A bis 204I gekennzeichnet) auf die dielektrischen Schichten 120 und das Substrat 102 umfassen. Eine Position, eine Leistung, eine Anzahl von und/oder Art jedes Laserstrahls werden gesteuert, um ein gewünschtes Profil der resultierenden Kerbe zu erzielen. In einer Ausführungsform werden mindestens neun Laserstrahlen (z.B. mit 204A bis 204I gekennzeichnet) angewendet, um eine Kerbe mit einem geeigneten Profil bereitzustellen. Es wurde festgestellt, dass weniger Herstellungsdefekte als Folge eines nachfolgenden mechanischen Sägeprozesses (siehe 5) auftreten können, wenn mindestens neun Laserstrahlen auf den Wafer 200 angewendet werden. In anderen Ausführungsformen kann eine andere Anzahl von Laserstrahlen auf den Wafer 200, wie z.B. eine größere Anzahl als neun oder eine kleinere Anzahl als neun, angewendet werden.With reference to 3 a laser ablation process is applied to the wafer 200 in the scribe frame region 202. The laser ablation process may include applying a plurality of laser beams 204 (labeled 204A through 204I) to the dielectric layers 120 and the substrate 102. A position, power, number and/or type of each laser beam is controlled to achieve a desired profile of the resulting In one embodiment, at least nine laser beams (e.g., labeled 204A through 204I) are applied to provide a notch with an appropriate profile. It has been found that fewer manufacturing defects are present as a result of a subsequent mechanical sawing process (see 5 ) may occur when at least nine laser beams are applied to the wafer 200. In other embodiments, a different number of laser beams may be applied to the wafer 200, such as a greater number than nine or a lesser number than nine.

Die Laserstrahlen 204A bis 204I können auf den Wafer 200 von einem Außenumfang einer nachfolgend ausgebildeten Kerbe nach innen angewendet werden. Jeder Laserstrahl 204A bis 204I kann sich durch die dielektrische Schicht 120 und teilweise in das Substrat 102 erstrecken. Die Laserstrahlen 204A bis 204I gehen möglicherweise nicht vollständig durch das Substrat 102 hindurch, und die Laserstrahlen 204A bis 204I können an einem Zwischenpunkt zwischen einer oberen und einer unteren Fläche des Substrats 102 anhalten. In einer Ausführungsform, die einen von außen nach innen gerichteten Kerbausbildungsprozess verwendet, wird der Laserstrahl 204A vor dem Laserstahl 204B angewendet, der Laserstrahl 204B wird vor dem Laserstrahl 204C angewendet; der Laserstrahl 204D wird vor dem Laserstrahl 204E angewendet, der Laserstrahl 204E wird vor dem Laserstrahl 204F angewendet, der Laserstrahl 204F wird vor dem Laserstrahl 204G angewendet, der Laserstrahl 204G wird vor dem Laserstrahl 204H angewendet, und der Laserstrahl 204H wird vor dem Laserstrahl 204I angewendet. In anderen Ausführungsformen können Laserstrahlen auf den Wafer 200 in einer anderen Reihenfolge angewendet werden. Zum Beispiel können in einer anderen Ausführungsform die Laserstrahlen 204A bis 204I auf den Wafer 200 von einer Mitte einer nachfolgend ausgebildeten Kerbe nach außen angewendet werden. In einer Ausführungsform, die einen von innen nach außen gerichteten Kerbausbildungsprozess verwendet, wird der Laserstrahl 204I vor dem Laserstrahl 204G oder 204H angewendet, die Laserstrahlen 204G und 204H werden vor den Laserstrahlen 204E oder 204F angewendet, die Laserstrahlen 204E und 204F werden vor den Laserstrahlen 204C oder 204D angewendet, und die Laserstrahlen 204C oder 204D werden vor den Laserstrahlen 204A oder 204B angewendet. Außerdem kann jeder Laserstrahl 204A bis 204I bei einer Leistung von ungefähr 0,1 Watt (W) bis ungefähr 6 W angewendet werden.Laser beams 204A-204I may be applied to wafer 200 inward from an outer periphery of a subsequently formed notch. Each laser beam 204A-204I may extend through dielectric layer 120 and partially into substrate 102. Laser beams 204A-204I may not pass completely through substrate 102, and laser beams 204A-204I may stop at an intermediate point between a top and bottom surface of substrate 102. In an embodiment using an outside-in notch formation process, laser beam 204A is applied before laser beam 204B, laser beam 204B is applied before laser beam 204C; laser beam 204D is applied before laser beam 204E, laser beam 204E is applied before laser beam 204F, laser beam 204F is applied before laser beam 204G, laser beam 204G is applied before laser beam 204H, and laser beam 204H is applied before laser beam 204I. In other embodiments, laser beams may be applied to wafer 200 in a different order. For example, in another embodiment, laser beams 204A through 204I may be applied to wafer 200 outward from a center of a subsequently formed notch. In an embodiment using an inside-out notch forming process, laser beam 204I is applied before laser beam 204G or 204H, laser beams 204G and 204H are applied before laser beams 204E or 204F, laser beams 204E and 204F are applied before laser beams 204C or 204D, and laser beams 204C or 204D are applied before laser beams 204A or 204B. Additionally, each laser beam 204A through 204I may be applied at a power of about 0.1 watts (W) to about 6 W.

4 zeigt die resultierende Kerbe 206, die unter Verwendung des mit Bezugnahme auf 3 beschriebenen Laserablationsprozesses ausgebildet wird. Die Kerbe 206 erstreckt sich durch die dielektrischen Schichten 120 und teilweise in das Substrat 102. In verschiedenen Ausführungsformen erstreckt sich die Kerbe 206 nicht vollständig durch das Substrat 102, und eine untere Fläche der Kerbe 206 legt ein Material des Substrats 102 frei. In einigen Ausführungsformen erstreckt sich die Kerbe 206 bis zu einer Tiefe T2 von ungefähr 13 µm oder mehr in den Wafer 200. In anderen Ausführungsformen kann sich die Kerbe 206 bis zu einer anderen Tiefe in den Wafer 200 erstrecken. 4 shows the resulting notch 206 formed using the method described with reference to 3 described laser ablation process. The notch 206 extends through the dielectric layers 120 and partially into the substrate 102. In various embodiments, the notch 206 does not extend completely through the substrate 102, and a bottom surface of the notch 206 exposes a material of the substrate 102. In some embodiments, the notch 206 extends into the wafer 200 to a depth T2 of about 13 μm or more. In other embodiments, the notch 206 may extend to a different depth into the wafer 200.

Außerdem können als Folge des Laserablationsprozesses Umformungsgebiete 208 auf Seitenwänden des dielektrischen Materials 120 und des Substrats 102 ausgebildet werden. Die Umformungsgebiete 208 können als Folge einer Neuabscheidung von Material (z.B. des Materials des dielektrischen Materials 120 und/oder des Substrats 102) ausgebildet werden, das mit dem Laserstrahl 204 (siehe 3) während der Ausbildung der Kerbe 206 bestrahlt wird, und Seitenwände der Kerbe 206 können durch die Umformungsgebiete 208 definiert werden. Obwohl die Umformungsgebiete 208 derart dargestellt sind, dass sie symmetrisch sind (sie weisen z.B. eine gleiche Form auf gegenüberliegenden Seitenwänden der Kerbe 206 auf), können in einigen Ausführungsformen die Umformungsgebiete 208 verschiedene Profile auf jeder Seitenwand der Kerbe 206 aufweisen. Die Umformungsgebiete 208 können eine Breite W1 bei einem breitesten Punkt von ungefähr 5 µm bis ungefähr 15 µm aufweisen. Außerdem kann ein seitlicher Abstand W2 von einem ersten Dichtring 110 zu einem ersten Umformungsgebiet 208 ungefähr 10 µm oder mehr betragen, und ein seitlicher Abstand W2 von einem zweiten Dichtring 110 zu einem zweiten Umformungsgebiet 208 kann ungefähr 10 µm oder mehr betragen. Der erste Dichtring 110/das erste Umformungsgebiet 208 kann im Verhältnis zu dem zweiten Dichtring 110/dem zweiten Umformungsgebiet 208 auf einer gegenüberliegenden Seite der Kerbe 206 angeordnet sein. Die seitlichen Abstände W2 und W3 können gleich oder verschieden sein. In anderen Ausführungsformen können Umformungsgebiete 208 eine andere Abmessung aufweisen oder in einem anderen Abstand von den Dichtringen 110 angeordnet sein.In addition, as a result of the laser ablation process, remodeling regions 208 may be formed on sidewalls of the dielectric material 120 and the substrate 102. The remodeling regions 208 may be formed as a result of a redeposition of material (e.g., the material of the dielectric material 120 and/or the substrate 102) that is irradiated with the laser beam 204 (see 3 ) is irradiated during formation of the notch 206, and sidewalls of the notch 206 may be defined by the deformation regions 208. Although the deformation regions 208 are illustrated as being symmetrical (e.g., having a same shape on opposite sidewalls of the notch 206), in some embodiments the deformation regions 208 may have different profiles on each sidewall of the notch 206. The deformation regions 208 may have a width W1 at a widest point of about 5 µm to about 15 µm. Additionally, a lateral distance W2 from a first sealing ring 110 to a first deformation region 208 may be about 10 µm or more, and a lateral distance W2 from a second sealing ring 110 to a second deformation region 208 may be about 10 µm or more. The first sealing ring 110/first deformation region 208 may be disposed on an opposite side of the notch 206 relative to the second sealing ring 110/second deformation region 208. The lateral distances W2 and W3 may be the same or different. In other embodiments, deformation regions 208 may have a different dimension or be disposed at a different distance from the sealing rings 110.

Die Kerbe 206 wird mit einem spezifischen Profil und/oder Abmessungen ausgebildet, um Herstellungsdefekte, die von der Vereinzelung herrühren, zu reduzieren. Zum Beispiel weist die Kerbe 206 eine erste Breite W4 zwischen gegenüberliegenden Umformungsgebieten 208 an einer oberen Fläche der dielektrischen Schichten 120 auf, und die Kerbe 206 weist eine zweite Breite W5 zwischen gegenüberliegenden Umformungsgebieten 208 an einer unteren Fläche der dielektrischen Schichten 120/oberen Fläche des Substrats 102 auf. In verschiedenen Ausführungsformen kann ein Verhältnis der Breite W4 zu der Breite W5 ungefähr mindestens 0,6 betragen. Außerdem kann ein Winkel θ zwischen einer unteren Fläche der Kerbe 206 und einer Seitenwand der Kerbe 206 ungefähr 90° bis ungefähr 135° betragen. Es wurde festgestellt, dass durch Verwenden eines Laserablationsprozesses zum Ausbilden der Kerbe 206 mit diesem Profil ein Abschälen/eine Rissbildung der dielektrischen Schichten 120 während nachfolgender mechanischer Sägeprozesse (siehe z.B. 5) reduziert werden kann. Durch derartiges Ausgestalten der Kerbe 206, dass sie verhältnismäßig breit an der unteren Fläche der dielektrischen Schichten 120 ist und dass sie verhältnismäßig senkrechte (oder stumpfwinkelige Seitenwände) aufweist, kann zum Beispiel eine Auswirkung auf freigelegte Flächen der dielektrischen Schichten 120 während nachfolgender mechanischer Sägeprozesse (z.B. mithilfe eines Sägeblatts) reduziert oder zumindest vermieden werden. Durch Reduzieren der Einwirkungsfläche des Sägeblatts (der Sägeblätter) in nachfolgenden mechanischen Sägeprozessen kann ein Abschälen und/oder eine Rissbildung der dielektrischen Schichten 120 während dieser Prozesse reduziert werden. Außerdem kann aufgrund der erhöhten Gesamtgröße der Kerbe 206 und des Winkels θ ein Prozessfenster für ein Anwenden eines Sägeblatts ohne wesentliches Risiko einer Auswirkung auf die dielektrische Schicht 120 vergrößert werden. Daher können Herstellungsdefekte reduziert werden und die Ausbeute kann verbessert werden. Zum Beispiel wurde bei Experimenten, die den vorstehend beschriebenen Prozess verwenden, eine Verbesserung der Ausbeute von Halbleitervorrichtungen von 25 % festgestellt.The notch 206 is formed with a specific profile and/or dimensions to reduce manufacturing defects resulting from singulation. For example, the notch 206 has a first width W4 between opposing deformation regions 208 at a top surface of the dielectric layers 120, and the notch 206 has a second width W5 between opposing deformation regions 208 at a bottom surface of the dielectric layers 120/top surface of the substrate 102. In various embodiments, a ratio the width W4 to the width W5 may be approximately at least 0.6. In addition, an angle θ between a bottom surface of the notch 206 and a sidewall of the notch 206 may be approximately 90° to approximately 135°. It has been found that by using a laser ablation process to form the notch 206 with this profile, peeling/cracking of the dielectric layers 120 during subsequent mechanical sawing processes (see e.g. 5 ). For example, by designing the notch 206 to be relatively wide at the bottom surface of the dielectric layers 120 and to have relatively vertical (or obtuse-angled sidewalls), impact on exposed areas of the dielectric layers 120 during subsequent mechanical sawing processes (e.g., using a saw blade) may be reduced or at least avoided. By reducing the impact area of the saw blade(s) in subsequent mechanical sawing processes, peeling and/or cracking of the dielectric layers 120 during these processes may be reduced. In addition, due to the increased overall size of the notch 206 and the angle θ, a process window for applying a saw blade may be increased without significant risk of impact on the dielectric layer 120. Therefore, manufacturing defects may be reduced and yield may be improved. For example, experiments using the process described above have shown a 25% improvement in semiconductor device yield.

5 zeigt einen nächsten Schritt in dem Vereinzelungsprozess. Wie dargestellt, wird ein Sägeblatt 210 in einem mechanischen Sägeschritt eingesetzt, um den Vereinzelungsprozess zu vervollständigen. Das Sägeblatt 210 wird auf die Kerbe 206 ausgerichtet, die durch den vorstehend beschriebenen Laserablationsprozess ausgebildet wurde. Das Sägeblatt 210 wird verwendet, um vollständig durch den verbleibenden unteren Abschnitt des Substrats 102 durchzusägen. In der dargestellten Ausführungsform weist das Sägeblatt eine Breite W6 auf. In einigen Ausführungsformen ist die Breite W6 kleiner als die Breite W5 (siehe 4) der Kerbe 206 an einer unteren Fläche der dielektrischen Schichten 120. In einer Ausführungsform kann die Breite W6 zum Beispiel ungefähr 10 µm bis ungefähr 100 µm betragen, obwohl andere Werte der Breite W6 je nach der Breite W5 ebenfalls verwendet werden können. In anderen Ausführungsformen kann das Sägeblatt 210 eine andere Dicke aufweisen. Noch weiter können in anderen Ausführungsformen mehrere Sägeblätter (die z.B. eine gleiche oder verschiedene Dicke aufweisen) und mehrere mechanische Sägeschritte verwendet werden, um den Vereinzelungsprozess zu vervollständigen. 5 shows a next step in the dicing process. As shown, a saw blade 210 is used in a mechanical sawing step to complete the dicing process. The saw blade 210 is aligned with the notch 206 formed by the laser ablation process described above. The saw blade 210 is used to saw completely through the remaining lower portion of the substrate 102. In the illustrated embodiment, the saw blade has a width W6. In some embodiments, the width W6 is less than the width W5 (see 4 ) of the notch 206 at a lower surface of the dielectric layers 120. In one embodiment, the width W6 may be, for example, about 10 µm to about 100 µm, although other values of the width W6 may also be used depending on the width W5. In other embodiments, the saw blade 210 may have a different thickness. Still further, in other embodiments, multiple saw blades (e.g., having the same or different thickness) and multiple mechanical sawing steps may be used to complete the dicing process.

Wie durch 5 dargestellt, bildet das Sägeblatt 210 eine Seitenwand 102A des Substrats 102. In einer Ausführungsform kann ein Seitenwand-Umformungsgebiet 208 von der Seitendwand 102A um einen seitlichen Abstand W7 an einer oberen Fläche der dielektrischen Schichten 120 beabstandet sein, und die Seitenwand des Umformungsgebiets 208 kann von der Seitenwand 102A um einen Abstand W8 an einer unteren Fläche der dielektrischen Schichten 120 beabstandet sein. In einigen Ausführungsformen beträgt der Abstand W7 ungefähr 10 µm oder mehr, während der Abstand W8 ungefähr 10 µm bis ungefähr 20 µm beträgt. Es wurde festgestellt, dass weniger Herstellungsdefekte (z.B. Abschälen/Rissbildung der dielektrischen Schichten 120) von einer Vereinzelung, die das Sägeblatt 210 verwendet, resultieren, wenn sich die Breiten W4/W5 der Kerbe 206 (siehe 4) und/oder die Abstände W7/W8 in den vorstehenden Bereichen befinden.As through 5 As shown, the saw blade 210 forms a sidewall 102A of the substrate 102. In one embodiment, a sidewall deformation region 208 may be spaced from the sidewall 102A by a lateral distance W7 at a top surface of the dielectric layers 120, and the sidewall of the deformation region 208 may be spaced from the sidewall 102A by a distance W8 at a bottom surface of the dielectric layers 120. In some embodiments, the distance W7 is about 10 μm or more, while the distance W8 is about 10 μm to about 20 μm. It has been found that fewer manufacturing defects (e.g., peeling/cracking of the dielectric layers 120) result from a singulation using the saw blade 210 when the widths W4/W5 of the notch 206 (see 4 ) and/or the distances W7/W8 are in the above ranges.

Nachdem die Dies 100 unter Verwendung des Vereinzelungsprozesses der Ausführungsform vereinzelt wurden, können die Dies 100 mit anderen Vorrichtungsmerkmalen in einem Vorrichtungs-Package gehäust werden. Zum Beispiel zeigen 6A und 6B ein Vorrichtungs-Package 300, das vereinzelte Dies 100 aufweist. In verschiedenen Ausführungsformen können mehrere Dies 100 (z.B. von einem selben Wafer oder von verschiedenen Wafern vereinzelt) vereinzelt und in einem einzelnen Vorrichtungs-Package 300 gehäust werden. Die Dies 100 können logische Dies (z.B. zentrale Verarbeitungseinheit, Mikrocontroller usw.), Speicherdies (z.B. DRAM-Die (dynamischer Direktzugriffspeicher), SRAM-Die (statischer Direktzugriffspeicher) usw.), Energieverwaltungs-Dies (z.B. PMIC-Die (integrierte Energieverwaltungsschaltung), Hochfrequenz-Dies (HF-Dies), Sensor-Dies, MEMS-Dies (mikroelektromechanisches System), Signalverarbeitungs-Dies (z.B. ein DSP-Die (digitale Signalverarbeitung), Frontend-Dies (z.B. AFE-Dies (analoges Frontend), dergleichen, oder eine Kombination davon sein. Außerdem können in einigen Ausführungsformen die Dies 100 verschiedene Größen (z.B. verschiedene Höhen und/oder Flächeninhalte) aufweisen, und in anderen Ausführungsformen können die Dies 100 die gleiche Größe (z.B. gleiche Höhen und/oder Flächeninhalte) aufweisen.After the dies 100 are singulated using the singulation process of the embodiment, the dies 100 may be packaged with other device features in a device package. For example, 6A and 6B a device package 300 comprising singulated dies 100. In various embodiments, multiple dies 100 (e.g. singulated from a same wafer or from different wafers) may be singulated and packaged in a single device package 300. The dies 100 may be logic dies (e.g., central processing unit, microcontroller, etc.), memory dies (e.g., DRAM (dynamic random access memory) die, SRAM (static random access memory) die, etc.), power management dies (e.g., PMIC (power management integrated circuit) die), radio frequency (RF) dies), sensor dies, MEMS (microelectromechanical system) dies), signal processing dies (e.g., a DSP (digital signal processing) die), front-end dies (e.g., AFE (analog front end) dies), the like, or a combination thereof. Additionally, in some embodiments, the dies 100 may have different sizes (e.g., different heights and/or surface areas), and in other embodiments, the dies 100 may have the same size (e.g., same heights and/or surface areas).

Die Dies 100 können anfangs unter Verwendung einer beliebigen geeigneten Bondtechnik (z.B. Flipchip-Bonding unter Verwendung der leitfähigen Verbinder 118 der Dies 100) an einen Die 302 gebondet sein, während der Die 302 ein Teil eines größeren Wafers (nicht dargestellt) ist. In einigen Ausführungsformen ist der Die 302 ein Interposer ohne aktive Vorrichtungen und weist leitfähige Durchkontaktierungen 306 auf, die sich durch ein Substratmaterial (z.B. Silizium, ein Polymermaterial mit oder ohne Füller, Kombinationen davon und dergleichen) erstrecken. Die leitfähigen Durchkontaktierungen 306 stellen eine elektrische Routenführung von einer Fläche des Dies 302, auf der die Dies 100 gebondet sind, zu einer gegenüberliegenden Fläche des Die 302 bereit. Zum Beispiel stellen die leitfähigen Durchkontaktierungen 306 eine elektrische Routenführung zwischen den leitfähigen Verbindern 118 und den leitfähigen Verbindern 308 des Die 302 bereit. Die leitfähigen Verbinder 306 können BGA-Verbinder, Lotkugeln, Metallsäulen, C4-Bumps, Mikrobumps, mithilfe einer ENEPIG-Technik ausgebildete Bumps (Hügel) oder dergleichen sein. Die leitfähigen Verbinder 306 können ein leitfähiges Material, wie z.B. Lötzinn, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, dergleichen oder Kombination davon umfassen. In einigen Ausführungsformen werden die leitfähigen Verbinder 306 ausgebildet, indem anfangs eine Schicht aus Lötzinn mithilfe solcher häufig verwendeten Verfahren, wie z.B. Verdampfen, Elektroplattieren, Drucken, Lötzinnübertragen, Kugelanordnen oder dergleichen, ausgebildet wird. Nachdem eine Schicht aus Lötzinn auf der Struktur ausgebildet wurde, kann ein Wiederaufschmelzen (Reflow) durchgeführt werden, um das Material zu den gewünschten Hügelformen zu formen. In einer anderen Ausführungsform sind die leitfähigen Verbinder 306 Metallsäulen (wie z.B. Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, stromloses Plattieren, CVD oder dergleichen ausgebildet werden. Die Metallsäulen können frei von Lötzinn sein und im Wesentlichen vertikale Seitenwände aufweisen. In einigen Ausführungsformen wird eine Metallabdeckschicht (nicht dargestellt) auf der Oberseite der Metallsäulenverbinder 306 ausgebildet. Die Metallabdeckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, dergleichen oder eine Kombination davon umfassen und kann mithilfe eines Plattierungsprozesses ausgebildet werden.The dies 100 may be initially bonded to a die 302 using any suitable bonding technique (e.g., flip-chip bonding using the conductive connectors 118 of the dies 100) while the die 302 is a part of a larger wafer (not shown). In some embodiments, the die 302 is an interposer with no active devices and has conductive vias 306 extending through a substrate material (e.g., silicon, a polymer material with or without fillers). ler, combinations thereof, and the like). The conductive vias 306 provide electrical routing from one surface of the die 302 to which the dies 100 are bonded to an opposite surface of the die 302. For example, the conductive vias 306 provide electrical routing between the conductive connectors 118 and the conductive connectors 308 of the die 302. The conductive connectors 306 may be BGA connectors, solder balls, metal pillars, C4 bumps, microbumps, bumps formed using an ENEPIG technique, or the like. The conductive connectors 306 may comprise a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, the like, or combinations thereof. In some embodiments, the conductive interconnects 306 are formed by initially forming a layer of solder using such commonly used methods as evaporation, electroplating, printing, solder transfer, ball placement, or the like. After a layer of solder is formed on the structure, reflow may be performed to shape the material into the desired bump shapes. In another embodiment, the conductive interconnects 306 are metal pillars (such as copper pillars) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal pillars may be free of solder and have substantially vertical sidewalls. In some embodiments, a metal capping layer (not shown) is formed on top of the metal pillar interconnects 306. The metal covering layer may comprise nickel, tin, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, the like, or a combination thereof, and may be formed using a plating process.

Außerdem kann der Die 302 fakultativ auch Umverteilungsschichten (nicht explizite dargestellt) umfassen, die leitfähige Merkmale aufweisen, welche eine elektrische Routenführung zwischen verschiedenen Dies 100 und durch den Die 302 bereitstellen. In anderen Ausführungsformen kann der Die 302 eine andere Ausgestaltung aufweisen. Zum Beispiel kann der Die 302 ein Halbleitervorrichtungs-Die sein, der darin angeordnete aktive Vorrichtungen, passive Vorrichtungen, Funktionsschaltungen, Kombinationen davon oder dergleichen aufweist.Additionally, die 302 may optionally also include redistribution layers (not explicitly shown) having conductive features that provide electrical routing between various dies 100 and through die 302. In other embodiments, die 302 may have a different configuration. For example, die 302 may be a semiconductor device die having active devices, passive devices, functional circuits, combinations thereof, or the like disposed therein.

Nachdem die Dies 100 an den die 302 gebondet wurden, kann ein Kapselungsstoff 304 zumindest teilweise um die Dies 100 und zwischen den Dies 100 und dem Die 302 ausgebildet werden. Der Kapselungsstoff 304 kann eine Moldmasse, ein Epoxid, ein Underfill oder dergleichen umfassen und kann durch Formpressen, Spritzpressen, Kapillarkraft oder dergleichen aufgebracht werden. Der Kapselungsstoff 304 kann um die leitfähigen Verbinder 118 angeordnet werden, um eine Strukturunterstützung an die leitfähigen Verbinder 118 im Package 300 bereitzustellen. Außerdem kann sich der Kapselungsstoff 304 teilweise entlang von Seitenwänden der Dies 100 erstrecken. In der dargestellten Ausführungsform erstrecken sich die Dies 100 höher als der Kapselungsstoff 304. In anderen Ausführungsformen kann sich der Kapselungsstoff 304 höher erstrecken als die Dies 100 oder er kann eine obere Fläche aufweisen, die im Wesentlichen auf gleicher Höhe mit einer oberen Fläche der Dies 100 liegt.After the dies 100 are bonded to the die 302, an encapsulant 304 may be formed at least partially around the dies 100 and between the dies 100 and the die 302. The encapsulant 304 may comprise a mold compound, an epoxy, an underfill, or the like, and may be applied by compression molding, transfer molding, capillary force, or the like. The encapsulant 304 may be disposed around the conductive connectors 118 to provide structural support to the conductive connectors 118 in the package 300. Additionally, the encapsulant 304 may extend partially along sidewalls of the dies 100. In the illustrated embodiment, the dies 100 extend higher than the encapsulant 304. In other embodiments, the encapsulant 304 may extend higher than the dies 100 or may have a top surface that is substantially flush with a top surface of the dies 100.

Aufgrund des Vereinzelungsprozesses, der zum Vereinzeln der Dies 100 verwendet wird, können andere Seitenwände der Dies ein Profil aufweisen, wie durch 6B dargestellt. 6B zeigt ein Gebiet 300A (siehe auch 6A) des Package 300. Wie durch die 6B dargestellt, umfasst der Die 100 eine erste Seitenwand 100A und eine zweite Seitenwand 100B im Gebiet 300A, die auf einer selben Seite des Die 100 angeordnet sind. Ein Material der ersten Seitenwand 100A kann das Material des Substrats 102 sein, während ein Material der zweiten Seitenwand 100B das Material des Umformungsgebiets 208 sein kann. Eine untere Fläche 100C des Die 100 verbindet die erste Seitenwand 100A mit der zweiten Seitenwand 100B. Die erste Seitenwand 100A ist seitlich von der zweiten Seitenwand 100B um einen Abstand W8 an einer Grenzfläche zwischen den dielektrischen Schichten 120 und dem Substrat 102 beabstandet, und die erste Seitenwand 100A ist seitlich von der zweiten Seitenwand 100B um einen Abstand W7 an einer Grenzfläche der dielektrischen Schichten 120, die dem Substrat 102 entgegengesetzt ist, beabstandet. In einigen Ausführungsformen beträgt der Abstand W7 ungefähr 10 µm oder mehr, während der Abstand W8 ungefähr 10 µm bis ungefähr 20 µm beträgt. Der Kapselungsstoff 304 erstreckt sich entlang der zweiten Seitenwand 100B und kann sich in einigen Ausführungsformen ferner entlang mindestens eines Abschnitts der ersten Seitenwand 100A erstrecken. In solchen Ausführungsformen kann der Kapselungsstoff 304 die untere Fläche 100C kontaktieren. In anderen Ausführungsformen kann der Kapselungsstoff 304 im Verhältnis zu Flächen des Die 100 eine andere Form und/oder Größe aufweisen.Due to the singulation process used to separate the dies 100, other side walls of the dies may have a profile as shown by 6B shown. 6B shows an area 300A (see also 6A) of Package 300. As shown by the 6B As shown, the die 100 includes a first sidewall 100A and a second sidewall 100B in region 300A that are disposed on a same side of the die 100. A material of the first sidewall 100A may be the material of the substrate 102, while a material of the second sidewall 100B may be the material of the deformation region 208. A bottom surface 100C of the die 100 connects the first sidewall 100A to the second sidewall 100B. The first sidewall 100A is laterally spaced from the second sidewall 100B by a distance W8 at an interface between the dielectric layers 120 and the substrate 102, and the first sidewall 100A is laterally spaced from the second sidewall 100B by a distance W7 at an interface of the dielectric layers 120 opposite the substrate 102. In some embodiments, the distance W7 is about 10 μm or more, while the distance W8 is about 10 μm to about 20 μm. The encapsulant 304 extends along the second sidewall 100B and, in some embodiments, may further extend along at least a portion of the first sidewall 100A. In such embodiments, the encapsulant 304 may contact the bottom surface 100C. In other embodiments, the encapsulant 304 may have a different shape and/or size relative to surfaces of the die 100.

Unter erneuter Bezugnahme auf 6A kann der Die 302 von anderen Merkmalen in dem Wafer (nicht dargestellt) vereinzelt werden, nachdem der Kapselungsstoff 304 ausgebildet wurde. In einigen Ausführungsformen kann der Vereinzelungsprozess im Wesentlichen dem auf die Dies 100 angewendeten Vereinzelungsprozess ähnlich sein. In anderen Ausführungsformen kann eine andere Art von Vereinzelungsprozess (z.B. mit oder ohne Laserstrahlen) auf den vereinzelten Die 302 angewendet werden.Referring again to 6A the die 302 may be singulated from other features in the wafer (not shown) after the encapsulant 304 is formed. In some embodiments, the singulation process may be substantially similar to the singulation process applied to the dies 100. In other embodiments, a different type of singulation process (e.g., with or without laser rays) can be applied to the isolated Die 302.

Nachdem der Die 302 vereinzelt wurde, kann der Die 302 an ein Package-Substrat 312 gebondet werden. Das Package-Substrat 312 kann aus einem Halbleitermaterial, wie z.B. Silizium, Germanium, Diamant oder dergleichen, gefertigt werden. Alternativ können auch Verbundmaterialien, wie z.B. Siliziumgermanium, Siliziumkarbid, Galliumarsenid, Indiumarsenid, Indiumphosphid, Siliziumgermaniumkarbid, Galliumarsenphosphid, Galliumindiumphosphid, Kombinationen von diesen und dergleichen, verwendet werden. Außerdem kann das Package-Substrat 312 ein SOI-Substrat sein. Im Allgemeinen umfasst das Package-Substrat 312 eine Schicht aus einem Halbleitermaterial, wie z.B. epitaktischem Silizium, Germanium, Siliziumgermanium, SOI, SGOI oder Kombinationen davon. Das Package-Substrat 312 basiert in einer alternativen Ausführungsform auf einem isolierenden Kern, wie z.B. einem mit Glasfasern verstärkten Harzkern. Ein Beispiel eines Kernmaterials ist Glasfaserharz, wie z.B. FR4. Alternativen für das Kernmaterial umfassen Bismaleimid-Triazin-Harz (BT-Harz), oder alternativ andere Leiterplatten-Materialien oder -Filme. Aufbaufilme, wie z.B. ABF oder andere Laminate, können für das Package-Substrat 312 verwendet werden.After the die 302 is singulated, the die 302 may be bonded to a package substrate 312. The package substrate 312 may be made of a semiconductor material such as silicon, germanium, diamond, or the like. Alternatively, composite materials such as silicon germanium, silicon carbide, gallium arsenide, indium arsenide, indium phosphide, silicon germanium carbide, gallium arsenophosphide, gallium indium phosphide, combinations of these, and the like may be used. Additionally, the package substrate 312 may be an SOI substrate. Generally, the package substrate 312 includes a layer of a semiconductor material such as epitaxial silicon, germanium, silicon germanium, SOI, SGOI, or combinations thereof. The package substrate 312, in an alternative embodiment, is based on an insulating core, such as a glass fiber reinforced resin core. An example of a core material is glass fiber resin, such as FR4. Alternatives for the core material include bismaleimide triazine resin (BT resin), or alternatively other circuit board materials or films. Build-up films, such as ABF or other laminates, may be used for the package substrate 312.

Das Package-Substrat 312 kann aktive und passive Vorrichtungen umfassen (nicht in 6A dargestellt). Wie ein Fachmann erkennen wird, kann eine breite Vielfalt von Vorrichtungen, wie z.B. Transistoren, Kondensatoren, Widerstände, Kombinationen von diesen und dergleichen, verwendet werden, um die strukturellen und funktionellen Anforderungen des Designs für das Package 300 zu erzeugen. Die Vorrichtungen können unter Verwendung beliebiger geeigneter Verfahren ausgebildet werden.The package substrate 312 may include active and passive devices (not shown in 6A As one skilled in the art will appreciate, a wide variety of devices, such as transistors, capacitors, resistors, combinations of these, and the like, may be used to create the structural and functional design requirements for package 300. The devices may be formed using any suitable techniques.

Das Package-Substrat 312 kann außerdem Metallisierungsschichten und Durchkontaktierungen (nicht dargestellt) und Bond-Pads über den Metallisierungsschichten und den Durchkontaktierungen umfassen. Die ersten Metallisierungsschichten können über den aktiven und passiven Vorrichtungen ausgebildet werden und sind derart ausgelegt, dass sie die verschiedenen Vorrichtungen verbinden, um eine Funktionsschaltung zu bilden. Die Metallisierungsschichten können aus abwechselnden Schichten aus einem dielektrischen (z.B. einem Low-k-Dielektrikumsmaterial) und einem leitfähigen Material (z.B. Kupfer) ausgebildet werden, wobei Durchkontaktierungen die Schichten aus dem leitfähigen Material verbinden, und sie können mithilfe eines beliebigen geeigneten Prozesses (wie z.B. Abscheiden, Damascene, Dual-Damascene oder dergleichen) ausgebildet werden. In einigen Ausführungsformen ist das Package-Substrat 312 im Wesentlichen frei von aktiven und passiven Vorrichtungen.The package substrate 312 may also include metallization layers and vias (not shown) and bond pads over the metallization layers and the vias. The first metallization layers may be formed over the active and passive devices and are configured to connect the various devices to form a functional circuit. The metallization layers may be formed from alternating layers of a dielectric (e.g., a low-k dielectric material) and a conductive material (e.g., copper) with vias connecting the layers of the conductive material, and may be formed using any suitable process (such as deposition, damascene, dual damascene, or the like). In some embodiments, the package substrate 312 is substantially free of active and passive devices.

In einigen Ausführungsformen können die leitfähigen Verbinder 308 auf dem Die 302 wiederaufgeschmolzen werden, um den Die 302 an den Bond-Pads des Package-Substrats 312 anzubringen. Die leitfähigen Verbinder 308 können ein darauf ausgebildetes Epoxidflussmittel (nicht dargestellt) aufweisen, bevor sie mit zumindest einem Teil des Epoxidabschnitts des Epoxidflussmittels, das verbleibt, nachdem der Die 302 an dem Package-Substrat 312 angebracht wurde, wiederaufgeschmolzen werden. Dieser verbleibende Epoxidabschnitt kann als ein Underfill wirken, um eine Beanspruchung zu reduzieren und die Verknüpfungen, die aus dem Wiederaufschmelzen der leitfähigen Verbinder 308 resultieren, zu schützen. In einigen Ausführungsformen kann ein Underfill 310 zwischen dem Die 302 und dem Package-Substrat 312 und den umgebenden leitfähigen Verbindern 308 ausgebildet werden. Der Underfill kann durch einen Kapillarfließprozess ausgebildet werden, nachdem der Die 302 angebracht wurde, oder er kann mithilfe eines geeigneten Abscheidungsverfahrens ausgebildet werden, bevor der Die 302 angebracht wird.In some embodiments, the conductive interconnects 308 on the die 302 may be reflowed to attach the die 302 to the bond pads of the package substrate 312. The conductive interconnects 308 may have an epoxy flux (not shown) formed thereon before being reflowed with at least a portion of the epoxy portion of the epoxy flux that remains after the die 302 is attached to the package substrate 312. This remaining epoxy portion may act as an underfill to reduce stress and protect the interconnects resulting from the reflow of the conductive interconnects 308. In some embodiments, an underfill 310 may be formed between the die 302 and the package substrate 312 and the surrounding conductive interconnects 308. The underfill may be formed by a capillary flow process after the die 302 is attached, or it may be formed using a suitable deposition process before the die 302 is attached.

Die leitfähigen Merkmale im Package-Substrat 312 können den Die 302 und die Dies 100 mit den leitfähigen Verbindern 314 elektrisch verbinden, die im Verhältnis zum Die 302 auf einer gegenüberliegenden Seite des Package-Substrats 312 angeordnet sind. In einigen Ausführungsformen sind die leitfähigen Verbinder 314 C4-Bumps, BGA-Kugel, Mikrobumbs oder dergleichen, und die leitfähigen Verbinder 314 können verwendet werden, um das Package 300 mit anderen Halbleitermerkmalen, wie z.B. einem anderen Package, einem anderen Package-Substrat, einem anderen Interposer, einer Hauptplatine oder dergleichen, elektrisch zu verbinden.The conductive features in the package substrate 312 may electrically connect the die 302 and the dies 100 to the conductive connectors 314 disposed on an opposite side of the package substrate 312 relative to the die 302. In some embodiments, the conductive connectors 314 are C4 bumps, BGA balls, microbumps, or the like, and the conductive connectors 314 may be used to electrically connect the package 300 to other semiconductor features, such as another package, another package substrate, another interposer, a motherboard, or the like.

Wie hier beschrieben, kann ein Vereinzelungsprozess verwendet werden, um einen Halbleiter-Die von anderen Merkmalen (z.B. anderen Halbleiter-Dies) in einem Wafer zu vereinzeln. Der Vereinzelungsprozess kann zunächst ein Verwenden eines Laserablationsprozesses umfassen, um eine Kerbe in dem Wafer auszubilden, die ein geeignetes Profil aufweist. Parameter des Laserablationsprozesses (z.B. Anzahl von angewendeten Laserstrahlen, Leistung, Position, Reihenfolge von angewendeten Laserstrahlen) können gesteuert werden, um eine geeignete Kerbe bereitzustellen. Zum Beispiel kann sich die Kerbe durch mehrere dielektrische Schichten in ein Halbleitersubstrat erstrecken. Die Kerbe kann bestimmte Breiten an gegenüberliegenden seitlichen Flächen der dielektrischen Schichten aufweisen, um ein großes Prozessfenster für nachfolgende Dicing-Prozesse bereitzustellen. Anschließend kann ein mechanischer Sägeprozess angewendet werden, um den Die von dem Wafer vollständig zu trennen. Es wurde festgestellt, dass durch derartiges Steuern der Kerbe, dass sie ein vorstehend beschriebenes Profil aufweist, Herstellungsdefekte (z.B. ein Ablösen und/oder eine Rissbildung der dielektrischen Schichten) während des mechanischen Sägeprozesses reduziert werden können. Daher können die Zuverlässigkeit des Vereinzelungsprozesses und die Ausbeute verbessert werden.As described herein, a dicing process may be used to separate a semiconductor die from other features (e.g., other semiconductor dies) in a wafer. The dicing process may first include using a laser ablation process to form a notch in the wafer having a suitable profile. Parameters of the laser ablation process (e.g., number of applied laser beams, power, position, order of applied laser beams) may be controlled to provide a suitable notch. For example, the notch may extend through multiple dielectric layers into a semiconductor substrate. The notch may have certain widths on opposite lateral surfaces of the dielectric layers to provide a large process window for subsequent dicing processes. Subsequently, Finally, a mechanical sawing process can be applied to completely separate the die from the wafer. It has been found that by controlling the notch to have a profile as described above, manufacturing defects (e.g., delamination and/or cracking of the dielectric layers) during the mechanical sawing process can be reduced. Therefore, the reliability of the dicing process and the yield can be improved.

Gemäß einer Ausführungsform umfasst ein Verfahren ein Bereitstellen eines Wafers, der einen ersten integrierten Schaltungs-Die, einen zweiten integrierten Schaltungs-Die, und ein Ritzrahmengebiet zwischen dem ersten integrierten Schaltungs-Die und dem zweiten integrierten Schaltungs-Die umfasst. Das Verfahren umfasst ferner ein Verwenden eines Laserablationsprozesses, um eine Kerbe in dem Ritzrahmengebiet auszubilden, und nach dem Ausbilden der Kerbe, ein Verwenden eines mechanischen Sägeprozesses, um den ersten integrierten Schaltungs-Die von dem zweiten integrierten Schaltungs-Die vollständig zu trennen. Die Kerbe erstreckt sich durch mehrere dielektrische Schichten in ein Halbleitersubstrat. Die Kerbe umfasst eine erste Breite an einer Grenzfläche zwischen den mehreren dielektrischen Schichten und dem Halbleitersubstrat und eine zweite Breite an einer dem Halbleitersubstrat entgegengesetzten Fläche der mehreren dielektrischen Schichten. Ein Verhältnis der zweiten Breite zu der ersten Breite beträgt mindestens ungefähr 0,6.According to an embodiment, a method includes providing a wafer comprising a first integrated circuit die, a second integrated circuit die, and a scribe frame region between the first integrated circuit die and the second integrated circuit die. The method further includes using a laser ablation process to form a notch in the scribe frame region, and after forming the notch, using a mechanical sawing process to completely separate the first integrated circuit die from the second integrated circuit die. The notch extends through a plurality of dielectric layers into a semiconductor substrate. The notch includes a first width at an interface between the plurality of dielectric layers and the semiconductor substrate and a second width at a surface of the plurality of dielectric layers opposite the semiconductor substrate. A ratio of the second width to the first width is at least about 0.6.

Gemäß einer Ausführungsform umfasst ein Verfahren ein Vereinzeln eines Halbleiter-Die von einem Wafer. Das Vereinzeln des Halbleiter-Die umfasst ein Ausbilden einer Kerbe in einem Ritzrahmengebiet benachbart zu dem Halbleiter-Die unter Verwendung mehrerer Laserstrahlen. Die Kerbe erstreckt sich durch mehrere dielektrische Schichten und teilweise in ein Halbleitersubstrat. Das Vereinzeln des Halbleiter-Die umfasst ferner ein Ausrichten eines Sägeblatts auf die Kerbe und Verwenden des Sägeblatts, um durch einen unteren Abschnitt des Halbleitersubstrats, das durch die Kerbe freigelegt ist, durchzusägen. Das Sägeblatt ist schmaler als die Kerbe an einer Grenzfläche zwischen den mehreren dielektrischen Schichten und dem Halbleitersubstrat. Das Verfahren umfasst ferner, nach dem Vereinzeln des Halbleiter-Die, ein Bonden des Halbleiter-Die an einen anderen Die unter Verwendung mehrerer leitfähiger Verbinder. Nach dem Bonden des Halbleiter-Die umfasst der Halbleiter-Die eine erste Seitenwand und eine zweite Seitenwand unter der ersten Seitenwand. Die erste Seitenwand ist seitlich von der zweiten Seitenwand beabstandet.According to an embodiment, a method includes singulating a semiconductor die from a wafer. Singulating the semiconductor die includes forming a notch in a scribe frame region adjacent to the semiconductor die using a plurality of laser beams. The notch extends through a plurality of dielectric layers and partially into a semiconductor substrate. Singulating the semiconductor die further includes aligning a saw blade with the notch and using the saw blade to saw through a lower portion of the semiconductor substrate exposed by the notch. The saw blade is narrower than the notch at an interface between the plurality of dielectric layers and the semiconductor substrate. The method further includes, after singulating the semiconductor die, bonding the semiconductor die to another die using a plurality of conductive connectors. After bonding the semiconductor die, the semiconductor die includes a first sidewall and a second sidewall below the first sidewall. The first sidewall is laterally spaced from the second sidewall.

Gemäß einer Ausführungsform umfasst ein Vorrichtungs-Package einen ersten Halbleiter-Die. Der erste Halbleiter-Die umfasst: ein Halbleitersubstrat, mehrere dielektrische Schichten, die eine Grenzfläche mit dem Halbleitersubstrat aufweisen, eine erste Seitenwand, und eine zweite Seitenwand unter der ersten Seitenwand und die auf einer selben Seite des ersten Halbleiter-Die angeordnet ist wie die erste Seitenwand. Die erste Seitenwand erstreckt sich seitlich über die zweite Seitenwand hinaus. Das Vorrichtungs-Package umfasst außerdem einen zweiten Halbleiter-Die, der an den ersten Halbleiter-Die mithilfe mehrerer leitfähiger Verbinder gebondet ist. Das Vorrichtungs-Package umfasst außerdem einen Underfill, der um die mehreren leitfähigen Verbinder angeordnet ist. Der Underfill erstreckt sich entlang der zweiten Seitenwand des ersten Halbleiter-Die.According to an embodiment, a device package comprises a first semiconductor die. The first semiconductor die comprises: a semiconductor substrate, a plurality of dielectric layers having an interface with the semiconductor substrate, a first sidewall, and a second sidewall below the first sidewall and disposed on a same side of the first semiconductor die as the first sidewall. The first sidewall extends laterally beyond the second sidewall. The device package also comprises a second semiconductor die bonded to the first semiconductor die via a plurality of conductive connectors. The device package also comprises an underfill disposed around the plurality of conductive connectors. The underfill extends along the second sidewall of the first semiconductor die.

Claims (16)

Verfahren, umfassend: Erhalten eines Wafers (200), umfassend: einen ersten integrierten Schaltungs-Die (100), einen zweiten integrierten Schaltungs-Die (100), und ein Ritzrahmengebiet (202) zwischen dem ersten integrierten Schaltungs-Die (100) und dem zweiten integrierten Schaltungs-Die (100), und Ausbilden einer Kerbe (206) in dem Ritzrahmengebiet (202), wobei sich die Kerbe (206) durch mehrere dielektrische Schichten (120) in ein Halbleitersubstrat (102) erstreckt, und wobei die Kerbe (206) Folgendes aufweist: eine erste Breite (W5) an einer Grenzfläche zwischen den mehreren dielektrischen Schichten (120) und dem Halbleitersubstrat (102), und eine zweite Breite (W4) an einer dem Halbleitersubstrat (102) entgegengesetzten Fläche der mehreren dielektrischen Schichten (120), wobei ein Verhältnis der zweiten Breite (W4) zu der ersten Breite (W5) mindestens 0,6 und weniger als 1,0 beträgt.A method comprising: obtaining a wafer (200) comprising: a first integrated circuit die (100), a second integrated circuit die (100), and a scribe frame region (202) between the first integrated circuit die (100) and the second integrated circuit die (100), and forming a notch (206) in the scribe frame region (202), the notch (206) extending through a plurality of dielectric layers (120) into a semiconductor substrate (102), and the notch (206) having: a first width (W5) at an interface between the plurality of dielectric layers (120) and the semiconductor substrate (102), and a second width (W4) at a surface of the plurality of dielectric layers (120) opposite the semiconductor substrate (102), wherein a ratio of the second width (W4) to the first width (W5) is at least 0.6 and is less than 1.0. Verfahren nach Anspruch 1, wobei ein Winkel (θ) zwischen einer unteren Fläche der Kerbe (206) und einer Seitenwand der Kerbe (206) 90° bis 1350 beträgt.Procedure according to Claim 1 , wherein an angle (θ) between a lower surface of the notch (206) and a side wall of the notch (206) is 90° to 1350. Verfahren nach Anspruch 1 oder 2, ferner umfassend: nach dem Ausbilden der Kerbe (206), Verwenden eines mechanischen Sägeprozesses, um den ersten integrierten Schaltungs-Die (100) von dem zweiten integrierten Schaltungs-Die (100) vollständig zu trennen.Procedure according to Claim 1 or 2 further comprising: after forming the notch (206), using a mechanical sawing process to completely separate the first integrated circuit die (100) from the second integrated circuit die (100). Verfahren nach Anspruch 3, wobei der mechanische Sägeprozess ein Verwenden eines Sägeblatts (210) umfasst, das eine dritte Breite (W6) aufweist, wobei die dritte Breite (W6) kleiner ist als die erste Breite (W5).Procedure according to Claim 3 , wherein the mechanical sawing process comprises using a saw blade (210) having a third width (W6), wherein the third width (W6) is smaller than the first width (W5). Verfahren nach einem der vorhergehenden Ansprüche, wobei das Ausbilden der Kerbe (206) in dem Ritzrahmengebiet (202) einen Laserablationsprozess umfasst.The method of any preceding claim, wherein forming the notch (206) in the scribe frame region (202) comprises a laser ablation process. Verfahren nach Anspruch 5, wobei der Laserablationsprozess ferner ein Umformungsgebiet (208) auf einer Seitenwand der mehreren dielektrischen Schichten (120) und einer Seitenwand des Halbleitersubstrats (102) ausbildet.Procedure according to Claim 5 wherein the laser ablation process further forms a deformation region (208) on a sidewall of the plurality of dielectric layers (120) and a sidewall of the semiconductor substrate (102). Verfahren nach Anspruch 5 oder 6, wobei der Laserablationsprozess Folgendes umfasst: Anwenden eines ersten Laserstrahls an einer ersten Position in dem Ritzrahmengebiet (202), Anwenden eines zweiten Laserstrahls an einer zweiten Position in dem Ritzrahmengebiet (202) nach dem Anwenden des ersten Laserstrahls, und Anwenden eines dritten Laserstrahls an einer dritten Position in dem Ritzrahmengebiet (202) nach dem Anwenden des zweiten Laserstrahls, wobei sich die zweite Position zwischen der ersten Position und der dritten Position befindet.Procedure according to Claim 5 or 6 , wherein the laser ablation process comprises: applying a first laser beam at a first position in the scribe frame region (202), applying a second laser beam at a second position in the scribe frame region (202) after applying the first laser beam, and applying a third laser beam at a third position in the scribe frame region (202) after applying the second laser beam, wherein the second position is between the first position and the third position. Verfahren nach Anspruch 5 oder 6, wobei der Laserablationsprozess Folgendes umfasst: Anwenden eines ersten Laserstrahls an einer ersten Position in dem Ritzrahmengebiet (202), Anwenden eines zweiten Laserstrahls an einer zweiten Position in dem Ritzrahmengebiet (202) nach dem Anwenden des ersten Laserstrahls, und Anwenden eines dritten Laserstrahls an einer dritten Position in dem Ritzrahmengebiet (202) nach dem Anwenden des zweiten Laserstrahls, wobei sich die dritte Position zwischen der ersten Position und der zweiten Position befindet.Procedure according to Claim 5 or 6 , wherein the laser ablation process comprises: applying a first laser beam at a first position in the scribe frame region (202), applying a second laser beam at a second position in the scribe frame region (202) after applying the first laser beam, and applying a third laser beam at a third position in the scribe frame region (202) after applying the second laser beam, the third position being between the first position and the second position. Verfahren, umfassend: Vereinzeln eines Halbleiter-Die (100) von einem Wafer (200), wobei das Vereinzeln des Halbleiter-Die (100) Folgendes umfasst: Ausbilden einer Kerbe (206) in einem Ritzrahmengebiet (202) benachbart zu dem Halbleiter-Die (100) unter Verwendung mehrerer Laserstrahlen (204), wobei sich die Kerbe (206) durch mehrere dielektrische Schichten (120) und teilweise in ein Halbleitersubstrat (102) erstreckt, Ausbilden eines Umformungsgebiets (208) auf Seitenwänden der mehreren dielektrischen Schichten (120) und des Halbleitersubstrats (102) unter Verwendung der mehreren Laserstrahlen (204), wobei das Umformungsgebiet (208) ein erneut abgeschiedenes Material, das durch die mehreren Laserstrahlen (204) bestrahlt wird, umfasst; Ausrichten eines Sägeblatts (210) auf die Kerbe (206), wobei das Sägeblatt (210) an einer Grenzfläche zwischen den mehreren dielektrischen Schichten (120) und dem Halbleitersubstrat (102) schmaler ist als die Kerbe (206), und Verwenden des Sägeblatts (210), um durch einen unteren Abschnitt des Halbleitersubstrats (102), der durch die Kerbe (206) freigelegt ist, durchzusägen; wobei die Sägeblatt nach dem Durchsägen eine Seitenwand (100A; 102A) des Halbleitersubstrats bildet, und wobei die Seitenwand (100A; 120A) des Halbleitersubstrats (102) seitlich von einer Seitenwand (100B) des Umformungsgebiets (208) um einen ersten Abstand (W8) an der Grenzfläche zwischen den mehreren dielektrischen Schichten (120) und dem Halbleitersubstrat (102) beabstandet ist, wobei die Seitenwand (100A; 102A) des Halbleitersubstrats (102) seitlich von der Seitenwand (100B) des Umformungsgebiets (208) um einen zweiten Abstand (W7) an einer dem Halbleitersubstrat (102) entgegengesetzten Fläche der mehreren dielektrischen Schichten (120) beabstandet ist, wobei der erste Abstand (W8) zwischen 10 µm und 20 µm beträgt, und wobei der zweite Abstand (W7) mindestens 10 µm beträgt.A method comprising: singling a semiconductor die (100) from a wafer (200), wherein singulating the semiconductor die (100) comprises: forming a notch (206) in a scribe frame region (202) adjacent to the semiconductor die (100) using a plurality of laser beams (204), the notch (206) extending through a plurality of dielectric layers (120) and partially into a semiconductor substrate (102), forming a remodeling region (208) on sidewalls of the plurality of dielectric layers (120) and the semiconductor substrate (102) using the plurality of laser beams (204), the remodeling region (208) comprising a redeposited material irradiated by the plurality of laser beams (204); Aligning a saw blade (210) with the notch (206), the saw blade (210) being narrower than the notch (206) at an interface between the plurality of dielectric layers (120) and the semiconductor substrate (102), and using the saw blade (210) to saw through a lower portion of the semiconductor substrate (102) exposed by the notch (206); wherein the saw blade forms a side wall (100A; 102A) of the semiconductor substrate after sawing through, and wherein the side wall (100A; 120A) of the semiconductor substrate (102) is laterally spaced from a side wall (100B) of the deformation region (208) by a first distance (W8) at the interface between the plurality of dielectric layers (120) and the semiconductor substrate (102), wherein the side wall (100A; 102A) of the semiconductor substrate (102) is laterally spaced from the side wall (100B) of the deformation region (208) by a second distance (W7) at a surface of the plurality of dielectric layers (120) opposite the semiconductor substrate (102), wherein the first distance (W8) is between 10 µm and 20 µm, and wherein the second distance (W7) is at least 10 µm. Verfahren nach Anspruch 9, ferner umfassend: Ausbilden eines Kapselungsstoffs (304) um die mehreren leitfähigen Verbinder (118), wobei sich der Kapselungsstoff (304) entlang der Seitenwand (100B) des Umformungsgebiets (208) erstreckt.Procedure according to Claim 9 further comprising: forming an encapsulant (304) around the plurality of conductive connectors (118), the encapsulant (304) extending along the sidewall (100B) of the forming region (208). Verfahren nach einem der vorhergehenden Ansprüche 9 bis 10, wobei die Kerbe (206) eine erste Breite (W5) an der Grenzfläche zwischen den mehreren dielektrischen Schichten (120) und dem Halbleitersubstrat (102) aufweist, wobei die Kerbe (206) eine zweite Breite (W4) an einer dem Halbleitersubstrat (102) entgegengesetzten Fläche der mehreren dielektrischen Schichten (120) aufweist, und wobei ein Verhältnis der ersten Breite (W5) zu der zweiten Breite (W4) mindestens 0,6 beträgt.Method according to one of the preceding Claims 9 until 10 , wherein the notch (206) has a first width (W5) at the interface between the plurality of dielectric layers (120) and the semiconductor substrate (102), wherein the notch (206) has a second width (W4) at a surface of the plurality of dielectric layers (120) opposite the semiconductor substrate (102), and wherein a ratio of the first width (W5) to the second width (W4) is at least 0.6. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 11, wobei der Halbleiter-Die (100) einen Dichtring (110) aufweist, der ein Funktionsschaltungsgebiet (119) des Halbleiter-Die (100) umgibt, und wobei der Dichtring (110) zwischen dem Ritzrahmengebiet (202) und dem Funktionsschaltungsgebiet (119) angeordnet ist, und wobei sich der Dichtring (110) während der Vereinzelung des Halbleiter-Die (100) über eine obere Fläche der mehreren dielektrischen Schichten (120) erstreckt.Method according to one of the preceding Claims 9 until 11 , wherein the semiconductor die (100) has a sealing ring (110) surrounding a functional circuit region (119) of the semiconductor die (100), and wherein the sealing ring (110) is arranged between the scribe frame region (202) and the functional circuit region (119), and wherein the sealing ring (110) extends over an upper surface of the plurality of dielectric layers (120) during singulation of the semiconductor die (100). Vorrichtung, die Folgendes aufweist: einen ersten Halbleiter-Die, wobei der erste Halbleiter-Die Folgendes aufweist: ein Halbleitersubstrat (102), mehrere dielektrische Schichten (120), die eine Grenzfläche mit dem Halbleitersubstrat (102) aufweisen, eine erste Seitenwand (100A), und eine zweite Seitenwand (100B) unter der ersten Seitenwand (100A) und die auf einer selben Seite des ersten Halbleiter-Die (100) angeordnet ist wie die erste Seitenwand (100A), wobei die erste Seitenwand (100A) seitlich von der zweiten Seitenwand (100B) beabstandet ist, und einen Underfill (304), der sich entlang der zweiten Seitenwand (100B) des ersten Halbleiter-Die (100) erstreckt; wobei ein Material der ersten Seitenwand (100A) ein Material des Halbleitersubstrats (102) ist, wobei ein Material der zweiten Seitenwand (100B) ein Material eines Umformungsgebiets (208) ist, und wobei das Umformungsgebiet (208) ein Material der mehreren dielektrischen Schichten (120) aufweist; wobei der erste Halbleiter-Die (100) ferner eine untere Fläche (100C) aufweist, die die erste Seitenwand (100A) mit der zweiten Seitenwand (100B) verbindet, wobei ein Material der unteren Fläche (100C) das Material des Halbleitersubstrats (102) ist; und wobei der Underfill (304) die untere Fläche (100C) kontaktiert.A device comprising: a first semiconductor die, the first semiconductor die comprising: a semiconductor substrate (102), a plurality of dielectric layers (120) defining an interface with the semiconductor substrate (102) on have a first sidewall (100A), and a second sidewall (100B) below the first sidewall (100A) and which is arranged on a same side of the first semiconductor die (100) as the first sidewall (100A), the first sidewall (100A) being laterally spaced from the second sidewall (100B), and an underfill (304) extending along the second sidewall (100B) of the first semiconductor die (100); wherein a material of the first sidewall (100A) is a material of the semiconductor substrate (102), wherein a material of the second sidewall (100B) is a material of a deformation region (208), and wherein the deformation region (208) comprises a material of the plurality of dielectric layers (120); wherein the first semiconductor die (100) further comprises a bottom surface (100C) connecting the first sidewall (100A) to the second sidewall (100B), wherein a material of the bottom surface (100C) is the material of the semiconductor substrate (102); and wherein the underfill (304) contacts the bottom surface (100C). Vorrichtung nach Anspruch 13, die ferner einen zweiten Halbleiter-Die (302) aufweist, der an den ersten Halbleiter-Die (100) durch mehrere leitfähige Verbinder (118) gebondet ist, wobei der Underfill (304) um die mehreren leitfähigen Verbinder (118) angeordnet ist.Device according to Claim 13 further comprising a second semiconductor die (302) bonded to the first semiconductor die (100) through a plurality of conductive connectors (118), wherein the underfill (304) is disposed around the plurality of conductive connectors (118). Vorrichtung nach Anspruch 13 oder 14, wobei die erste Seitenwand (100A) seitlich von der zweiten Seitenwand (100B) um einen ersten Abstand (W8) an der Grenzfläche zwischen den mehreren dielektrischen Schichten (120) und dem Halbleitersubstrat (102) beabstandet ist, wobei die erste Seitenwand (100A) seitlich von der zweiten Seitenwand (100B) um einen zweiten Abstand (W7) an einer dem Halbleitersubstrat (102) entgegengesetzten Fläche der mehreren dielektrischen Schichten (120) beabstandet ist, wobei der erste Abstand (W8) zwischen 10 µm und 20 µm beträgt, und wobei der zweite Abstand (W7) mindestens 10 µm beträgt.Device according to Claim 13 or 14 , wherein the first sidewall (100A) is laterally spaced from the second sidewall (100B) by a first distance (W8) at the interface between the plurality of dielectric layers (120) and the semiconductor substrate (102), wherein the first sidewall (100A) is laterally spaced from the second sidewall (100B) by a second distance (W7) at a surface of the plurality of dielectric layers (120) opposite the semiconductor substrate (102), wherein the first distance (W8) is between 10 µm and 20 µm, and wherein the second distance (W7) is at least 10 µm. Vorrichtung nach einem der vorhergehenden Ansprüche 13 bis 15, wobei ein Winkel (Θ) zwischen der zweiten Seitenwand (100B) und der unteren Fläche 90° bis 1300 beträgt.Device according to one of the preceding Claims 13 until 15 , wherein an angle (Θ) between the second side wall (100B) and the lower surface is 90° to 1300.
DE102017100349.5A 2016-07-29 2017-01-10 Separation of semiconductor dies and resulting structures Active DE102017100349B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662368736P 2016-07-29 2016-07-29
US62/368,736 2016-07-29
US15/374,885 2016-12-09
US15/374,885 US10720360B2 (en) 2016-07-29 2016-12-09 Semiconductor die singulation and structures formed thereby

Publications (2)

Publication Number Publication Date
DE102017100349A1 DE102017100349A1 (en) 2018-02-01
DE102017100349B4 true DE102017100349B4 (en) 2024-04-18

Family

ID=60951433

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017100349.5A Active DE102017100349B4 (en) 2016-07-29 2017-01-10 Separation of semiconductor dies and resulting structures

Country Status (1)

Country Link
DE (1) DE102017100349B4 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040188862A1 (en) 2003-03-24 2004-09-30 Kumar Nagarajan Low stress flip-chip package for low-K silicon technology
US20050101108A1 (en) 2003-11-07 2005-05-12 Satoshi Genda Semiconductor wafer dividing method
US7265438B2 (en) 2001-11-20 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. RF seal ring structure
US20070272668A1 (en) 2006-05-25 2007-11-29 Albelo Jeffrey A Ultrashort laser pulse wafer scribing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7265438B2 (en) 2001-11-20 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. RF seal ring structure
US20040188862A1 (en) 2003-03-24 2004-09-30 Kumar Nagarajan Low stress flip-chip package for low-K silicon technology
US20050101108A1 (en) 2003-11-07 2005-05-12 Satoshi Genda Semiconductor wafer dividing method
US20070272668A1 (en) 2006-05-25 2007-11-29 Albelo Jeffrey A Ultrashort laser pulse wafer scribing

Also Published As

Publication number Publication date
DE102017100349A1 (en) 2018-02-01

Similar Documents

Publication Publication Date Title
DE102018116743B4 (en) Semiconductor component and method
DE102019117762B4 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102017117815B4 (en) Structure of a semiconductor package and manufacturing method
CN107665819B (en) Semiconductor die dicing and structures formed thereby
DE102019109690A1 (en) Semiconductor structures and processes for their manufacture
DE102019103729B4 (en) SEMICONDUCTOR PACKAGE AND METHOD
DE102018130035B4 (en) PACKAGE AND PROCEDURE
DE102020104147B4 (en) SEMICONDUCTOR COMPONENTS AND METHOD FOR THE PRODUCTION THEREOF
DE102018121879B4 (en) Method for manufacturing a semiconductor package
DE102021113639B3 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD OF FORMING THE SAME
DE102017122831B4 (en) Housing structures and training procedures
DE102019125790B4 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102020112941A1 (en) SENSOR PACKAGE AND PROCEDURE
DE102020124229A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019114984B4 (en) PACKAGE FOR INTEGRATED CIRCUITS AND PROCESSES
DE102021112540B4 (en) ANTENNA DEVICE AND METHOD
DE102018124848A1 (en) Package structure and procedure
DE102020108481B4 (en) Semiconductor die package and manufacturing process
DE102020131125A1 (en) Semiconductor package and method of making the same
DE102021103541A1 (en) IC PACKAGE AND PROCESS
DE102023100773A1 (en) INTEGRATED CIRCUIT PACKAGE AND METHOD
DE102017102534B4 (en) Redistribution layers in semiconductor packages and methods for their manufacture
DE102017100349B4 (en) Separation of semiconductor dies and resulting structures
DE102021114921A1 (en) Package and method of making same
DE102021119243A1 (en) SHAPED THIS INTO SEMICONDUCTOR PACKAGES AND THEIR MANUFACTURING PROCESSES

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division