DE102016118207A1 - SEMICONDUCTOR DEVICE AND METHOD FOR THE PRODUCTION THEREOF - Google Patents

SEMICONDUCTOR DEVICE AND METHOD FOR THE PRODUCTION THEREOF Download PDF

Info

Publication number
DE102016118207A1
DE102016118207A1 DE102016118207.9A DE102016118207A DE102016118207A1 DE 102016118207 A1 DE102016118207 A1 DE 102016118207A1 DE 102016118207 A DE102016118207 A DE 102016118207A DE 102016118207 A1 DE102016118207 A1 DE 102016118207A1
Authority
DE
Germany
Prior art keywords
source
gate
drain
cap insulating
insulating layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102016118207.9A
Other languages
German (de)
Other versions
DE102016118207B4 (en
Inventor
Jui-Yao Lai
Ru-Gun Liu
Sai-Hooi Yeong
Yen-Ming Chen
Yung-Sung Yen
Ying-Yan Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/157,200 external-priority patent/US11088030B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016118207A1 publication Critical patent/DE102016118207A1/en
Application granted granted Critical
Publication of DE102016118207B4 publication Critical patent/DE102016118207B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Eine Halbleitervorrichtung umfasst einen ersten Gate-Aufbau, einen zweiten Gate-Aufbau, einen ersten Source/Drain-Aufbau und einen zweiten Source/Drain-Aufbau. Der erste Gate-Aufbau umfasst eine erste Gateelektrode, und eine erste Kappenisolierschicht, die auf der ersten Gateelektrode angeordnet ist. Der zweite Gate-Aufbau umfasst eine zweite Gateelektrode und eine erste leitende Kontaktschicht, die auf der ersten Gateelektrode angeordnet ist. Der erste Source/Drain-Aufbau umfasst eine erste Source/Drain-Leitschicht und eine zweite Kappenisolierschicht, die über der ersten Source/Drain-Leitschicht angeordnet ist. Der zweite Source/Drain-Aufbau umfasst eine zweite Source/Drain-Leitschicht und eine zweite leitende Kontaktschicht, die über der zweiten Source/Drain-Leitschicht angeordnet ist.A semiconductor device includes a first gate structure, a second gate structure, a first source / drain structure, and a second source / drain structure. The first gate structure includes a first gate electrode and a first cap insulating layer disposed on the first gate electrode. The second gate structure includes a second gate electrode and a first conductive contact layer disposed on the first gate electrode. The first source / drain structure includes a first source / drain conductive layer and a second cap insulating layer disposed over the first source / drain conductive layer. The second source / drain structure includes a second source / drain conductive layer and a second conductive contact layer disposed over the second source / drain conductive layer.

Description

VERWANDTE ANMELDUNGENRELATED APPLICATIONS

Diese Anmeldung beansprucht die Priorität der am 30. Dezember 2015 eingereichten vorläufigen US-Anmeldung Nr. 62/273,378, deren gesamte Inhalte hier durch Nennung aufgenommen werden.This application claims priority from US Provisional Application No. 62 / 273,378, filed Dec. 30, 2015, the entire contents of which are incorporated herein by reference.

TECHNISCHES GEBIETTECHNICAL AREA

Die Offenbarung betrifft ein Verfahren zur Herstellung einer Halbleitervorrichtung, und genauer einen Aufbau und ein Herstellungsverfahren für einen Selbstausrichtungskontakt oder einen Opferschichtaufbau über Source/Drain-Bereichen.The disclosure relates to a method of manufacturing a semiconductor device, and more particularly to a structure and method of manufacturing a self-aligned contact or a sacrificial layer over source / drain regions.

ALLGEMEINER STAND DER TECHNIKGENERAL PRIOR ART

Mit der Abnahme der Abmessungen von Halbleitervorrichtungen wird verbreitet ein Opferschichtaufbau (SAC) benutzt, um z. B. in einem Feldeffekttransistor (FET) Source/Drain(S/D)-Kontakte herzustellen, die dichter an Gate-Aufbauten liegen. Typischerweise wird eine SAC durch Strukturieren einer Zwischenschichtdielektrikums(ILD)-Schicht auf einer Gatestruktur und zwischen Seitenwand-Spacern hergestellt. Die SAC-Schicht wird durch eine dielektrische Füllung und eine Planarisierung nach einer Rückätzung des Metall-Gates gebildet. Die SAC-Schicht auf dem Gate, typischerweise als Nitrid, erzeugt verglichen mit dem Dielektrikum der ILD, das typischerweise ein Oxid ist, eine gute Ätzselektivität auf dem S/D. Dieser selektive Ätzprozess verbessert das S/D-Kontakt-Prozessfenster. Mit der Zunahme der Vorrichtungsdichte (d. h., der Abnahme der Abmessungen der Halbleitervorrichtung) wird die Dicke des Seitenwand-Spacers dünner, was einen Kurzschluss zwischen dem S/D-Kontakt und den Gateelektroden verursachen kann. Entsprechend war es nötig, SAC-Strukturen bereitzustellen, um das Prozessfenster der Bildung einer elektrischen Isolation zwischen den S/D-Kontakten und Gateelektroden zu erlangen.With the decrease in the dimensions of semiconductor devices, a sacrificial layer structure (SAC) is widely used to provide e.g. B. in a field effect transistor (FET) source / drain (S / D) to make contacts that are closer to gate structures. Typically, an SAC is made by patterning an interlayer dielectric (ILD) layer on a gate structure and between sidewall spacers. The SAC layer is formed by a dielectric filling and a planarization after etch back of the metal gate. The SAC layer on the gate, typically as a nitride, produces good etch selectivity on the S / D as compared to the dielectric of the ILD, which is typically an oxide. This selective etching process improves the S / D contact process window. With the increase in the device density (i.e., the decrease in the dimensions of the semiconductor device), the thickness of the sidewall spacer becomes thinner, which may cause a short circuit between the S / D contact and the gate electrodes. Accordingly, it has been necessary to provide SAC structures to achieve the process window of establishing electrical isolation between the S / D contacts and gate electrodes.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Die vorliegende Offenbarung wird am besten aus der folgenden ausführlichen Beschreibung verstanden, wenn diese mit den beiliegenden Zeichnungen gelesen wird. Es wird betont, dass verschiedene Merkmale gemäß der Standardpraxis in der Industrie nicht maßstabgetreu gezeichnet sind und nur zu Erläuterungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Besprechung beliebig vergrößert oder verkleinert sein.The present disclosure is best understood from the following detailed description when read with the accompanying drawings. It is emphasized that various features are not drawn to scale according to standard practice in the industry and are used for illustrative purposes only. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of the meeting.

1A zeigt eine beispielhafte Draufsicht (von oben her gesehen), die ein Stadium eines sequentiellen Herstellungsprozesses einer Halbleitervorrichtung nach einer Ausführungsform der vorliegenden Offenbarung veranschaulicht. 1B zeigt eine beispielhafte Schnittansicht entlang der Linie X1-X1 in 14A. 1C ist eine vergrößerte Ansicht des in 1B gezeigten Gate-Aufbaus. 1D zeigt eine beispielhafte perspektivische Ansicht, die ein Stadium eines sequentiellen Herstellungsprozesses einer Halbleitervorrichtung nach einer Ausführungsform der vorliegenden Offenbarung veranschaulicht. 1A FIG. 12 is an exemplary plan view (seen from above) illustrating a stage of a sequential manufacturing process of a semiconductor device according to an embodiment of the present disclosure. FIG. 1B shows an exemplary sectional view along the line X1-X1 in 14A , 1C is an enlarged view of the in 1B shown gate structure. 1D FIG. 12 is an exemplary perspective view illustrating a stage of a sequential manufacturing process of a semiconductor device according to an embodiment of the present disclosure. FIG.

2 bis 13 zeigen beispielhafte Schnittansichten, die verschiedene Stadien des sequentiellen Herstellungsprozesses einer Halbleitervorrichtung nach einer Ausführungsform der vorliegenden Offenbarung veranschaulichen. 2 to 13 10 are exemplary sectional views illustrating various stages of the sequential manufacturing process of a semiconductor device according to an embodiment of the present disclosure.

14 bis 23 zeigen beispielhafte Schnittansichten, die verschiedene Stadien des sequentiellen Herstellungsprozesses einer Halbleitervorrichtung nach einer anderen Ausführungsform der vorliegenden Offenbarung veranschaulichen. 14 to 23 10 are exemplary sectional views illustrating various stages of the sequential manufacturing process of a semiconductor device according to another embodiment of the present disclosure.

24 zeigt eine beispielhafte Schnittansicht, die einen der Vorteile der vorliegenden Ausführungsformen veranschaulicht. 24 shows an exemplary sectional view illustrating one of the advantages of the present embodiments.

25 zeigt einen beispielhaften Gestaltungsaufbau nach einer Ausführungsform der vorliegenden Offenbarung. 25 FIG. 12 shows an example layout structure according to an embodiment of the present disclosure. FIG.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Es versteht sich, dass die folgende Offenbarung viele verschiedene Ausführungsformen oder Beispiele zur Ausführung verschiedener Merkmale der Erfindung bietet. Nachstehend werden bestimmte Ausführungsformen von oder Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel sind Abmessungen von Elementen nicht auf den offenbarten Bereich oder die offenbarten Werte beschränkt, sondern können sie von Prozessbedingungen und/oder gewünschten Eigenschaften der Vorrichtung abhängen. Überdies kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen beinhalten, bei denen das erste und das zweite Merkmal in einem direkten Kontakt gebildet werden, und kann sie auch Ausführungsformen beinhalten, bei denen zwischen dem ersten und dem zweiten Merkmal zusätzliche Merkmale gebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in einem direkten Kontakt stehen. Verschiedene Merkmale können der Einfachheit und der Klarheit halber beliebig in unterschiedlichen Maßstäben gezeichnet sein.It should be understood that the following disclosure provides many different embodiments or examples for practicing various features of the invention. Hereinafter, certain embodiments of or examples of components and arrangements will be described to simplify the present disclosure. Of course these are just examples and should not be limiting. For example, dimensions of elements are not limited to the disclosed range or values, but may depend on process conditions and / or desired characteristics of the device. Moreover, the formation of a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which between the first and second features Feature additional features can be formed so that the first and the second feature may not be in direct contact. Various features may be arbitrarily drawn at different scales for simplicity and clarity.

Ferner können räumlich bezogene Ausdrücke wie ”unter”, ”unterhalb”, ”darunter”, ”über”, ”oberhalb” und dergleichen hier zur Erleichterung der Beschreibung verwendet sein, um die wie in den Figuren veranschaulichte Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben. Die räumlich bezogenen Ausdrücke sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad oder in andere Ausrichtungen gedreht) sein, und die hier verwendeten räumlich bezogenen Beschreiber können ebenfalls entsprechend interpretiert werden. Zudem kann der Ausdruck ”bestehend aus” entweder ”umfassend” oder ”bestehend aus” bedeuten. Further, spatially referenced terms such as "below,""below,""below,""above,""above," and the like may be used herein for ease of description to refer to the relationship of an element or feature as illustrated in the figures ) describe another element (s) or feature (s). The spatially referenced expressions, in addition to the orientation shown in the figures, are intended to encompass different orientations of the device in use or in operation. The device may be otherwise oriented (rotated 90 degrees or in other orientations), and the spatial descriptors used herein may also be interpreted accordingly. In addition, the term "consisting of" may mean either "comprising" or "consisting of".

1A und 1B zeigen ein Stadium eines sequentiellen Herstellungsprozesses einer Halbleitervorrichtung nach einer Ausführungsform der vorliegenden Offenbarung. 1A zeigt eine Draufsicht (eine Ansicht im Grundriss) und 1B zeigt eine Schnittansicht entlang der Linie X1-X1 in 1A. 1A and 1B show a stage of a sequential manufacturing process of a semiconductor device according to an embodiment of the present disclosure. 1A shows a plan view (a view in plan) and 1B shows a sectional view taken along the line X1-X1 in 1A ,

1A und 1B zeigen einen Aufbau einer Halbleitervorrichtung, nachdem Metall-Gate-Aufbauten gebildet wurden. In 1A und 1B sind Metall-Gate-Aufbauten 40 über einer Kanalschicht, zum Beispiel einem Teil eines über einem Substrat 10 gebildeten Finnenaufbaus 20, gebildet. Die Metall-Gate-Aufbauten 40 umfassen einen ersten bis vierten Metall-Gate-Aufbau 40A, 40B, 40C und 40D und erstrecken sich in der Y-Richtung und sind in der X-Richtung angeordnet. Die Dicke der Metall-Gate-Aufbauten 40 liegt bei einigen Ausführungsformen in einem Bereich von etwa 20 nm bis etwa 80 nm. Jeder der Gate-Aufbauten 40 umfasst eine Gatedielektrikumsschicht 42, eine Metall-Gateelektrode 44 und Seitenwand-Spacer 46, die an Hauptseitenwänden der Metall-Gateelektrode 44 bereitgestellt sind. Die Seitenwand-Spacer 46 bestehen aus zumindest einem aus SiN, SiON, SiCN oder SiOCN. Die Filmdicke der Seitenwand-Spacer 46 an der Unterseite der Seitenwand-Spacer liegt bei einigen Ausführungsformen in einem Bereich von etwa 3 nm bis etwa 15 nm und bei anderen Ausführungsformen in einem Bereich von etwa 4 nm bis etwa 8 nm. Ferner sind neben den Gate-Aufbauten Source/Drain-Bereiche 25 gebildet, und sind Räume zwischen den Gate-Aufbauten mit einer ersten Zwischenschichtdielektrikums(ILD)-Schicht 50 gefüllt. Die erste ILD-Schicht 50 umfasst eine oder mehrere Schichten aus einem Isoliermaterial wie etwa SiO2, SiON, SiOCN oder SiCN. Bei einer Ausführungsform wird SiO2 verwendet. In dieser Offenbarung werden eine Source und ein Drain austauschbar verwendet und bezieht sich ”Source/Drain” auf eines aus einer Source und einem Drain. 1A and 1B show a structure of a semiconductor device after metal gate structures have been formed. In 1A and 1B are metal gate structures 40 over a channel layer, for example a portion of one above a substrate 10 formed fin construction 20 , educated. The metal gate structures 40 include a first to fourth metal gate structure 40A . 40B . 40C and 40D and extend in the Y direction and are arranged in the X direction. The thickness of the metal gate assemblies 40 in some embodiments ranges from about 20 nm to about 80 nm. Each of the gate structures 40 includes a gate dielectric layer 42 a metal gate electrode 44 and sidewall spacers 46 at main sidewalls of the metal gate electrode 44 are provided. The sidewall spacers 46 consist of at least one of SiN, SiON, SiCN or SiOCN. The film thickness of the sidewall spacers 46 at the bottom of the sidewall spacers, in some embodiments, ranges from about 3 nm to about 15 nm, and in other embodiments, from about 4 nm to about 8 nm. Further, in addition to the gate structures, source / drain regions 25 and are spaces between the gate structures with a first interlayer dielectric (ILD) layer 50 filled. The first ILD layer 50 comprises one or more layers of an insulating material such as SiO 2 , SiON, SiOCN or SiCN. In one embodiment, SiO 2 is used. In this disclosure, a source and a drain are used interchangeably and refer "source / drain" to one of a source and a drain.

1C ist eine vergrößerte Ansicht des Gate-Aufbaus. Der Metall-Gate-Aufbau 40 umfasst eine oder mehrere Schichten 45 aus einem Metallmaterial wie etwa Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi und anderen leitfähigen Materialien. Eine Gatedielektrikumsschicht 42, die zwischen der Kanalschicht und der Metall-Gateelektrode 44 angeordnet ist, umfasst eine oder mehrere Schichten von Metalloxiden wie etwa einem High-k-Metalloxid. Beispiele für Metalloxide, die für High-k-Dielektrika verwendet werden, beinhalten Oxide von Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu und/oder Gemische davon. Bei einigen Ausführungsformen ist zwischen der Kanalschicht und der Gatedielektrikumsschicht 42 eine Grenzflächen-Dielektrikumsschicht 41, die zum Beispiel aus Siliziumdioxid besteht, gebildet. 1C is an enlarged view of the gate structure. The metal gate construction 40 includes one or more layers 45 of a metal material such as Al, Cu, W, Ti, Ta, TiN, TiAl, TiAlC, TiAlN, TaN, NiSi, CoSi, and other conductive materials. A gate dielectric layer 42 between the channel layer and the metal gate electrode 44 comprises one or more layers of metal oxides such as a high-k metal oxide. Examples of metal oxides used for high-k dielectrics include oxides of Li, Be, Mg, Ca, Sr, Sc, Y, Zr, Hf, Al, La, Ce, Pr, Nd, Sm, Eu, Gd , Tb, Dy, Ho, Er, Tm, Yb, Lu and / or mixtures thereof. In some embodiments, there is between the channel layer and the gate dielectric layer 42 an interface dielectric layer 41 formed of, for example, silicon dioxide.

Bei einigen Ausführungsformen sind zwischen die Gatedielektrikumsschicht 42 und das Metallmaterial 45 eine oder mehrere Austrittsarbeitsregulierungsschichten 43 eingefügt. Die Austrittsarbeitsregulierungsschichten 43 bestehen aus einem leitenden Material wie etwa einer Einzelschicht aus TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi oder TiAlC oder einer Mehrfachschicht aus zwei oder mehr dieser Materialien. Für einen n-Kanal-FET werden eines oder mehrere aus TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi und TaSi als Austrittsarbeitsregulierungsschicht verwendet, und für einen p-Kanal-FET werden eines oder mehrere aus TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC und Co als Austrittsarbeitsregulierungsschicht verwendet.In some embodiments, there are between the gate dielectric layer 42 and the metal material 45 one or more work function regulating layers 43 inserted. The work function control layers 43 consist of a conductive material such as a single layer of TiN, TaN, TaAlC, TiC, TaC, Co, Al, TiAl, HfTi, TiSi, TaSi or TiAlC or a multiple layer of two or more of these materials. For an n-channel FET, one or more of TaN, TaAlC, TiN, TiC, Co, TiAl, HfTi, TiSi, and TaSi are used as the work function regulating layer, and for a p-channel FET, one or more of TiAlC, Al, TiAl, TaN, TaAlC, TiN, TiC and Co are used as the work function regulating layer.

Bei dieser Ausführungsform werden Fin-Feldeffekttransistoren (FinFETs), die durch einen Gateersatzprozess hergestellt wurden, eingesetzt.In this embodiment, fin field effect transistors (FinFETs) made by a gate replacement process are used.

1D zeigt eine beispielhafte perspektivische Ansicht eines FinFET-Aufbaus. 1D shows an exemplary perspective view of a FinFET structure.

Zuerst wird ein Finnenaufbau 310 über einem Substrat 300 hergestellt. Der Finnenaufbau umfasst einen unteren Bereich und einen oberen Bereich als Kanalbereich 315. Das Substrat ist zum Beispiel ein p-Typ-Siliziumsubstrat mit einer Verunreinigungskonzentration in einem Bereich von etwa 1 × 1015 cm–3 bis etwa 1 × 1018 cm–3. Bei anderen Ausführungsformen ist das Substrat ein n-Typ-Siliziumsubstrat mit einer Verunreinigungskonzentration in einem Bereich von etwa 1 × 1015 cm–3 bis etwa 1 × 1018 cm–3. Alternativ kann das Substrat einen anderen elementaren Halbleiter wie etwa Germanium; einen Verbindungshalbleiter, der Gruppe-IV-IV-Verbindungshalbleiter wie etwa SiC und SiGe, Gruppe-III-V-Verbindungshalbleiter wie etwa GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, und/oder GaInAsP umfasst; oder Kombinationen davon umfassen. Bei einer Ausführungsform ist das Substrat eine Siliziumschicht eines SOI(Silizium-auf-Isolator)-Substrats.First, a fin construction 310 over a substrate 300 produced. The fin structure includes a lower portion and an upper portion as a channel portion 315 , The substrate is, for example, a p-type silicon substrate having an impurity concentration in a range of about 1 × 10 15 cm -3 to about 1 × 10 18 cm -3 . In other embodiments, the substrate is an n-type silicon substrate having an impurity concentration in a range of about 1 × 10 15 cm -3 to about 1 × 10 18 cm -3 . Alternatively, the substrate may comprise another elemental semiconductor such as germanium; a compound semiconductor containing Group IV-IV compound semiconductors such as SiC and SiGe, Group III-V compound semiconductors such as GaAs, GaP, GaN, InP, InAs, InSb, GaAsP, AlGaN, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP; or combinations thereof. At a Embodiment, the substrate is a silicon layer of a SOI (silicon on insulator) substrate.

Nach dem Bilden des Finnenaufbaus 310 wird über dem Aufbau 310 eine Isolationsisolierschicht 320 gebildet. Die Isolationsisolierschicht 320 umfasst eine oder mehrere Schichten aus Isoliermaterialien wie etwa Siliziumoxid, Siliziumoxinitrid oder Siliziumnitrid, die durch LPCVD (chemische Abscheidung aus der Dampfphase bei Niederdruck), Plasma-CVD oder fließfähige CVD gebildet sind. Die Isolationsisolierschicht kann durch eine oder mehrere Schichten aus Spin-On-Glass (SOG), SiO, SiON, SiOCN und oder fluordotiertem Silikatglas (FSG) gebildet sein.After making the fin construction 310 will be over the construction 310 an insulation insulating layer 320 educated. The insulation insulating layer 320 comprises one or more layers of insulating materials such as silicon oxide, silicon oxynitride or silicon nitride formed by LPCVD (low pressure chemical vapor deposition), plasma CVD or flowable CVD. The insulation insulating layer may be formed by one or more layers of spin-on-glass (SOG), SiO, SiON, SiOCN, and / or fluorine-doped silicate glass (FSG).

Nach dem Bilden der Isolationsisolierschicht 320 über dem Finnenaufbau wird eine Planarisierungstätigkeit vorgenommen, um einen Teil der Isolationsisolierschicht 320 zu entfernen. Die Planarisierungstätigkeit kann ein chemisch-mechanisches Polieren(CMP) und/oder einen Rückätzprozess umfassen. Dann wird die Isolationsisolierschicht 320 weiter entfernt (vertieft), damit der obere Bereich des Finnenaufbaus freigelegt wird.After forming the insulation insulating layer 320 A planarization operation is performed over the fin structure to form part of the insulation insulating layer 320 to remove. The planarization activity may include a chemical mechanical polishing (CMP) and / or an etch back process. Then, the insulation insulating layer becomes 320 further (recessed), so that the upper area of the fin structure is exposed.

Über dem freigelegten Finnenaufbau wird ein Dummy-Gate-Aufbau gebildet. Der Dummy-Gate-Aufbau umfasst eine Dummy-Gateelektrodenschicht, die aus Polysilizium gebildet ist, und eine Dummy-Gatedielektrikumsschicht. An Seitenwänden der Dummy-Gateelektrodenschicht werden auch Seitenwand-Spacer 350, die eine oder mehrere Schichten aus Isoliermaterialien umfassen, gebildet. Nach der Bildung des Dummy-Gate-Aufbaus wird der Finnenaufbau 310, der nicht von dem Dummy-Gate-Aufbau bedeckt ist, unter die obere Fläche der Isolationsisolierschicht 320 vertieft. Dann wird über dem vertieften Finnenaufbau unter Verwendung eines Epitaxialwachstumsverfahrens ein Source/Drain-Bereich 360 gebildet. Der Source/Drain-Bereich kann ein Dehnungsmaterial umfassen, um auf den Kanalbereich 315 eine Beanspruchung auszuüben.Over the exposed fin structure, a dummy gate structure is formed. The dummy gate structure includes a dummy gate electrode layer formed of polysilicon and a dummy gate dielectric layer. Sidewalls of the dummy gate electrode layer also have sidewall spacers 350 comprising one or more layers of insulating materials. After the formation of the dummy gate structure, the fin structure becomes 310 which is not covered by the dummy gate structure, under the upper surface of the insulation insulating layer 320 deepened. Then, a source / drain region is formed over the recessed fin structure using an epitaxial growth process 360 educated. The source / drain region may include a strain material to access the channel region 315 to exercise a strain.

Dann wird über dem Dummy-Elektrodenaufbau und dem Source/Drain-Bereich 360 eine Zwischenschichtdielektrikums-Schicht (ILD) 370 gebildet. Nach einer Planarisierungstätigkeit wird der Dummy-Gate-Aufbau entfernt, um einen Gateraum herzustellen. Dann wird in dem Gateraum ein Metall-Gate-Aufbau 330 gebildet, der eine Metall-Gateelektrode und eine Gatedielektrikumsschicht wie etwa eine High-k-Dielektrikumsschicht umfasst. In 1D ist die Ansicht von Teilen des Metall-Gate-Aufbaus 330, der Seitenwände 330 und der ILD 370 geschnitten, um den darunter befindlichen Aufbau zu zeigen.Then, over the dummy electrode assembly and the source / drain region 360 an interlayer dielectric (ILD) layer 370 educated. After a planarization operation, the dummy gate assembly is removed to create a gate space. Then, in the gate room, a metal gate assembly 330 formed comprising a metal gate electrode and a gate dielectric layer such as a high-k dielectric layer. In 1D is the view of parts of the metal gate construction 330 , the side walls 330 and the ILD 370 cut to show the underlying structure.

Der Metall-Gate-Aufbau 330 und die Seitenwände 330, die Source/der Drain 360 und die ILD 370 von 1D entsprechen im Wesentlichen jeweils dem Metall-Gate-Aufbau 40, den Source/Drain-Bereichen 25 und der ersten Zwischenschichtdielektrikums-Schicht (ILD) 50 von 1A und 1B.The metal gate construction 330 and the side walls 330 , the source / the drain 360 and the ILD 370 from 1D essentially correspond to the metal gate structure, respectively 40 , the source / drain regions 25 and the first interlayer dielectric layer (ILD) 50 from 1A and 1B ,

2 bis 13 zeigen beispielhafte Schnittansichten, die der Linie X1-X1 in 1A entsprechen und verschiedene Stadien des sequentiellen Herstellungsprozesses einer Halbleitervorrichtung nach einer Ausführungsform der vorliegenden Offenbarung veranschaulichen. Es versteht sich, das vor, während und nach den Prozessen, die durch 2 bis 13 gezeigt sind, zusätzliche Tätigkeiten bereitgestellt sein können, und dass einige der Tätigkeiten, die nachstehend beschrieben sind, für zusätzliche Ausführungsformen des Verfahrens ersetzt oder beseitigt sein können. Die Reihenfolge der Tätigkeiten/Prozesse kann austauschbar sein. 2 to 13 show exemplary sectional views taken along the line X1-X1 in FIG 1A and illustrate various stages of the sequential manufacturing process of a semiconductor device according to an embodiment of the present disclosure. It is understood that before, during and after the processes by 2 to 13 may be provided, additional activities may be provided, and that some of the activities described below may be substituted or eliminated for additional embodiments of the method. The sequence of activities / processes can be interchangeable.

Wie in 2 gezeigt werden die Metall-Gateelektroden 44 durch einen Trocken- und/oder einen Nassätzprozess unter die obere Fläche der Seitenwand-Spacer 46 vertieft. Die verbleibende Höhe H1 der vertieften Gateelektrode 44 liegt bei einigen Ausführungsformen in einem Bereich von etwa 15 nm bis etwa 50 nm.As in 2 the metal gate electrodes are shown 44 by a dry and / or a wet etching process under the upper surface of the sidewall spacers 46 deepened. The remaining height H1 of the recessed gate electrode 44 is in a range of about 15 nm to about 50 nm in some embodiments.

Nachdem die Gateelektroden 44 vertieft wurden, wird wie in 2 gezeigt eine erste Deckschicht 61 aus einem ersten Isoliermaterial gebildet. Das erste Isoliermaterial umfasst eines oder mehrere aus SiC, SiON, SiOCN, SiCN und SiN.After the gate electrodes 44 are deepened, as in 2 shown a first cover layer 61 formed from a first insulating material. The first insulating material includes one or more of SiC, SiON, SiOCN, SiCN and SiN.

An der Deckschicht 61 wird eine Planarisierungstätigkeit wie etwa ein Rückätzprozess oder ein chemisch-mechanischer Polierprozess (CMP) vorgenommen, damit die Gate-Kappenisolierschichten 60 über der Gateelektrode 44 gebildet werden, wie in 3 gezeigt ist.At the top layer 61 For example, a planarizing operation such as an etch back process or a chemical mechanical polishing (CMP) process is performed to allow the gate cap insulating layers 60 over the gate electrode 44 be formed as in 3 is shown.

Wie in 4 gezeigt wird die erste ILD-Schicht 50 durch eine Trocken- und/oder eine Nassätzung entfernt, wodurch Öffnungen 65 gebildet werden und die Source/Drain-Bereiche 25 an den Böden der Öffnungen 65 freigelegt werden.As in 4 the first ILD layer is shown 50 removed by a dry and / or a wet etching, whereby openings 65 are formed and the source / drain regions 25 at the bottoms of the openings 65 be exposed.

Anschließend wird eine Deckschicht aus einem ersten leitenden Material 71 gebildet, wie in 5 gezeigt ist. Das erste leitende Material 71 umfasst eines oder mehrere aus W, Co, Ni oder Ti. An der Grenzfläche zwischen dem ersten leitenden Material 71 und dem Source/Drain-Aufbau 25 kann eine Silizidschicht wie etwa WSi, CoSi2 oder TiSi gebildet werden. Bei einer Ausführungsform wird W verwendet.Subsequently, a cover layer of a first conductive material 71 formed as in 5 is shown. The first conductive material 71 includes one or more of W, Co, Ni or Ti. At the interface between the first conductive material 71 and the source / drain structure 25 For example, a silicide layer such as WSi, CoSi 2 or TiSi may be formed. In one embodiment, W is used.

An der Deckschicht 71 wird eine Planarisierungstätigkeit wie etwa ein Rückätzprozess oder ein CMP-Prozess vorgenommen, damit über den Source/Drain-Bereichen 25 die Source/Drain-Leitschichten 70 gebildet werden, wie in 6 gezeigt ist.At the top layer 71 For example, a planarization operation, such as an etch back process or a CMP process, is performed over the source / drain regions 25 the source / drain conductive layers 70 be formed as in 6 is shown.

Dann werden wie in 7 gezeigt die Source/Drain-Leitschichten 70 durch eine Trocken- und/oder eine Nassätztätigkeit unter die obere Fläche der Seitenwand-Spacer 46 vertieft. Die verbleibende Höhe H2 der Source/Drain-Leitschicht 70 liegt bei einigen Ausführungsformen in einem Bereich von etwa 15 nm bis etwa 50 nm. Then be like in 7 show the source / drain conductive layers 70 by a dry and / or wet etch action under the top surface of the sidewall spacers 46 deepened. The remaining height H2 of the source / drain conductive layer 70 is in a range of about 15 nm to about 50 nm in some embodiments.

Anschließend wird wie in 8 gezeigt eine Deckschicht aus einem zweiten Isoliermaterial 81 gebildet. Das zweite Isoliermaterial 81 unterscheidet sich von dem ersten Isoliermaterial 61 und umfasst eines oder mehrere aus SiC, SiON, Al2O3, SiOCN, SiCN und SiN. Die beiden Materialien für das erste und das zweite Isoliermaterial sind austauschbar, um unterschiedliche Prozessanforderungen zu erfüllen.Subsequently, as in 8th shown a cover layer of a second insulating material 81 educated. The second insulating material 81 differs from the first insulating material 61 and comprises one or more of SiC, SiON, Al 2 O 3 , SiOCN, SiCN and SiN. The two materials for the first and second insulating materials are interchangeable to meet different process requirements.

An der Deckschicht 81 wird eine Planarisierungstätigkeit wie etwa ein Rückätzprozess oder ein CMP-Prozess vorgenommen, damit über den Source/Drain-Leitschichten 70 Source/Drain-Kappenisolierschichten 80 gebildet werden, wie in 9 gezeigt ist. Wie in 9 gezeigt sind mehrere Gate-Aufbauten, die sich in der Y-Richtung erstrecken, in gleichen Abständen in der X-Richtung angeordnet. Jeder der Gate-Aufbauten umfasst eine Gateelektrode 44 eine Gate-Kappenisolierschicht 60, die über der Gateelektrode 44 angeordnet ist, und Seitenwand-Spacer 46, die an entgegengesetzten Seitenflächen der Gateelektrode 44 und der Gate-Kappenisolierschicht 60 angeordnet sind. Ferner sind zwischen zwei benachbarten Gate-Aufbauten mehrere Source/Drain-Aufbauten angeordnet. Jeder der Source/Drain-Aufbauten umfasst eine Source/Drain-Leitschicht 70 und eine Source/Drain-Kappenisolierschicht 80, die über der Source/Drain-Leitschicht 70 angeordnet ist.At the top layer 81 For example, a planarization operation, such as an etch back process or a CMP process, is performed over the source / drain conductive layers 70 Source / drain Kappenisolierschichten 80 be formed as in 9 is shown. As in 9 As shown, a plurality of gate structures extending in the Y direction are arranged at equal intervals in the X direction. Each of the gate structures comprises a gate electrode 44 a gate cap insulating layer 60 that over the gate electrode 44 is arranged, and sidewall spacers 46 located on opposite side surfaces of the gate electrode 44 and the gate cap insulating layer 60 are arranged. Furthermore, a plurality of source / drain structures are arranged between two adjacent gate structures. Each of the source / drain structures comprises a source / drain conductive layer 70 and a source / drain cap insulating layer 80 passing over the source / drain conductive layer 70 is arranged.

Die Dicke H3 der Gate-Kappenisolierschicht 60 liegt bei einigen Ausführungsformen in einem Bereich von etwa 10 nm bis etwa 40 nm. Die Dicke H4 der Source/Drain-Kappenisolierschicht 80 liegt bei einigen Ausführungsformen in einem Bereich von etwa 10 nm bis etwa 40 nm.The thickness H3 of the gate cap insulating layer 60 is in a range of about 10 nm to about 40 nm in some embodiments. The thickness H4 of the source / drain cap insulating layer 80 in some embodiments ranges from about 10 nm to about 40 nm.

Als nächstes werden wie in 10 gezeigt zumindest ein Gate-Aufbau (z. B. die Gate-Aufbauten 40C und 40D) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht durch eine erste Maskenschicht 72 abgedeckt, während zumindest ein Gate-Aufbau (z. B. 40A und 40B) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht freigelegt sind. Dann werden die Gate-Kappenisolierschichten 60 selektiv entfernt wodurch eine Gate-Öffnung 85 gebildet wird.Next, as in 10 shown at least one gate structure (eg, the gate structures 40C and 40D ) and at least one source / drain structure having the source / drain cap insulating layer through a first mask layer 72 while at least one gate structure (eg. 40A and 40B ) and at least one source / drain structure are exposed with the source / drain cap insulating layer. Then, the gate cap insulating layers become 60 selectively removes a gate opening 85 is formed.

Hier bestehen die Gate-Kappenisolierschicht 60, die Source/Drain-Kappenisolierschicht 80 und die Seitenwand-Spacer 45 aus unterschiedlichen Isoliermaterialien. Insbesondere sind die Source/Drain-Kappenisolierschicht 80 und die Seitenwand-Spacer 45 Materialien mit einer hohen Ätzselektivität (etwa 4 oder mehr) gegenüber der Gate-Kappenisolierschicht 60 beim Ätzen der Gate-Kappenisolierschicht 60. Bei einigen Ausführungsformen beträgt die Ätzselektivität etwa 6 bis 20. Entsprechend kann die Gate-Kappenisolierschicht 60 auf eine selbstausgerichtete Weise selektiv entfernt werden. Wie in 10 gezeigt kann sich ein Rand der Öffnungsstruktur der ersten Maskenschicht 72 auf zumindest einer Source/Drain-Kappenisolierschicht 80 befinden.Here, the gate cap insulating layer exists 60 , the source / drain cap insulating layer 80 and the sidewall spacers 45 made of different insulating materials. In particular, the source / drain cap insulating layer is 80 and the sidewall spacers 45 Materials having a high etch selectivity (about 4 or more) over the gate cap insulating layer 60 during etching of the gate cap insulating layer 60 , In some embodiments, the etch selectivity is about 6 to 20. Accordingly, the gate cap insulating layer 60 be selectively removed in a self-aligned manner. As in 10 an edge of the opening structure of the first mask layer can be shown 72 on at least one source / drain cap insulating layer 80 are located.

Bei einigen Ausführungsformen wird über dem Aufbau von 9 vor der Bildung der ersten Maskenschicht 72 eine zweite ILD-Schicht 110 (siehe 24) gebildet, die zum Beispiel aus SiO2 (oder einem oder mehreren aus SiON, SiOCN, SiCN oder SiCO) besteht. In einem solchen Fall wird zuerst die zweite ILD unter Verwendung der ersten Maskenschicht 72 als Ätzmaske geätzt, und werden dann die Gate-Kappenisolierschichten 60 geätzt. Die Ätzbedingung für das Ätzen der zweiten ILD kann sich von der Ätzbedingung für das Ätzen der Gate-Kappenisolierschichten unterscheiden.In some embodiments, the structure of 9 before the formation of the first mask layer 72 a second ILD layer 110 (please refer 24 ) composed of, for example, SiO 2 (or one or more of SiON, SiOCN, SiCN or SiCO). In such a case, first the second ILD is made using the first mask layer 72 etched as an etch mask, and then become the gate cap insulating layers 60 etched. The etching condition for the etching of the second ILD may be different from the etching condition for the etching of the gate cap insulating layers.

In gleicher Weise werden wie in 11 gezeigt zumindest ein Gate-Aufbau (z. B. die Gate-Aufbauten 40A und 40A) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht durch eine zweite Maskenschicht 74 abgedeckt, während zumindest ein Gate-Aufbau (z. B. 40D) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht freigelegt sind. Dann werden die Source/Drain-Kappenisolierschichten 80 selektiv entfernt, wodurch eine Source/Drain-Öffnung 87 gebildet wird. Hier sind die Gate-Kappenisolierschicht 60 und die Seitenwand-Spacer 45 Materialien mit einer hohen Ätzselektivität (etwa 4 oder mehr) gegenüber der Source/Drain-Kappenisolierschicht 80 beim Ätzen der Source/Drain-Kappenisolierschicht 80. Bei einigen Ausführungsformen beträgt die Ätzselektivität etwa 6 bis 20. Entsprechend kann die Source/Drain-Kappenisolierschicht 80 auf eine selbstausgerichtete Weise selektiv entfernt werden. Wie in 11 gezeigt kann sich ein Rand der Öffnungsstruktur der zweiten Maskenschicht 74 auf zumindest einer Gate-Kappenisolierschicht 60 befinden.In the same way as in 11 shown at least one gate structure (eg, the gate structures 40A and 40A ) and at least one source / drain structure having the source / drain cap insulating layer through a second mask layer 74 while at least one gate structure (eg. 40D ) and at least one source / drain structure are exposed with the source / drain cap insulating layer. Then, the source / drain cap insulating layers become 80 selectively removed, creating a source / drain opening 87 is formed. Here are the gate cap insulating layer 60 and the sidewall spacers 45 Materials having a high etch selectivity (about 4 or more) over the source / drain capping insulating layer 80 during the etching of the source / drain cap insulating layer 80 , In some embodiments, the etch selectivity is about 6 to 20. Accordingly, the source / drain cap insulating layer may be 80 be selectively removed in a self-aligned manner. As in 11 an edge of the opening structure of the second mask layer can be shown 74 on at least one gate cap insulating layer 60 are located.

Die Reihenfolge des Entfernens der Gate-Kappenisolierschicht 60 und des Entfernens der Source/Drain-Kappenisolierschicht 80 ist austauschbar.The order of removal of the gate cap insulating layer 60 and removing the source / drain cap insulating layer 80 is exchangeable.

Anschließend wird wie in 12 gezeigt eine Deckschicht 101 aus einem zweiten leitenden Material gebildet. Das zweite leitende Material umfasst eines oder mehrere aus Cu, W, Co, Ni, Ti oder einer Legierung davon.Subsequently, as in 12 shown a cover layer 101 formed of a second conductive material. The second conductive material comprises one or more of Cu, W, Co, Ni, Ti or an alloy thereof.

Wie in 13 gezeigt wird an der Deckschicht 101 eine Planarisierungstätigkeit wie etwa ein Rückätzprozess oder ein CMP-Prozess vorgenommen, damit über der Gateelektrode und den Source/Drain-Leitschichten 70 Gate-Kontaktschichten 100 und Source/Drain-Kontaktschichten 105 gebildet werden. As in 13 is shown on the cover layer 101 a planarization operation such as an etch back process or a CMP process is performed so that over the gate electrode and the source / drain conductive layers 70 Gate contact layers 100 and source / drain contact layers 105 be formed.

Es versteht sich, dass die Vorrichtung, die in 13 gezeigt ist, weiteren CMOS-Prozessen unterzogen wird, um verschiedene Merkmale wie Zwischenverbindungs-Metallschichten, dielektrische Schichten, Passivierungsschichten usw. zu bilden.It is understood that the device used in 13 is subjected to further CMOS processes to form various features such as interconnect metal layers, dielectric layers, passivation layers, and so on.

14 bis 23 zeigen beispielhafte Schnittansichten, die verschiedene Stadien des sequentiellen Herstellungsprozesses einer Halbleitervorrichtung nach einer anderen Ausführungsform der vorliegenden Offenbarung veranschaulichen. Es versteht sich, das vor, während und nach den Prozessen, die durch 14 bis 23 gezeigt sind, zusätzliche Tätigkeiten bereitgestellt sein können, und dass einige der Tätigkeiten, die nachstehend beschrieben sind, für zusätzliche Ausführungsformen des Verfahrens ersetzt oder beseitigt sein können. Die Reihenfolge der Tätigkeiten/Prozesse kann austauschbar sein. Was die Gestaltungen, Aufbauten, Materialien, Prozesse und/oder Tätigkeiten betrifft, können bei dieser Ausführungsform im Wesentlichen die gleichen wie bei der obigen Ausführungsform angewendet werden, und es kann auf ihre ausführliche Erklärung verzichtet sein. 14 to 23 10 are exemplary sectional views illustrating various stages of the sequential manufacturing process of a semiconductor device according to another embodiment of the present disclosure. It is understood that before, during and after the processes by 14 to 23 may be provided, additional activities may be provided, and that some of the activities described below may be substituted or eliminated for additional embodiments of the method. The sequence of activities / processes can be interchangeable. As for the configurations, structures, materials, processes and / or activities, in this embodiment, substantially the same as the above embodiment can be applied, and their detailed explanation can be omitted.

Nach der Bildung des Aufbaus von 3 wird zumindest einer der Source/Drain-Bereiche mit der ersten ILD 50 durch eine Maskenschicht 53 abgedeckt, wie in 13 gezeigt ist. Die Maskenschicht 53 umfasst eine Hartmaskenschicht 52 und eine organische Harzschicht 54. Die Hartmaskenschicht 52 umfasst eine oder mehrere Schichten aus TiN, SiN, Ti, Si, TiO2 und SiO2. Bei einer Ausführungsform wird eine Stapelschicht aus SiO2/Si/SiO2 verwendet. Auf der Silizium/Oxid-Stapelschicht der Hartmaskenschicht 52 wird eine Photoresistschicht oder eine untere Antireflexionsbeschichtungsschicht 54 gebildet.After the formation of the construction of 3 becomes at least one of the source / drain regions with the first ILD 50 through a mask layer 53 covered, as in 13 is shown. The mask layer 53 includes a hardmask layer 52 and an organic resin layer 54 , The hard mask layer 52 comprises one or more layers of TiN, SiN, Ti, Si, TiO 2 and SiO 2 . In one embodiment, a stacked layer of SiO 2 / Si / SiO 2 is used. On the silicon / oxide stacking layer of the hardmask layer 52 becomes a photoresist layer or a bottom anti-reflective coating layer 54 educated.

Durch Verwenden der Maskenschicht 53 als Ätzmaske werden die ersten ILD-Schichten 50 von den Source/Drain-Bereichen, die nicht von der Maskenschicht 53 bedeckt sind, entfernt.By using the mask layer 53 the first ILD layers are used as the etching mask 50 from the source / drain regions that are not from the mask layer 53 are covered, removed.

Dann wird ähnlich wie in 5 eine Deckschicht aus einem ersten leitenden Material 71 gebildet, wie in 15 gezeigt ist. Vor dem Bilden der ersten leitenden Materialschicht wird zumindest die organische Harzschicht 54 entfernt. Anschließend wird an der Deckschicht 71 eine Planarisierungstätigkeit wie etwa ein Rückätzprozess oder ein CMP-Prozess vorgenommen, damit die Source/Drain-Leitschichten 70 über den Source/Drain-Bereichen 25 gebildet werden, wie in 16 gezeigt ist. Durch die Planarisierungstätigkeit wird die Hartmaskenschicht 52 entfernt.Then it will be similar to in 5 a cover layer of a first conductive material 71 formed as in 15 is shown. Before forming the first conductive material layer, at least the organic resin layer becomes 54 away. Subsequently, the cover layer is applied 71 a planarization operation such as an etch back process or a CMP process is performed to allow the source / drain conductive layers 70 over the source / drain regions 25 be formed as in 16 is shown. The planarization activity becomes the hard mask layer 52 away.

Als nächstes werden ähnlich wie in 7 die Source/Drain-Leitschichten 70 durch eine Trocken- und/oder eine Nassätztätigkeit unter die obere Fläche der Seitenwand-Spacer 46 vertieft, wie in 17 gezeigt ist.Next will be similar to in 7 the source / drain conductive layers 70 by a dry and / or wet etch action under the top surface of the sidewall spacers 46 deepened, as in 17 is shown.

Anschließend wird ähnlich wie in 8 eine Deckschicht aus einem zweiten Isoliermaterial 81 gebildet, wie in 18 gezeigt ist. Ähnlich wie in 9 wird an der Deckschicht 81 eine Planarisierungstätigkeit wie etwa ein Rückätzprozess oder ein CMP-Prozess vorgenommen, damit die Source/Drain-Kappenisolierschichten 80 über den Source/Drain-Leitschichten 70 gebildet werden, wie in 19 gezeigt ist.Subsequently, similar to in 8th a cover layer of a second insulating material 81 formed as in 18 is shown. Similar to in 9 gets on the topcoat 81 a planarization operation such as an etch back process or a CMP process is performed to allow the source / drain cap insulating layers 80 over the source / drain conductive layers 70 be formed as in 19 is shown.

Als nächstes werden ähnlich wie in 10 zumindest ein Gate-Aufbau (z. B. die Gate-Aufbauten 40C und 40D) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht durch eine erste Maskenschicht 72 abgedeckt, während zumindest ein Gate-Aufbau (z. B. 40A und 40B) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht freigelegt sind. Dann werden die Gate-Kappenisolierschichten 60 selektiv entfernt, wodurch eine Gate-Öffnung 85 gebildet wird, wie in 20 gezeigt ist. Wie in 20 gezeigt kann sich ein Rand der Öffnungsstruktur der ersten Maskenschicht 72 auf der ersten ILD-Schicht 50 befindet, die auf zumindest einem Source/Drain-Bereich 25 angeordnet ist.Next will be similar to in 10 at least one gate structure (eg the gate structures 40C and 40D ) and at least one source / drain structure having the source / drain cap insulating layer through a first mask layer 72 while at least one gate structure (eg. 40A and 40B ) and at least one source / drain structure are exposed with the source / drain cap insulating layer. Then, the gate cap insulating layers become 60 selectively removed, creating a gate opening 85 is formed as in 20 is shown. As in 20 an edge of the opening structure of the first mask layer can be shown 72 on the first ILD layer 50 located on at least one source / drain area 25 is arranged.

Hier bestehen die Gate-Kappenisolierschicht 60, die Source/Drain-Kappenisolierschicht 80, die Seitenwand-Spacer 45 und die erste ILD-Schicht 50 aus unterschiedlichen Isoliermaterialien. Insbesondere sind die Source/Drain-Kappenisolierschicht 80, die Seitenwand-Spacer 45 und die erste ILD-Schicht 50 Materialien mit einer hohen Ätzselektivität (etwa 4 oder mehr) gegenüber der Gate-Kappenisolierschicht 60 beim Ätzen der Gate-Kappenisolierschicht 60. Bei einigen Ausführungsformen beträgt die Ätzselektivität etwa 6 bis 20. Entsprechend kann die Gate-Kappenisolierschicht 60 auf eine selbstausgerichtete Weise selektiv entfernt werden.Here, the gate cap insulating layer exists 60 , the source / drain cap insulating layer 80 , the sidewall spacer 45 and the first ILD layer 50 made of different insulating materials. In particular, the source / drain cap insulating layer is 80 , the sidewall spacer 45 and the first ILD layer 50 Materials having a high etch selectivity (about 4 or more) over the gate cap insulating layer 60 during etching of the gate cap insulating layer 60 , In some embodiments, the etch selectivity is about 6 to 20. Accordingly, the gate cap insulating layer 60 be selectively removed in a self-aligned manner.

Ähnlich wie in 11 werden zumindest ein Gate-Aufbau (z. B. die Gate-Aufbauten 40A und 40B) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht durch eine zweite Maskenschicht 74 abgedeckt, während zumindest ein Gate-Aufbau (z. B. 40D) und zumindest ein Source/Drain-Aufbau mit der Source/Drain-Kappenisolierschicht freigelegt sind. Dann wird die Source/Drain-Kappenisolierschicht 80 selektiv entfernt, wodurch eine Source/Drain-Öffnung 87 gebildet wird, wie in 21 gezeigt ist. Wie in 21 gezeigt kann sich ein Rand der Öffnungsstruktur der zweiten Maskenschicht 74 auf zumindest einer Gate-Kappenisolierschicht befinden.Similar to in 11 be at least one gate structure (eg., The gate structures 40A and 40B ) and at least one source / drain structure having the source / drain cap insulating layer through a second mask layer 74 while at least one gate structure (eg. 40D ) and at least one source / drain structure are exposed with the source / drain cap insulating layer. Then, the source / drain cap insulating layer becomes 80 selectively removed, creating a source / drain opening 87 is formed as in 21 is shown. As in 21 an edge of the opening structure of the second mask layer can be shown 74 on at least one gate cap insulating layer.

Die Reihenfolge des Entfernens der Gate-Kappenisolierschicht 60 und des Entfernens der Source/Drain-Kappenisolierschicht 80 ist austauschbar.The order of removal of the gate cap insulating layer 60 and removing the source / drain cap insulating layer 80 is exchangeable.

Anschließend wird ähnlich wie in 12 eine Deckschicht 101 aus einem zweiten leitenden Material gebildet, wie in 22 gezeigt ist. An der Deckschicht 101 wird eine Planarisierungstätigkeit wie etwa ein Rückätzprozess oder ein CMP-Prozess vorgenommen, damit über der Gateelektrode 44 und den Source/Drain-Leitschichten 70 Gate-Kontaktschichten 100 und Source/Drain-Kontaktschichten 105 gebildet werden, wie in 23 gezeigt ist.Subsequently, similar to in 12 a cover layer 101 formed of a second conductive material, as in 22 is shown. At the top layer 101 For example, a planarization operation, such as an etch back process or a CMP process, is performed over the gate electrode 44 and the source / drain conductive layers 70 Gate contact layers 100 and source / drain contact layers 105 be formed as in 23 is shown.

Es versteht sich, dass die in 23 gezeigte Vorrichtung weiteren CMOS-Prozessen unterzogen wird, um verschiedene Merkmale wie Zwischenverbindungs-Metallschichten, dielektrische Schichten, Passivierungsschichten usw. zu bilden.It is understood that in 23 is subjected to further CMOS processes to form various features such as interconnect metal layers, dielectric layers, passivation layers, and so on.

Die verschiedenen Ausführungsformen oder Beispiele, die hier beschrieben sind, bieten gegenüber der bestehenden Technik etliche Vorteile.The various embodiments or examples described herein offer several advantages over the existing art.

24 zeigt eine beispielhafte Schnittansicht, die einen der Vorteile der vorliegenden Erfindung veranschaulicht. 24 shows an exemplary sectional view illustrating one of the advantages of the present invention.

24 veranschaulicht den Aufbau, wenn eine Maskenstruktur mit einer Öffnung (z. B. einer Kontaktlochstruktur) über der Gateelektrode 44 zum Beispiel aufgrund einer Prozessschwankung um das Ausmaß D1 nach links verschoben ist. Mittels der Maskenstruktur wird die zweite ILD-Schicht 110 geätzt und wird dann die Gate-Kappenisolierschicht 60 geätzt. Aufgrund der Fehlausrichtung kann es zu dem Ätzen eines Teils der Seitenwand-Spacer 46 und/oder eines Teils der Source/Drain-Kappenisolierschicht 80 kommen. Doch da die Ätzselektivität der Seitenwand-Spacer 46 und der Source/Drain-Kappenisolierschicht 80 gegenüber der Gate-Kappenisolierschicht 60 ausreichend hoch ist, kann das Ausmaß einer derartigen Ätzung auf ein Mindestmaß verringert werden. Entsprechend kann der Gate-Kontakt 100 auf eine selbstausrichtende Weise gebildet werden und wird ein Kurzschluss zu der Source/Drain-Leitschicht 70 vermieden. 24 Fig. 12 illustrates the structure when a mask pattern having an opening (eg, a via structure) over the gate electrode 44 For example, due to a process variation, the amount D1 is shifted to the left. The mask structure becomes the second ILD layer 110 etched and then becomes the gate cap insulating layer 60 etched. Due to misalignment, etching of a portion of the sidewall spacers may occur 46 and / or a portion of the source / drain cap insulating layer 80 come. However, because the etch selectivity of the sidewall spacers 46 and the source / drain cap insulating layer 80 opposite the gate cap insulating layer 60 is sufficiently high, the extent of such etching can be minimized. Accordingly, the gate contact 100 can be formed in a self-aligned manner and becomes a short circuit to the source / drain conductive layer 70 avoided.

Ähnlich kann wie in 24 gezeigt eine Maskenstruktur mit einer Öffnung (z. B. einer Kontaktlochstruktur) über der Source/Drain-Leitschicht 70 zum Beispiel aufgrund einer Prozessschwankung um das Ausmaß D2 nach rechts verschoben sein. Mittels der Maskenstruktur wird die zweite ILD-Schicht 110 geätzt, und wird dann die Source/Drain-Kappenisolierschicht 80 geätzt. Aufgrund der Fehlausrichtung kann es zu dem Ätzen eines Teils der Seitenwand-Spacer 46 und/oder eines Teils der Gate-Kappenisolierschicht 60 kommen. Doch da die Ätzselektivität der Seitenwand-Spacer 46 und der Gate-Kappenisolierschicht 60 gegenüber der Source/Drain-Kappenisolierschicht 80 ausreichend hoch ist, kann das Ausmaß einer derartigen Ätzung auf ein Mindestmaß verringert werden. Entsprechend kann der Source/Drain-Kontakt 105 auf eine selbstausrichtende Weise gebildet werden und wird ein Kurzschluss zu der Gateelektrode 44 vermieden.Similarly, as in 24 10 illustrates a mask structure having an opening (eg, a via structure) over the source / drain conductive layer 70 for example, be shifted to the right by the extent D2 due to a process fluctuation. The mask structure becomes the second ILD layer 110 etched, and then becomes the source / drain cap insulating layer 80 etched. Due to misalignment, etching of a portion of the sidewall spacers may occur 46 and / or a part of the gate cap insulating layer 60 come. However, because the etch selectivity of the sidewall spacers 46 and the gate cap insulating layer 60 opposite the source / drain cap insulating layer 80 is sufficiently high, the extent of such etching can be minimized. Accordingly, the source / drain contact 105 be formed in a self-aligning manner and becomes a short circuit to the gate electrode 44 avoided.

Aufgrund der obigen Vorteile der selbstausrichtenden Kontakte ist es auch möglich, eine Gatestrukturendichte zu verringern.Due to the above advantages of the self-aligning contacts, it is also possible to reduce a gate structure density.

25 zeigt einen beispielhaften Gestaltungsaufbau nach einer Ausführungsform der vorliegenden Offenbarung. 25 zeigt einen beispielhaften Gestaltungsaufbau um eine Zellengrenze von zwei Standardzellen. 25 FIG. 12 shows an example layout structure according to an embodiment of the present disclosure. FIG. 25 shows an exemplary design structure around a cell boundary of two standard cells.

In 25 sind vier Gatestrukturen P40, die sich in der Y-Richtung erstrecken, in gleichen Abständen in der X-Richtung angeordnet. Zwischen zwei benachbarten Gatestrukturen sind Source/Drain-Strukturen P70 angeordnet. Über den Gatestrukturen sind Gate-Kontaktstrukturen P100A über einer Finnenstruktur P20 angeordnet. Außerdem ist über den Gatestrukturen über einem anderen Bereich als den Finnenstrukturen P20 eine Gate-Kontaktstruktur P100B angeordnet. Über den Source/Drain-Strukturen P70 sind Source/Drain-Kontakte P105 angeordnet.In 25 For example, four gate structures P40 extending in the Y direction are arranged at equal intervals in the X direction. Between two adjacent gate structures, source / drain structures P70 are arranged. Over the gate structures, gate contact structures P100A are arranged over a fin structure P20. In addition, a gate contact structure P100B is disposed over the gate structures over a region other than the fin structures P20. Over the source / drain structures P70, source / drain contacts P105 are arranged.

Da der Gate-Kontakt 100 bei der vorliegenden Ausführungsform auf eine selbstausrichtende Weise im Wesentlichen frei von einem Kurzschluss zu der Source/Drain-Leitschicht 70 gebildet werden kann, kann die Gate-Kontaktstruktur P100A (der Gate-Kontakt 100) über der Finnenstruktur P20 (der Finnenstruktur 20), in der die Source/Drain-Strukturen P70 (die Source/Drain-Leitschicht 70) angeordnet sind, eingerichtet werden, wie in dem Bereich A1 von 25 gezeigt ist.Because the gate contact 100 in the present embodiment, in a self-aligned manner substantially free of a short circuit to the source / drain conductive layer 70 may be formed, the gate contact structure P100A (the gate contact 100 ) over the fin structure P20 (the fin structure 20 ), in which the source / drain structures P70 (the source / drain conductive layer 70 ), as in the area A1 of FIG 25 is shown.

In gleicher Weise kann in dem Bereich A2 von 25 die Gate-Kontaktstruktur P100B näher an der Finnenstruktur P20 angeordnet werden. Der Abstand S1 zwischen der Gate-Kontaktstruktur P100B und der Finnenstruktur P20 ist kleiner als etwa 15 nm und liegt bei einigen Ausführungsformen in einem Bereich von etwa 5 nm bis etwa 12 nm.Similarly, in the range A2 of 25 the gate contact structure P100B may be arranged closer to the fin structure P20. The distance S1 between the gate contact structure P100B and the fin structure P20 is less than about 15 nm and, in some embodiments, is in a range of about 5 nm to about 12 nm.

Entsprechend ist es möglich, eine Gate-Strukturdichte zu verringern.Accordingly, it is possible to reduce a gate pattern density.

Es wird sich verstehen, dass hier nicht notwendigerweise alle Vorteile besprochen wurden, dass kein bestimmter Vorteil für alle Ausführungsformen oder Beispiele erforderlich ist, und andere Ausführungsformen oder Beispiele andere Vorteile bieten können. It will be understood that not all advantages have been necessarily discussed here, that no particular advantage is required for all embodiments or examples, and other embodiments or examples may provide other advantages.

Nach einem Gesichtspunkt der vorliegenden Offenbarung werden bei einem Verfahren zur Herstellung einer Halbleitervorrichtung Gate-Aufbauten, die sich in einer ersten Richtung erstrecken und in einer zweiten, die erste Richtung kreuzenden Richtung angeordnet sind, gebildet. Jeder der Gate-Aufbauten umfasst eine Gateelektrode, eine Gate-Kappenisolierschicht, die über der Gateelektrode angeordnet ist, und Seitenwand-Spacer, die an entgegengesetzten Flächen der Gateelektrode und der Gate-Kappenisolierschicht angeordnet sind. Zwischen zwei benachbarten Gate-Aufbauten sind Source/Drain-Aufbauten gebildet. Jeder der Source/Drain-Aufbauten umfasst eine Source/Drain-Leitschicht und eine Source/Drain-Kappenisolierschicht, die auf der Source/Drain-Leitschicht angeordnet ist. Die Gate-Kappenisolierschicht wird selektiv von zumindest einem der Gate-Aufbauten entfernt, während zumindest einer der restlichen Gate-Aufbauten geschützt wird, wodurch die Gateelektrode des zumindest einen der Gate-Aufbauten freigelegt wird. Die Source/Drain-Kappenisolierschicht wird selektiv von zumindest einem der Source/Drain-Aufbauten entfernt, während zumindest einer der restlichen Source/Drain-Aufbauten geschützt wird, wodurch die Source/Drain-Leitschicht des zumindest einen der Source/Drain-Aufbauten freigelegt wird. Auf der freigelegten Gateelektrode und der freigelegten Source/Drain-Leitschicht werden leitende Kontaktschichten gebildet.According to one aspect of the present disclosure, in a method of manufacturing a semiconductor device, gate structures extending in a first direction and arranged in a second direction crossing the first direction are formed. Each of the gate structures includes a gate electrode, a gate cap insulating layer disposed over the gate electrode, and sidewall spacers disposed on opposite faces of the gate electrode and the gate cap insulating layer. Between two adjacent gate structures, source / drain structures are formed. Each of the source / drain structures includes a source / drain conductive layer and a source / drain cap insulating layer disposed on the source / drain conductive layer. The gate cap insulating layer is selectively removed from at least one of the gate structures while protecting at least one of the remaining gate structures, thereby exposing the gate electrode of the at least one of the gate structures. The source / drain cap insulating layer is selectively removed from at least one of the source / drain structures while protecting at least one of the remaining source / drain structures, thereby exposing the source / drain conductive layer of the at least one of the source / drain structures , Conductive contact layers are formed on the exposed gate electrode and the exposed source / drain conductive layer.

Nach einem anderen Gesichtspunkt der vorliegenden Offenbarung werden bei einem Verfahren zur Herstellung einer Halleitervorrichtung ein erster Gate-Aufbau, ein zweiter Gate-Aufbau, ein dritter Gate-Aufbau und ein vierter Gate-Aufbau, die sich in einer ersten Richtung erstrecken, über einem Substrat gebildet. Der erste Gate-Aufbau umfasst eine erste Gateelektrode, eine erste Gatedielektrikumsschicht, und erste Seitenwand-Spacer, die an entgegengesetzten Seitenflächen der ersten Gateelektrode angeordnet sind. Der zweite Gate-Aufbau umfasst eine zweite Gateelektrode, eine zweite Gatedielektrikumsschicht, und zweite Seitenwand-Spacer, die an entgegengesetzten Seitenflächen der zweiten Gateelektrode angeordnet sind. Der dritte Gate-Aufbau umfasst eine dritte Gateelektrode, eine dritte Gatedielektrikumsschicht, und dritte Seitenwand-Spacer, die an entgegengesetzten Seiten der dritten Gateelektrode angeordnet sind. Der vierte Gate-Aufbau umfasst eine vierte Gateelektrode, eine vierte Gatedielektrikumsschicht, und vierte Seitenwand-Spacer, die an entgegengesetzten Seitenflächen der vierten Gateelektrode angeordnet sind. Der erste bis vierte Gate-Aufbau sind in einer zweiten Richtung, die die erste Richtung kreuzt, angeordnet. Zwischen dem ersten und dem zweiten Gate-Aufbau ist ein erster Source/Drain-Bereich gebildet, zwischen dem zweiten und dem dritten Gate-Aufbau ist ein zweiter Source/Drain-Bereich gebildet, und zwischen dem dritten und dem vierten Gate-Aufbau ist ein dritter Source/Drain-Bereich gebildet. Über dem ersten bis dritten Source/Drain-Bereich ist eine erste Isolierschicht gebildet. Die erste bis vierte Gateelektrode sind unter obere Flächen der ersten bis vierten Seitenwand-Spacer vertieft, wodurch jeweils eine erste bis vierte Gate-Öffnung gebildet wird. In der ersten bis vierten Gate-Öffnung ist jeweils eine erste bis vierte Gate-Kappenisolierschicht gebildet. Die erste Isolierschicht wird entfernt, um den ersten und den dritten Source/Drain-Bereich freizulegen. Über dem ersten und dem dritten Source/Drain-Bereich wird jeweils eine erste und eine dritte Source/Drain-Leitschicht gebildet. Die erste und die dritte Source/Drain-Leitschicht werden unter obere Flächen der ersten bis vierten Seitenwand-Spacer vertieft, wodurch jeweils eine erste und eine dritte Source/Drain-Öffnung gebildet werden. In der ersten und der dritten Source/Drain-Öffnung werden jeweils eine erste und eine dritte Source/Drain-Kappenisolierschicht gebildet. Die erste und die zweite Gate-Kappenisolierschicht werden entfernt, während die dritte und die vierte Gate-Kappenisolierschicht und die dritte Source/Drain-Kappenisolierschicht geschützt werden, wodurch die erste und die zweite Gateelektrode freigelegt werden. Die dritte Source/Drain-Kappenisolierschicht wird entfernt, während die erste Source/Drain-Kappenisolierschicht geschützt wird, wodurch der dritte Source/Drain-Bereich freigelegt wird. Auf der freigelegten ersten und zweiten Gateelektrode und dem freigelegten dritten Source/Drain-Bereich werden leitende Kontaktschichten gebildet.According to another aspect of the present disclosure, in a method for manufacturing a semiconductor device, a first gate structure, a second gate structure, a third gate structure, and a fourth gate structure extending in a first direction are formed over a substrate educated. The first gate structure includes a first gate electrode, a first gate dielectric layer, and first sidewall spacers disposed on opposite side surfaces of the first gate electrode. The second gate structure includes a second gate electrode, a second gate dielectric layer, and second sidewall spacers disposed on opposite side surfaces of the second gate electrode. The third gate structure includes a third gate electrode, a third gate dielectric layer, and third sidewall spacers disposed on opposite sides of the third gate electrode. The fourth gate structure includes a fourth gate electrode, a fourth gate dielectric layer, and fourth sidewall spacers disposed on opposite side surfaces of the fourth gate electrode. The first to fourth gate structures are arranged in a second direction crossing the first direction. A first source / drain region is formed between the first and second gate structures, a second source / drain region is formed between the second and third gate structures, and a second source / drain region is formed between the third and fourth gate structures third source / drain region formed. Over the first to third source / drain region, a first insulating layer is formed. The first to fourth gate electrodes are recessed below upper surfaces of the first to fourth sidewall spacers, thereby forming first through fourth gate openings, respectively. In each of the first to fourth gate openings, first to fourth gate cap insulating layers are formed. The first insulating layer is removed to expose the first and third source / drain regions. A first and a third source / drain conductive layer are respectively formed over the first and the third source / drain regions. The first and third source / drain conductive layers are recessed below upper surfaces of the first to fourth sidewall spacers, thereby forming first and third source / drain openings, respectively. In each of the first and third source / drain openings, first and third source / drain cap insulating layers are formed. The first and second gate cap insulating layers are removed while protecting the third and fourth gate cap insulating layers and the third source / drain cap insulating layer, thereby exposing the first and second gate electrodes. The third source / drain cap insulating layer is removed while protecting the first source / drain cap insulating layer, thereby exposing the third source / drain region. Conductive contact layers are formed on the exposed first and second gate electrodes and the exposed third source / drain region.

Nach noch einem anderen Gesichtspunkt der vorliegenden Offenbarung umfasst eine Halbleitervorrichtung einen ersten Gate-Aufbau, einen zweiten Gate-Aufbau, einen ersten Source/Drain-Aufbau und einen zweiten Source/Drain-Aufbau. Der erste Gate-Aufbau umfasst eine erste Gateelektrode und eine erste Kappenisolierschicht, die über der ersten Gateelektrode angeordnet ist. Der zweite Gate-Aufbau umfasst eine zweite Gateelektrode und eine erste leitende Kontaktschicht, die auf der ersten Gateelektrode angeordnet ist. Der erste Source/Drain-Aufbau umfasst eine erste Source/Drain-Leitschicht und eine zweiten Kappenisolierschicht, die über der ersten Source/Drain-Leitschicht angeordnet ist. Der zweite Source/Drain-Aufbau umfasst eine zweite Source/Drain-Leitschicht und eine zweite leitende Kontaktschicht, die über der zweiten Source/Drain-Leitschicht angeordnet ist.According to still another aspect of the present disclosure, a semiconductor device includes a first gate structure, a second gate structure, a first source / drain structure, and a second source / drain structure. The first gate structure includes a first gate electrode and a first cap insulating layer disposed over the first gate electrode. The second gate structure includes a second gate electrode and a first conductive contact layer disposed on the first gate electrode. The first source / drain structure includes a first source / drain conductive layer and a second cap insulating layer disposed over the first source / drain conductive layer. The second source / drain structure includes a second source / drain conductive layer and a second conductive contact layer disposed over the second source / drain conductive layer.

Das Obige umreißt Merkmale mehrerer Ausführungsformen oder Beispiele, damit Fachleute die Gesichtspunkte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung leicht als Basis zur Gestaltung oder Abwandlung anderer Prozesse und Aufbauten zur Erfüllung der gleichen Zwecke und/oder zur Erzielung der gleichen Vorteile wie die hier vorgestellten Ausführungsformen oder Beispiele verwenden können. Fachleute sollten auch erkennen, dass derartige gleichwertige Aufbauten nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie hier verschiedene Änderungen, Ersetzungen und Umänderungen vornehmen können, ohne von dem Geist und dem Umfang der vorliegenden Offenbarung abzuweichen.The above outlines features of several embodiments or examples so that those skilled in the art can better understand the aspects of the present disclosure. It should be appreciated by those skilled in the art that they may readily use the present disclosure as a basis for designing or modifying other processes and constructions to accomplish the same purposes and / or to achieve the same advantages as the embodiments or examples presented herein. Those skilled in the art should also recognize that such equivalent structures do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

Verfahren zur Herstellung einer Halbleitervorrichtung, wobei das Verfahren Folgendes umfasst: Bilden von Gate-Aufbauten, die sich in einer ersten Richtung erstrecken und in einer zweiten, die erste Richtung kreuzenden Richtung angeordnet sind, wobei jeder der Gate-Aufbauten eine Gateelektrode, eine Gate-Kappenisolierschicht, die über der Gateelektrode angeordnet ist, und Seitenwand-Spacer, die an entgegengesetzten Flächen der Gateelektrode und der Gate-Kappenisolierschicht angeordnet sind, umfasst; Bilden von Source/Drain-Aufbauten zwischen zwei benachbarten Gate-Aufbauten, wobei jeder der Source/Drain-Aufbauten eine Source/Drain-Leitschicht und eine Source/Drain-Kappenisolierschicht, die auf der Source/Drain-Leitschicht angeordnet ist, umfasst; selektives Entfernen der Gate-Kappenisolierschicht von zumindest einem der Gate-Aufbauten, während zumindest einer der restlichen Gate-Aufbauten geschützt wird, wodurch die Gateelektrode des zumindest einen der Gate-Aufbauten freigelegt wird; selektives Entfernen der Source/Drain-Kappenisolierschicht von zumindest einem der Source/Drain-Aufbauten, während zumindest einer der restlichen Source/Drain-Aufbauten geschützt wird, wodurch die Source/Drain-Leitschicht des zumindest einen der Source/Drain-Aufbauten freigelegt wird; und Bilden leitender Kontaktschichten auf der freigelegten Gateelektrode und der freigelegten Source/Drain-Kontaktschicht.A method of manufacturing a semiconductor device, the method comprising: Forming gate structures extending in a first direction and arranged in a second direction crossing the first direction, each of the gate structures comprising a gate electrode, a gate cap insulating layer disposed over the gate electrode, and sidewall capping layers; Spacer disposed on opposite surfaces of the gate electrode and the gate cap insulating layer comprises; Forming source / drain structures between two adjacent gate structures, each of the source / drain structures comprising a source / drain conductive layer and a source / drain cap insulating layer disposed on the source / drain conductive layer; selectively removing the gate cap insulating layer from at least one of the gate structures while protecting at least one of the remaining gate structures, thereby exposing the gate electrode of the at least one of the gate structures; selectively removing the source / drain cap insulating layer of at least one of the source / drain structures while protecting at least one of the remaining source / drain structures, thereby exposing the source / drain conductive layer of the at least one of the source / drain structures; and Forming conductive contact layers on the exposed gate electrode and the exposed source / drain contact layer. Verfahren nach Anspruch 1, wobei bei dem selektiven Entfernen der Gate-Kappenisolierschicht zumindest eine Source/Drain-Kappenisolierschicht nicht geschützt wird.The method of claim 1, wherein in selectively removing the gate cap insulating layer at least one source / drain cap insulating layer is not protected. Verfahren nach Anspruch 1 oder 2, wobei bei dem selektiven Entfernen der Source/Drain-Kappenisolierschicht zumindest eine Gate-Isolierschicht nicht geschützt wird.The method of claim 1 or 2, wherein in the selective removal of the source / drain cap insulating layer at least one gate insulating layer is not protected. Verfahren nach einem der vorhergehenden Ansprüche, wobei bei dem selektiven Entfernen der Gate-Kappenisolierschicht der zumindest eine der restlichen Gate-Aufbauten durch eine schützende Struktur geschützt wird, und sich ein Rand der schützenden Struktur auf zumindest einer Source/Drain-Kappenisolierschicht befindet.Method according to one of the preceding claims, wherein in the selective removal of the gate cap insulating layer, the at least one of the remaining gate structures is protected by a protective structure, and an edge of the protective structure is on at least one source / drain cap insulating layer. Verfahren nach einem der vorhergehenden Ansprüche, wobei bei dem selektiven Entfernen der Source/Drain-Kappenisolierschicht der zumindest eine der restlichen Source/Drain-Aufbauten durch eine schützende Struktur geschützt wird, und sich ein Rand der schützenden Struktur auf zumindest einer Gate-Kappenisolierschicht befindet.Method according to one of the preceding claims, wherein in the selective removal of the source / drain cap insulating layer, the at least one of the remaining source / drain structures is protected by a protective structure, and an edge of the protective structure is located on at least one gate cap insulating layer. Verfahren nach einem der vorhergehenden Ansprüche, wobei sich eine obere Fläche der Gateelektrode in einer Ebene befindet, die sich von einer oberen Fläche der Source/Drain-Leitschicht unterscheidet.The method of any one of the preceding claims, wherein an upper surface of the gate electrode is in a plane different from an upper surface of the source / drain conductive layer. Verfahren nach Anspruch 6, wobei sich die obere Fläche der Gateelektrode in einer niedrigeren Ebene als die obere Fläche der Source/Drain-Leitschicht befindet.The method of claim 6, wherein the top surface of the gate electrode is located in a lower plane than the top surface of the source / drain conductive layer. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Gate-Kappenisolierschicht aus einem anderen Material als die Source/Drain-Kappenisolierschicht besteht.The method of any one of the preceding claims, wherein the gate cap insulating layer is made of a different material than the source / drain cap insulating layer. Verfahren nach Anspruch 8, wobei die Gate-Kappenisolierschicht und die Source/Drain-Kappenisolierschicht aus zumindest einem aus SiC, SiOCN, SiON, SiCN und SiN bestehen.The method of claim 8, wherein the gate cap insulating layer and the source / drain cap insulating layer are made of at least one of SiC, SiOCN, SiON, SiCN and SiN. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Seitenwand-Spacer aus einem anderen Material als die Gate-Kappenisolierschicht und die Source/Drain-Kappenisolierschicht bestehen.The method of any one of the preceding claims, wherein the sidewall spacers are made of a different material than the gate cap insulating layer and the source / drain cap insulating layer. Verfahren nach Anspruch 10, wobei die Seitenwand-Spacer aus zumindest einem aus SiC, SiON, Al2O3; SiOCN, SiCN und SiN bestehen.The method of claim 10, wherein the sidewall spacers are made of at least one of SiC, SiON, Al 2 O 3 ; SiOCN, SiCN and SiN exist. Verfahren zur Herstellung einer Halbleitervorrichtung, wobei das Verfahren Folgendes umfasst: Bilden eines ersten Gate-Aufbaus, eines zweiten Gate-Aufbaus, eines dritten Gate-Aufbaus und eines vierten Gate-Aufbaus, die sich in einer ersten Richtung erstrecken, über einem Substrat, wobei der erste Gate-Aufbau eine erste Gateelektrode, eine erste Gatedielektrikumsschicht, und erste Seitenwand-Spacer, die an entgegengesetzten Seitenflächen der erste Gateelektrode angeordnet sind, umfasst, der zweite Gate-Aufbau eine zweite Gateelektrode, eine zweite Gatedielektrikumsschicht, und zweite Seitenwand-Spacer, die an entgegengesetzten Seitenflächen der zweiten Gateelektrode angeordnet sind, umfasst, der dritte Gate-Aufbau eine dritte Gatedielektrikumsschicht, und dritte Seitenwand-Spacer, die an entgegengesetzten Seiten der dritten Gateelektrode angeordnet sind, umfasst, der vierte Gate-Aufbau eine vierte Gateelektrode, eine vierte Gatedielektrikumsschicht, und vierte Seitenwand-Spacer, die an entgegengesetzten Seitenflächen der vierten Gateelektrode angeordnet sind, umfasst, und der erste bis vierte Gate-Aufbau in einer zweiten Richtung, die die erste Richtung kreuzt, angeordnet sind; Bilden eines ersten Source/Drain-Bereichs zwischen dem ersten und dem zweiten Gate-Aufbau, eines zweiten Source/Drain-Bereichs zwischen dem zweiten und dem dritten Gate-Aufbau, und eines dritten Source/Drain-Aufbaus zwischen dem dritten und dem vierten Gate-Aufbau; Bilden einer ersten Isolierschicht über dem ersten bis dritten Source/Drain-Bereich; Vertiefen der ersten bis vierten Gateelektrode unter obere Flächen, der ersten bis vierten Seitenwand-Spacer, wodurch jeweils eine erste bis vierte Gate-Öffnung gebildet wird; jeweiliges Bilden einer ersten bis vierten Gate-Kappenisolierschicht in der ersten bis vierten Gate-Öffnung; Entfernen der ersten Isolierschicht, um den ersten und den dritten Source/Drain-Bereich freizulegen; jeweiliges Bilden einer ersten und einer dritten Source/Drain-Leitschicht über dem ersten und dem dritten Source/Drain-Bereich; Vertiefen der ersten und der dritten Source/Drain-Leitschicht unter obere Flächen der ersten bis vierten Seitenwand-Spacer, wodurch jeweils eine erste und eine dritte Source/Drain-Öffnung gebildet werden; jeweiliges Bilden einer ersten und einer dritten Source/Drain-Kappenisolierschicht in der ersten und der dritten Source/Drain-Öffnung; Entfernen der ersten und der zweiten Gate-Kappenisolierschicht, während die dritte und die vierte Gate-Kappenisolierschicht und die dritte Source/Drain-Kappenisolierschicht geschützt werden, wodurch die erste und die zweite Gateelektrode freigelegt werden; Entfernen der dritten Source/Drain-Kappenisolierschicht, während die erste Source/Drain-Kappenisolierschicht geschützt wird, wodurch der dritte Source/Drain-Bereich freigelegt wird; und Bilden von leitenden Kontaktschichten auf der freigelegten ersten und zweiten Gateelektrode und dem freigelegten dritten Source/Drain-Bereich.A method of manufacturing a semiconductor device, the method comprising forming a first gate structure, a second gate structure, a third gate structure, and a fourth gate structure extending in a first direction over a substrate, wherein the first gate structure comprises a first gate electrode, a first gate dielectric layer, and first Sidewall spacers disposed on opposite side surfaces of the first gate electrode, the second gate structure comprising a second gate electrode, a second gate dielectric layer, and second sidewall spacers disposed on opposite side surfaces of the second gate electrode, the third gate Comprises a third gate dielectric layer, and third sidewall spacers disposed on opposite sides of the third gate electrode, the fourth gate structure comprises a fourth gate electrode, a fourth gate dielectric layer, and fourth sidewall spacers disposed on opposite side surfaces of the fourth gate electrode are disposed, and the first to fourth gate structures are arranged in a second direction crossing the first direction; Forming a first source / drain region between the first and second gate structures, a second source / drain region between the second and third gate structures, and a third source / drain structure between the third and fourth gates -Construction; Forming a first insulating layer over the first to third source / drain regions; Recessing the first to fourth gate electrodes under upper surfaces of the first to fourth sidewall spacers, thereby forming first to fourth gate openings, respectively; respectively forming first to fourth gate cap insulating layers in the first to fourth gate openings; Removing the first insulating layer to expose the first and third source / drain regions; respectively forming first and third source / drain conductive layers over the first and third source / drain regions; Recessing the first and third source / drain conductive layers below upper surfaces of the first to fourth sidewall spacers, thereby forming first and third source / drain openings, respectively; respectively forming first and third source / drain cap insulating layers in the first and third source / drain openings; Removing the first and second gate cap insulating layers while protecting the third and fourth gate cap insulating layers and the third source / drain cap insulating layer, thereby exposing the first and second gate electrodes; Removing the third source / drain cap insulating layer while protecting the first source / drain cap insulating layer, thereby exposing the third source / drain region; and forming conductive contact layers on the exposed first and second gate electrodes and the exposed third source / drain region. Verfahren nach Anspruch 12, wobei bei dem Entfernen der ersten Isolierschicht, um den ersten und dritten Source/Drain-Bereich freizulegen, der zweite Source/Drain-Bereich geschützt wird und die erste Isolierschicht, die über dem zweiten Source/Drain-Bereich gebildet ist, nicht entfernt wird.The method of claim 12, wherein in removing the first insulating layer to expose the first and third source / drain regions, the second source / drain region is protected and the first insulating layer formed over the second source / drain region , not removed. Verfahren nach Anspruch 12 oder 13, wobei die erste bis vierte Gate-Kappenisolierschicht aus einem anderen Material als die erste und die dritte Source/Drain-Kappenisolierschicht bestehen, die erste bis vierte Gate-Kappenisolierschicht und die erste und die dritte Source/Drain-Kappenisolierschicht aus zumindest einem aus SiC, SiON, SiOCN, SiCN und SiN bestehen, der erste bis vierte Seitenwand-Spacer aus einem anderen Material als die erste bis vierte Gate-Kappenisolierschicht und die erste und die dritte Source/Drain-Kappenisolierschicht bestehen, und der erste bis vierte Seitenwand-Spacer aus zumindest einem aus SiC, SiON, Al2O3, SiOCN, SiCN und SiN bestehen.The method of claim 12 or 13, wherein the first to fourth gate cap insulating layers are made of a different material than the first and third source / drain cap insulating layers, the first to fourth gate cap insulating layers, and the first and third source / drain cap insulating layers of at least one of SiC, SiON, SiOCN, SiCN and SiN, the first to fourth sidewall spacers are made of a material other than the first to fourth gate cap insulating layers, and the first and third source / drain cap insulating layers, and the first to fourth sidewall spacers of at least one of SiC, SiON, Al 2 O 3 , SiOCN, SiCN and SiN exist. Halbleitervorrichtung, umfassend: einen ersten Gate-Aufbau, der eine erste Gateelektrode und eine erste Kappenisolierschicht, die über der ersten Gateelektrode angeordnet ist, umfasst; einen zweiten Gate-Aufbau, der eine zweite Gateelektrode und eine erste leitende Kontaktschicht, die auf der ersten Gateelektrode angeordnet ist, umfasst; einen ersten Source/Drain-Aufbau, der eine erste Source/Drain-Leitschicht und eine zweite Kappenisolierschicht, die über der ersten Source/Drain-Leitschicht angeordnet ist, umfasst; und einen zweiten Source/Drain-Aufbau, der eine zweite Source/Drain-Leitschicht und eine zweite leitende Kontaktschicht, die über der zweiten Source/Drain-Leitschicht angeordnet ist, umfasst.A semiconductor device, comprising: a first gate structure including a first gate electrode and a first cap insulating layer disposed over the first gate electrode; a second gate structure comprising a second gate electrode and a first conductive contact layer disposed on the first gate electrode; a first source / drain structure comprising a first source / drain conductive layer and a second cap insulating layer disposed over the first source / drain conductive layer; and a second source / drain structure comprising a second source / drain conductive layer and a second conductive contact layer disposed over the second source / drain conductive layer. Halbleitervorrichtung nach Anspruch 15, wobei sich eine obere Fläche der ersten Gateelektrode in einer Ebene befindet, die sich von einer oberen Fläche der ersten Source/Drain-Leitschicht unterscheidet.The semiconductor device according to claim 15, wherein an upper surface of the first gate electrode is in a plane different from an upper surface of the first source / drain conductive layer. Halbleitervorrichtung nach Anspruch 15 oder 16, wobei die erste Kappenisolierschicht aus einem anderen Material als die zweite Kappenisolierschicht besteht.The semiconductor device according to claim 15 or 16, wherein the first cap insulating layer is made of a different material than the second cap insulating layer. Halbleitervorrichtung nach Anspruch 17, wobei die erste Kappenisolierschicht und die zweite Kappenisolierschicht aus zumindest einem aus SiC, SiON, SiOCN, SiCN und SiN bestehen.The semiconductor device according to claim 17, wherein the first cap insulating layer and the second cap insulating layer are made of at least one of SiC, SiON, SiOCN, SiCN and SiN. Halbleitervorrichtung nach einem der Ansprüche 15 bis 18, wobei der erste Gate-Aufbau neben einem aus dem ersten und dem zweiten Source/Drain-Aufbau angeordnet ist, zwischen dem ersten Gate-Aufbau und dem einen aus dem ersten und dem zweiten Source/Drain-Aufbau eine Spacer-Schicht angeordnet ist, und die Spacer-Schicht aus einem anderen Material als die erste Kappenisolierschicht und die zweite Kappenisolierschicht besteht.A semiconductor device according to any one of claims 15 to 18, wherein the first gate structure is arranged next to one of the first and the second source / drain structure, between the first gate structure and the one of the first and the second source / drain structure, a spacer layer is arranged, and the spacer layer is made of a different material than the first cap insulating layer and the second cap insulating layer. Halbleitervorrichtung nach Anspruch 19, wobei die Spacer-Schicht aus zumindest einem aus SiC, SiON, Al2O3, SiOCN, SiCN und SiN besteht. The semiconductor device according to claim 19, wherein the spacer layer is composed of at least one of SiC, SiON, Al 2 O 3 , SiOCN, SiCN and SiN.
DE102016118207.9A 2015-12-30 2016-09-27 SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME Active DE102016118207B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562273378P 2015-12-30 2015-12-30
US62/273,378 2015-12-30
US15/157,200 US11088030B2 (en) 2015-12-30 2016-05-17 Semiconductor device and a method for fabricating the same
US15/157,200 2016-05-17

Publications (2)

Publication Number Publication Date
DE102016118207A1 true DE102016118207A1 (en) 2017-07-06
DE102016118207B4 DE102016118207B4 (en) 2024-08-01

Family

ID=59068937

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016118207.9A Active DE102016118207B4 (en) 2015-12-30 2016-09-27 SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME

Country Status (2)

Country Link
CN (1) CN106935510B (en)
DE (1) DE102016118207B4 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109860293A (en) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 Semiconductor device and its manufacturing method

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020073239A1 (en) * 2018-10-10 2020-04-16 华为技术有限公司 Field-effect transistor structure having low gate resistance, and preparation method
US11004687B2 (en) * 2019-02-11 2021-05-11 Applied Materials, Inc. Gate contact over active processes

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102550A (en) * 1999-09-02 2001-04-13 Samsung Electronics Co Ltd Semiconductor memory having self-aligned contact and manufacturing method therefor
US7485934B2 (en) * 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8304831B2 (en) 2010-02-08 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of forming a gate
WO2013095548A1 (en) 2011-12-22 2013-06-27 Intel Corporation Gate aligned contact and method to fabricate same
US8765599B2 (en) 2012-01-06 2014-07-01 GlobalFoundries, Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US20140159149A1 (en) * 2012-12-12 2014-06-12 Force Mos Technology Co., Ltd. Short channel trench mosfets
US9034716B2 (en) * 2013-01-31 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9196694B2 (en) * 2013-10-01 2015-11-24 GlobalFoundries, Inc. Integrated circuits with dual silicide contacts and methods for fabricating same
US9153483B2 (en) * 2013-10-30 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9202751B2 (en) 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109860293A (en) * 2017-11-30 2019-06-07 台湾积体电路制造股份有限公司 Semiconductor device and its manufacturing method
CN109860293B (en) * 2017-11-30 2022-01-28 台湾积体电路制造股份有限公司 Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
DE102016118207B4 (en) 2024-08-01
CN106935510A (en) 2017-07-07
CN106935510B (en) 2022-01-11

Similar Documents

Publication Publication Date Title
DE102016115984B4 (en) Semiconductor component and method for its manufacture
DE102017012299B3 (en) EPITAXLAL STRUCTURAL ELEMENTS AND METHOD OF MANUFACTURING THEREOF, LIMITED BY DIELECTRIC FINS AND SPACERS
DE102016117054B4 (en) Semiconductor device and method for producing same
DE102015112913B4 (en) Semiconductor device and manufacturing method therefor
DE102016106969B4 (en) semiconductor device
DE102017123950B4 (en) FINFET COMPONENT AND METHOD OF MANUFACTURING THE SAME
DE102016115983A1 (en) Semiconductor structure and manufacturing process
DE102018115909A1 (en) Structure and method for finfet device with contact via dielectric gate
DE102016115991A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE102014019360B4 (en) SEMICONDUCTOR STRUCTURE AND THEIR PRODUCTION PROCESS
DE102016116001B4 (en) LOCAL CONNECTION IN A SEMICONDUCTOR DEVICE AND METHOD FOR MAKING SUCH
DE102019124526B4 (en) Design process for a manufacturing process for a semiconductor component
DE102016100049A1 (en) Method and Structure for Semi-Conductive End-Of-Line (MEOL) Process
DE102012110995A1 (en) Method for producing a FinFET device
DE102012105738A1 (en) Semiconductor structure and method for its production
DE102016114869A1 (en) Semiconductor device and method for its production
DE102017127542A1 (en) STRUCTURE AND METHOD FOR A GATE INSULATING PLUG
DE102019215248B4 (en) FINFET WITH INSULATING LAYERS BETWEEN THE GATE AND SOURCE/DRAIN CONTACTS AND METHOD FOR THE PRODUCTION THEREOF
DE102016114923B4 (en) Semiconductor component and a method for its manufacture
DE102016118207B4 (en) SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
DE102019110004A1 (en) SLOT CONTACTS AND METHOD FOR THEIR PRODUCTION
DE102019119716A1 (en) HYBRID SOURCE DRAIN REGIONS ESTABLISHED BASED ON THE SAME FIN AND METHOD FOR CREATING THIS
DE102017118920B4 (en) Semiconductor component and its manufacturing process
DE102022132143A1 (en) METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES AND SEMICONDUCTOR DEVICES
DE102020106432B3 (en) Semiconductor device and manufacturing process therefor

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division