DE102016116722A1 - Finfets and methods for forming finfets - Google Patents

Finfets and methods for forming finfets Download PDF

Info

Publication number
DE102016116722A1
DE102016116722A1 DE102016116722.3A DE102016116722A DE102016116722A1 DE 102016116722 A1 DE102016116722 A1 DE 102016116722A1 DE 102016116722 A DE102016116722 A DE 102016116722A DE 102016116722 A1 DE102016116722 A1 DE 102016116722A1
Authority
DE
Germany
Prior art keywords
fin
source
gate
drain region
gate structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102016116722.3A
Other languages
German (de)
Inventor
Tung-Wen CHENG
Wei-Yang LO
Chih-Shan Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/200,770 external-priority patent/US10163898B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016116722A1 publication Critical patent/DE102016116722A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Eine Ausführungsform ist eine Struktur, die eine erste Finne über einem Substrat, eine zweite Finne über dem Substrat, wobei die zweite Finne der ersten Finne benachbart ist, ein Isolationsgebiet, das die erste Finne und die zweite Finne umgibt, wobei ein erster Abschnitt des Isolationsgebiets sich zwischen der ersten Finne und der zweiten Finne befindet, eine Gate-Struktur entlang Seitenwänden und über oberen Flächen der ersten Finne und der zweiten Finne, wobei die Gate-Struktur Kanalgebiete in der ersten Finne und der zweiten Finne definiert, einen Gate-Versiegelungsspacer auf Seitenwänden der Gate-Struktur, wobei ein erster Abschnitt des Gate-Versiegelungsspacers sich auf dem ersten Abschnitt des Isolationsgebiets zwischen der ersten Finne und der zweiten Finne befindet, und ein Source/Drain-Gebiet auf der ersten Finne und der zweiten Finne der Gate-Struktur benachbart umfasst.One embodiment is a structure having a first fin over a substrate, a second fin above the substrate, the second fin adjacent to the first fin, an isolation region surrounding the first fin and the second fin, a first portion of the isolation region is located between the first fin and the second fin, a gate structure along sidewalls and over upper surfaces of the first fin and the second fin, wherein the gate structure defines channel regions in the first fin and the second fin, a gate sealing spacer Side walls of the gate structure, wherein a first portion of the gate-sealing spacer is located on the first portion of the isolation region between the first fin and the second fin, and a source / drain region on the first fin and the second fin of the gate structure includes adjacent.

Description

INANSPRUCHNAHME EINER PRIORITÄT UND QUERVERWEISUSING A PRIORITY AND CROSS-REFERENCE

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung 62/327,135, die am 25. April 2016 mit dem Titel ”FINFETS AND METHODS OF FORMING FINFETS” eingereicht wurde, wobei diese Patentanmeldung durch Bezugnahme hierin aufgenommen ist.This application claims the benefit of US Provisional Application 62 / 327,135, filed on Apr. 25, 2016, entitled "FINFETS AND METHODS OF FORMING FINFETS", which patent application is incorporated herein by reference.

ALLGEMEINER STAND DER TECHNIKGENERAL PRIOR ART

Während die Halbleiterindustrie beim Streben nach höherer Bauelementdichte, höherer Leistung und niedrigeren Kosten Fortschritte bis in Nanometertechnologie-Prozessknoten gemacht hat, hat sich aus Herausforderungen im Hinblick auf sowohl Herstellungs- als auch auf Gestaltungsprobleme die Entwicklung dreidimensionaler Gestaltungen, wie beispielsweise eines Fin-Feldeffekttransistors (FinFET), ergeben. Ein typischer FinFET ist mit einer dünnen vertikalen „Finne” (oder Finnenstruktur) hergestellt, die sich von einem Substrat erstreckt und zum Beispiel durch Wegätzen eines Abschnitts einer Siliziumschicht des Substrats gebildet wird. Der Kanal des FinFET ist in dieser vertikalen Finne gebildet. Ein Gate wird über der Finne bereitgestellt (z. B. Wrapping). Das Vorhandensein eines Gates auf beiden Seiten des Kanals ermöglicht die Gate-Steuerung des Kanals von beiden Seiten. Es bestehen indes Herausforderungen bei der Ausführung solcher Merkmale und Verfahren bei der Halbleiterherstellung.As the semiconductor industry has progressed to nanometer technology process nodes in pursuit of higher device density, higher performance, and lower cost, challenges for both manufacturing and design problems have involved the development of three-dimensional designs, such as a fin field effect transistor (FinFET ). A typical FinFET is fabricated with a thin vertical "fin" (or fin structure) that extends from a substrate and is formed, for example, by etching away a portion of a silicon layer of the substrate. The channel of the FinFET is formed in this vertical fin. A gate is provided over the fin (eg, wrapping). The presence of a gate on both sides of the channel allows gate control of the channel from both sides. However, there are challenges in performing such features and methods in semiconductor manufacturing.

KURZE BESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Gesichtspunkte der vorliegenden Offenbarung sind bei der Lektüre der folgenden detaillierten Beschreibung im Zusammenhang mit den begleitenden Figuren am besten verständlich. Es sei erwähnt, dass verschiedene Merkmale gemäß der Standardpraxis in der Branche nicht maßstabsgetreu sind. Tatsächlich kann es sein, dass die Abmessungen der verschiedenen Merkmale der Verständlichkeit der Erörterung halber beliebig vergrößert oder verkleinert wurden.Aspects of the present disclosure will be best understood upon reading the following detailed description in conjunction with the accompanying drawings. It should be noted that various features are not to scale according to standard industry practice. In fact, the dimensions of the various features may have been arbitrarily increased or decreased for clarity of discussion.

1 ist ein Beispiel eines Fin-Feldeffekttransistors (FinFET) in einer dreidimensionalen Ansicht. 1 FIG. 12 is an example of a fin field effect transistor (FinFET) in a three-dimensional view.

2 bis 6, 7A7C, 8A8C, 9A9C und 10 bis 14 sind dreidimensionale und Querschnittsansichten von Zwischenstufen in der Herstellung von FinFETs gemäß einigen Ausführungsformen. 2 to 6 . 7A - 7C . 8A - 8C . 9A - 9C and 10 to 14 FIG. 3 are three-dimensional and cross-sectional views of intermediate stages in the fabrication of FinFETs according to some embodiments. FIG.

15 und 16 veranschaulichen Querschnittsansichten von Zwischenstufen der Verarbeitung einer Gate-Last-Struktur gemäß einigen Ausführungsformen. 15 and 16 12 illustrate cross-sectional views of intermediate stages of processing a gate-load structure according to some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Ausführung verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Bauteilen und Anordnungen sind in der Folge beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich lediglich um Beispiele und damit wird keine Einschränkung beabsichtigt. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, derart, dass es sein kann, dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugsziffern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient den Zwecken der Einfachheit und Deutlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor.The following disclosure provides many different embodiments or examples for carrying out various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are of course only examples and no limitation is intended. For example, the formation of a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features are interposed between the first and second features second feature may be formed such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and / or letters in the various examples. This repetition is for purposes of simplicity and clarity and as such does not dictate any relationship between the various embodiments and / or embodiments discussed.

Ferner können Begriffe, die ein räumliches Verhältnis beschreiben, wie beispielsweise ”unterhalb”, ”unter”, ”untere/r/s”, ”über”, ”obere/r/s” und dergleichen, hier der Einfachheit der Beschreibung halber verwendet werden, um das Verhältnis eines Elements oder Merkmals zu (einem) andere/n Element/en oder Merkmal/en zu beschreiben, wie in den Figuren veranschaulicht. Es wird beabsichtigt, dass Begriffe, die ein räumliches Verhältnis beschreiben, verschiedene Ausrichtungen des Bauelements bei der Verwendung oder beim Betrieb zusätzlich zu der in den Figuren bildlich dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in anderen Ausrichtungen) ausgerichtet sein und die Bezeichnungen für räumliche Verhältnisse, die hier verwendet werden, können ebenfalls dementsprechend ausgelegt werden.Further, terms describing a spatial relationship, such as "below," "below," "lower," "above," "upper," and the like, may be used herein for convenience of description to describe the relationship of an element or feature to another element (s) or feature (s), as illustrated in the figures. It is intended that terms describing a spatial relationship encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or in other orientations) and the spacial designations used herein may also be construed accordingly.

Gemäß verschiedenen Ausführungsformen werden ein Fin-Feldeffekttransistor (FinFETs) und Verfahren zu dessen Bildung bereitgestellt. Es sind Zwischenstufen der Bildung von FinFETs veranschaulicht. Einige hier erörterte Ausführungsformen werden im Zusammenhang von FinFETs erörtert, die unter Verwendung eines Gate-First-Verfahrens gebildet werden. In anderen Ausführungsformen kann ein Gate-Last-Verfahren verwendet werden (das manchmal auch als Replacement-Gate-Verfahren bezeichnet wird). Es werden einige Varianten der Ausführungsformen erörtert. Ein Durchschnittsfachmann wird ohne weiteres andere Abwandlungen verstehen, die vorgenommen werden können und die innerhalb des Schutzbereichs anderer Ausführungsformen ins Auge gefasst werden. Obgleich Verfahrensausführungsformen in einer bestimmten Reihenfolge erörtert werden, können verschiedene andere Verfahrensausführungsformen in irgendeiner logischen Reihenfolge durchgeführt werden und können weniger oder mehr hier beschriebene Schritte umfassen.According to various embodiments, a fin field effect transistor (FinFETs) and methods of forming the same are provided. Intermediates of the formation of FinFETs are illustrated. Some embodiments discussed herein are discussed in the context of FinFETs formed using a gate first method. In other embodiments, a gate-load method may be used (sometimes also referred to as a replacement gate method referred to as). Some variations of the embodiments will be discussed. One of ordinary skill in the art will readily appreciate other modifications that can be made and contemplated within the scope of other embodiments. Although method embodiments are discussed in a particular order, various other method embodiments may be performed in any logical order and may include fewer or more steps described herein.

Bevor die veranschaulichten Ausführungsformen spezifisch behandelt werden, werden bestimmte vorteilhafte Merkmale und Gesichtspunkte der vorliegenden offenbarten Ausführungsformen allgemein behandelt. In allgemeinen Worten ist die vorliegende Offenbarung ein Halbleiterbauelement und ein Verfahren zu dessen Bildung, um einen einfachen und wirtschaftlichen Verfahrensablauf bereitzustellen, um ein/e epitaktische/s Source/Drain in einem FinFET mit weniger Fehlern (wie beispielsweise Dislokationen), zumindest in der Nähe eines Kanalgebiets des FinFET zur Verbesserung des Bauelements zu bewerkstelligen. Zusätzlich kann ein einfacher und wirtschaftlicher Verfahrensablauf eine bessere Isolation zwischen benachbarten Finnen bewerkstelligen, indem die Ableitung zwischen benachbarten Finnen verringert wird, und kann auch den Kontaktwiderstand zum Source/Drain-Gebiet verringern. Insbesondere umfassen Ausführungsformen wie beispielsweise die in der Folge offenbarten einen Verfahrensablauf und eine Struktur, die epitaktisch gewachsene Source/Drain-Gebiete mit etwas von dem Isolationsmaterial des Isolationsgebiets (z. B. Grabenisolationsgebiet – Shallow Trench Isolation (STI)) und etwas von dem Seitenwand-Spacer-Material nutzt, das zwischen den benachbarten Finnen in den Source/Drain-Gebieten verbleibt. Dieses übrige Isolationsmaterial und Spacer-Material unterdrückt die Erzeugung von Dislokationen, da es den Betrag des epitaktischen Volumens zwischen benachbarten Finnen verringert. Ferner kann das übrige Isolationsmaterial und Spacer-Material die Kapazität zwischen der epitaktischen Source/Drain-Struktur verringern. Diese verringerte Kapazität kann eine bessere Wechselstromleistung (AC) für das Bauelement ermöglichen. Ferner kann eine obere Fläche der epitaktischen Source/Drain-Struktur eine nicht ebene (z. B. wellenförmige und/oder gewellte) obere Fläche aufweisen, was die Kontaktoberfläche für den darüberliegenden Kontakt vergrößern kann. Diese vergrößerte Kontaktoberfläche kann den Kontaktwiderstand zum Source/Drain-Gebiet verringern.Before the illustrated embodiments are specifically treated, certain advantageous features and aspects of the presently disclosed embodiments are generally discussed. In general terms, the present disclosure is a semiconductor device and a method of forming it to provide a simple and economical process flow to an epitaxial source / drain in a FinFET with fewer errors (such as dislocations), at least in the vicinity a channel region of the FinFET to improve the device to accomplish. In addition, a simple and economical procedure can provide better isolation between adjacent fins by reducing the leakage between adjacent fins, and can also reduce the contact resistance to the source / drain region. In particular, embodiments such as the sequential disclosed methodology and structure include the epitaxially grown source / drain regions with some of the isolation material of the isolation region (eg, trench isolation region (STI)) and some of the sidewall Spacer material that remains between the adjacent fins in the source / drain regions. This residual insulation material and spacer material suppresses the generation of dislocations because it reduces the amount of epitaxial volume between adjacent fins. Furthermore, the remainder of the insulating material and spacer material can reduce the capacitance between the epitaxial source / drain structure. This reduced capacity may allow for better AC power (AC) for the device. Further, an upper surface of the epitaxial source / drain structure may have a non-planar (eg, undulating and / or undulating) upper surface, which may increase the contact surface for the overlying contact. This increased contact surface can reduce the contact resistance to the source / drain region.

1 veranschaulicht ein Beispiel eines FinFET 30 in einer dreidimensionalen Ansicht. Der FinFET 30 umfasst eine Finne 36 auf einem Substrat 32. Das Substrat 32 umfasst Isolationsgebiete 34 und die Finne 36 steht über und von zwischen benachbarten Isolationsgebieten 34 hervor. Ein Gate-Dielektrikum 38 befindet sich entlang von Seitenwänden und über einer oberen Fläche der Finne 36 und eine Gate-Elektrode 40 befindet sich über dem Gate-Dielektrikum 38. Die Source/Drain-Gebiete 42 und 44 sind in Bezug zum Gate-Dielektrikum 38 und zur Gate-Elektrode 40 in entgegengesetzten Seiten der Finne 36 angeordnet. 1 veranschaulicht ferner Bezugsquerschnitte, die in nachfolgenden Figuren verwendet werden. Der Querschnitt B-B verläuft quer durch einen Kanal, das Gate-Dielektrikum 38 und die Gate-Elektrode 40 des FinFET 30. Der Querschnitt C-C verläuft parallel zum Querschnitt B-B und verläuft quer durch ein Source/Drain-Gebiet 42. Der Querschnitt A-A verläuft senkrecht zum Querschnitt B-B und verläuft entlang einer Längsachse der Finne 36 und in einer Richtung von zum Beispiel einem Stromfluss zwischen dem Source/Drain-Gebieten 42 und 44. Die nachfolgenden Figuren beziehen sich der Deutlichkeit halber auf diese Bezugsquerschnitte. 1 illustrates an example of a FinFET 30 in a three-dimensional view. The FinFET 30 includes a fin 36 on a substrate 32 , The substrate 32 includes isolation areas 34 and the Finn 36 stands above and from between neighboring isolation areas 34 out. A gate dielectric 38 is located along sidewalls and over an upper surface of the fin 36 and a gate electrode 40 is located above the gate dielectric 38 , The source / drain regions 42 and 44 are in relation to the gate dielectric 38 and to the gate electrode 40 in opposite sides of the fin 36 arranged. 1 further illustrates reference cross sections used in subsequent figures. The cross section BB extends transversely through a channel, the gate dielectric 38 and the gate electrode 40 of the FinFET 30 , The cross section CC runs parallel to the cross section BB and extends transversely through a source / drain region 42 , The cross section AA is perpendicular to the cross section BB and extends along a longitudinal axis of the fin 36 and in a direction of, for example, a current flow between the source / drain regions 42 and 44 , The following figures refer to the clarity of these reference cross-sections.

2 bis 6, 7A7C, 8A8C, 9A9C und 10 bis 14 sind dreidimensionale und Querschnittsansichten von Zwischenstufen in der Herstellung von FinFETs gemäß einigen Ausführungsformen. 2 bis 6, 7A7C, 8A8C, 9A9C und 10 bis 16 veranschaulichen einen FinFET, der dem FinFET 30 in 1 bis auf mehrere Finnen ähnlich ist. 2 bis 6 veranschaulichen den Querschnitt B-B. In 7A bis 9C sind Figuren, deren Bezeichnung mit einem „A” endet, dreidimensionale Ansichten, Figuren, deren Bezeichnung mit einem „B” endet, veranschaulichen den Querschnitt B-B, und Figuren, deren Bezeichnung mit einem „C” endet, veranschaulichen den Querschnitt C-C. 10 und 12 bis 14 veranschaulichen den Querschnitt C-C und 11 veranschaulicht den Querschnitt A-A. 2 to 6 . 7A - 7C . 8A - 8C . 9A - 9C and 10 to 14 FIG. 3 are three-dimensional and cross-sectional views of intermediate stages in the fabrication of FinFETs according to some embodiments. FIG. 2 to 6 . 7A - 7C . 8A - 8C . 9A - 9C and 10 to 16 illustrate a FinFET associated with the FinFET 30 in 1 is similar to several Finns. 2 to 6 illustrate the cross section BB. In 7A to 9C For example, figures whose designation ends with an "A", three-dimensional views, figures whose designation ends with a "B" illustrate the cross section BB, and figures whose designation ends with a "C" illustrate the cross section CC. 10 and 12 to 14 illustrate the cross section CC and 11 illustrates the cross section AA.

2 veranschaulicht ein Substrat 50. Das Substrat 50 kann ein Halbleitersubstrat sein, wie beispielsweise ein Volumenhalbleiter, ein Semiconductor-On-Insulator (SOI) Substrat oder dergleichen, das dotiert (z. B. mit einem p- oder einem n-Dotierstoff) oder undotiert sein kann. Das Substrat 50 kann ein Wafer sein, wie beispielsweise ein Silizium-Wafer. Allgemein umfasst ein SOI-Substrat eine Schicht aus Halbleitermaterial, die auf einer Isolationsschicht gebildet ist. Die Isolationsschicht kann zum Beispiel eine Schicht mit vergrabenem Oxid (Buried Oxide – BOX), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht ist auf einem Substrat bereitgestellt, typischerweise einem Silizium- oder Glassubstrat. Es können auch andere Substrate verwendet werden, wie beispielsweise ein mehrschichtiges oder Gradient-Substrat. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid, und/oder Indiumantimonid umfasst; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP umfasst; oder Kombinationen davon umfassen. 2 illustrates a substrate 50 , The substrate 50 may be a semiconductor substrate, such as a bulk semiconductor, a semiconductor-on-insulator (SOI) substrate, or the like, which may be doped (eg, with a p- or n-type dopant) or undoped. The substrate 50 may be a wafer, such as a silicon wafer. Generally, an SOI substrate comprises a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer, or the like. The insulating layer is provided on a substrate, typically a silicon or glass substrate. Other substrates may also be used, such as a multilayer or gradient substrate. In some embodiments, the semiconductor material of the substrate 50 Silicon; germanium; a compound semiconductor containing silicon carbide, gallium arsenide, gallium phosphide, Indium phosphide, indium arsenide, and / or indium antimonide; an alloy semiconductor comprising SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof.

Das Substrat 50 kann integrierte Schaltungsbauelemente (nicht gezeigt) umfassen. Wie ein Durchschnittsfachmann erkennen wird, kann eine große Vielzahl von integrierten Schaltungsbauelementen, wie beispielsweise Transistoren, Dioden, Kondensatoren, Widerstände, dergleichen oder Kombinationen davon in und/oder auf dem Substrat 50 gebildet werden, um die strukturellen und funktionellen Anforderungen der Gestaltung für den FinFET zu erzeugen. Die integrierten Schaltungsbauelemente können unter Verwendung von irgendwelchen zweckmäßigen Verfahren gebildet werden.The substrate 50 may include integrated circuit devices (not shown). As one of ordinary skill in the art will appreciate, a wide variety of integrated circuit devices, such as transistors, diodes, capacitors, resistors, the like, or combinations thereof may be incorporated in and / or on the substrate 50 to create the structural and functional requirements of the design for the FinFET. The integrated circuit devices may be formed using any convenient method.

3 veranschaulicht die Bildung und Strukturierung einer Maskenschicht 56 über dem Substrat 50 und die Strukturierung des Substrats 50 unter Verwendung der Maskenschicht 52 zum Bilden von Halbleiterstreifen 60. In einigen Ausführungsformen ist die Maskenschicht 52 eine harte Maske und kann in der Folge als harte Maske 52 bezeichnet werden. Die harte Maske 52 kann aus Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid, Siliziumcarbonitrid, dergleichen oder einer Kombination davon gebildet sein. 3 illustrates the formation and patterning of a mask layer 56 above the substrate 50 and the structuring of the substrate 50 using the mask layer 52 for forming semiconductor strips 60 , In some embodiments, the mask layer is 52 a hard mask and can subsequently be used as a hard mask 52 be designated. The hard mask 52 may be formed of silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, the like, or a combination thereof.

In einigen Ausführungsformen können die Halbleiterstreifen 60 durch Ätzen von Gräben in das Substrat 50 gebildet werden. Das Ätzen kann irgendein annehmbares Ätzverfahren, wie beispielsweise reaktives Ionenätzen (Reactive Ion Etch – RIE), Neutralstrahlätzen (Neutral Beam Etch – NBE), dergleichen oder eine Kombination davon sein. Das Ätzen kann anisotrop sein.In some embodiments, the semiconductor strips may 60 by etching trenches in the substrate 50 be formed. The etching may be any acceptable etching technique, such as Reactive Ion Etch (RIE), Neutral Beam Etch (NBE), the like, or a combination thereof. The etching can be anisotropic.

4 veranschaulicht die Bildung eines Isolationsmaterials zwischen benachbarten Halbleiterstreifen 60 zum Bilden von Isolationsgebieten 62. Das Isolationsmaterial kann ein Oxid, wie beispielsweise Siliziumoxid, ein Nitrid, dergleichen oder eine Kombination davon sein, und kann durch eine chemische Gasphasenabscheidung mit Plasma hoher Dichte (High Density Plasma Chemical Vapor Deposition – HDP-CVD), einer Flowable CVD (FCVD) (z. B. einer CVD-basierten Materialabscheidung in einem entfernten Plasmasystem und einer Nachhärtung, um sie in ein anderes Material, wie beispielsweise ein Oxid, umzuwandeln), dergleichen oder eine Kombination davon gebildet werden. Es können auch andere Isolationsmaterialien verwendet werden, die durch irgendein annehmbares Verfahren gebildet werden. In der veranschaulichten Ausführungsform ist das Isolationsmaterial ein durch ein FCVD-Verfahren gebildetes Siliziumoxid. Nach der Bildung des Isolationsmaterials kann ein Temperverfahren durchgeführt werden. Ferner kann in 4 ein Planarisierungsverfahren, wie beispielsweise ein chemisch-mechanisches Polieren (CMP), irgendein überschüssiges Isolationsmaterial (und, falls vorhanden, die harte Maske 56) entfernen und obere Flächen auf den Isolationsgebieten 62 und obere Flächen der Halbleiterstreifen 60, die koplanar sind, bilden. 4 illustrates the formation of an insulating material between adjacent semiconductor strips 60 for forming isolation areas 62 , The insulating material may be an oxide such as silicon oxide, a nitride, the like, or a combination thereof, and may be obtained by high density plasma chemical vapor deposition (HDP-CVD) or flowable CVD (FCVD) (US Pat. for example, a CVD-based material deposition in a remote plasma system and a post-cure to convert it to another material, such as an oxide), the like, or a combination thereof. Other insulating materials formed by any acceptable method may also be used. In the illustrated embodiment, the insulating material is a silicon oxide formed by a FCVD process. After the formation of the insulating material, a tempering process may be performed. Furthermore, in 4 a planarization process, such as chemical mechanical polishing (CMP), any excess insulating material (and, if present, the hard mask 56 ) and remove top surfaces in the isolation areas 62 and upper surfaces of the semiconductor strips 60 that are coplanar form.

5 veranschaulicht die Vertiefung der Isolationsgebiete 62, wie zum Beispiel zum Bilden von Grabenisolationsgebieten (Shallow Trench Isolation – STI) 62. Die Isolationsgebiete 62 werden derart vertieft, dass die oberen Abschnitte der Halbleiterstreifen 60 von zwischen benachbarten Isolationsgebieten 62 hervorstehen und Halbleiterfinnen 64 bilden. Die oberen Flächen der Isolationsgebiete 62 können eine flache Fläche, wie veranschaulicht, eine konvexe Fläche, eine konkave Fläche (wie beispielsweise Dishing) oder eine Kombination davon aufweisen. Die oberen Flächen der Isolationsgebiete 62 können durch ein zweckmäßiges Ätzen flach, konvex und/oder konkav gebildet werden. Die Isolationsgebiete 62 können unter Verwendung eines annehmbaren Ätzverfahrens vertieft werden, wie beispielsweise einem, das für das Material der Isolationsgebiete 62 selektiv ist. Zum Beispiel kann eine chemische Oxidentfernung unter Verwendung einer CERTAS®-Ätzung oder eines Applied Materials SICONI Werkzeugs oder verdünnter Fluorwasserstoffsäure (Dilute Hydrofluoric – dHF) verwendet werden. 5 illustrates the depression of the isolation areas 62 such as for forming trench isolation areas (shallow trench isolation - STI) 62 , The isolation areas 62 are deepened such that the upper portions of the semiconductor strips 60 from between adjacent isolation areas 62 stand out and semiconductor fins 64 form. The upper surfaces of the isolation areas 62 For example, a flat surface as illustrated may have a convex surface, a concave surface (such as dishing), or a combination thereof. The upper surfaces of the isolation areas 62 can be formed flat, convex and / or concave by appropriate etching. The isolation areas 62 can be recessed using an acceptable etching process, such as that for the material of the isolation regions 62 is selective. For example, a chemical oxide removal using a CERTAS ® etch or an Applied Materials SICONI tool or diluted hydrofluoric acid (Dilute Hydrofluoric - DHF) are used.

2 bis 5 veranschaulichen eine Ausführungsform zum Bilden von Finnen 64 aber Finnen können in vielen verschiedenen Verfahren gebildet werden. In einem Beispiel können die Finnen durch Ätzen von Gräben in einem Substrat zum Bilden von Halbleiterstreifen gebildet werden; die Gräben können mit einer dielektrischen Schicht gefüllt werden; und die dielektrische Schicht kann vertieft werden, derart, dass die Halbleiterstreifen von der dielektrischen Schicht hervorstehen, um Finnen zu bilden. In einem anderen Beispiel kann eine dielektrische Schicht über einer oberen Fläche eines Substrats gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaktische Strukturen können epitaktisch in den Gräben wachsen gelassen werden; und die dielektrische Schicht kann vertieft werden, derart, dass die homoepitaktischen Strukturen von der dielektrischen Schicht hervorstehen, um Finnen zu bilden. In noch einem anderen Beispiel können heteroepitaktische Strukturen für die Finnen verwendet werden. Zum Beispiel können die Halbleiterstreifen vertieft werden und ein Material, das sich von den Halbleiterstreifen unterscheidet, kann an ihrer Stelle epitaktisch wachsen gelassen werden. In noch einem weiteren Beispiel kann eine dielektrische Schicht über einer oberen Fläche eines Substrats gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; heteroepitaktische Strukturen können in den Gräben unter Verwendung eines Materials epitaktisch wachsen gelassen werden, das sich vom Substrat unterscheidet; und die dielektrische Schicht kann vertieft werden, derart, dass die heteroepitaktischen Strukturen von der dielektrischen Schicht hervorstehen, um Finnen zu bilden. In einigen Ausführungsformen, in denen homoepitaktische oder heteroepitaktische Strukturen epitaktisch wachsen gelassen werden, können die gewachsenen Materialien während des Wachstums an Ort und Stelle dotiert werden, wodurch vorherige und nachfolgende Implantationen vermieden werden können, obgleich Dotierung an Ort und Stelle und Implantation gemeinsam verwendet werden können. Ferner kann es vorteilhaft sein, ein Material in einem NMOS-Gebiet epitaktisch wachsen zu lassen, das sich von dem Material in einem PMOS-Gebiet unterscheidet. In verschiedenen Ausführungsformen können die Finnen Siliziumgermanium (SixGe1-x, wo x zwischen ungefähr 0 und 100 betragen kann), Siliziumkarbid, reines oder im Wesentlichen reines Germanium, einen III-V-Verbindungshalbleiter, einen II-VI-Verbindungshalbleiter oder dergleichen umfassen. Zum Beispiel umfassen die verfügbaren Materialien zum Bilden von III-V-Verbundhalbleitern InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen, sind aber nicht darauf beschränkt. 2 to 5 illustrate an embodiment for forming fins 64 but Finns can be formed in many different ways. In one example, the fins may be formed by etching trenches in a substrate to form semiconductor strips; the trenches can be filled with a dielectric layer; and the dielectric layer may be recessed such that the semiconductor strips protrude from the dielectric layer to form fins. In another example, a dielectric layer may be formed over an upper surface of a substrate; Trenches can be etched through the dielectric layer; homoepitaxial structures can be epitaxially grown in the trenches; and the dielectric layer may be recessed such that the homoepitaxial structures protrude from the dielectric layer to form fins. In yet another example, heteroepitaxial structures may be used for the fins. For example, the semiconductor strips may be recessed, and a material different from the semiconductor strips may be epitaxially grown in their place. In yet another example, a dielectric layer may be formed over an upper surface of a substrate; Trenches can be etched through the dielectric layer; Heteroepitaxial structures can be epitaxially grown in the trenches using a material that differs from the substrate; and the dielectric layer may be recessed such that the heteroepitaxial structures protrude from the dielectric layer to form fins. In some embodiments, where homoepitaxial or heteroepitaxial structures are grown epitaxially, the grown materials may be doped in place during growth, thereby avoiding previous and subsequent implantations, although on-site doping and implantation may be shared , Further, it may be advantageous to epitaxially grow a material in an NMOS region that is different from the material in a PMOS region. In various embodiments, the fins may include silicon germanium (SixGe1-x, where x may be between about 0 and 100), silicon carbide, pure or substantially pure germanium, a III-V compound semiconductor, an II-VI compound semiconductor, or the like. For example, the available materials for forming III-V compound semiconductors include, but are not limited to, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP, and the like.

6 veranschaulicht die Bildung einer Gate-Struktur über den Halbleiterfinnen 64. Eine dielektrische Schicht (nicht gezeigt) ist auf den Halbleiterfinnen 64 und den Isolationsgebieten 62 gebildet. Die dielektrische Schicht kann zum Beispiel Siliziumoxid, Siliziumnitrid, mehrere Schichten davon oder dergleichen sein und kann gemäß annehmbaren Techniken abgeschieden oder thermisch gewachsen werden. In einigen Ausführungsformen kann die dielektrische Schicht ein High-k-Dielektrikum sein und in diesen Ausführungsformen kann die dielektrische Schicht einen k-Wert aufweisen, der höher als etwa 7.0 ist, und können ein Metalloxid oder ein Silikat aus Hf, Al, Zr, La, Mg, Ba, Ti, Pb, mehrere Schichten davon und Kombinationen davon aufweisen. Die Verfahren zur Bildung der dielektrischen Schicht können eine Molekularstrahlabscheidung (Molecular-Beam Deposition – MBD), Atomlagenabscheidung (Atomic Layer Deposition – ALD), plasmaunterstützte CVD (Plasma-Enhanced CVD – PECVD) und dergleichen umfassen. 6 illustrates the formation of a gate structure over the semiconductor fins 64 , A dielectric layer (not shown) is on the semiconductor fins 64 and the isolation areas 62 educated. The dielectric layer may be, for example, silicon oxide, silicon nitride, multiple layers thereof, or the like, and may be deposited or thermally grown according to acceptable techniques. In some embodiments, the dielectric layer may be a high-k dielectric, and in these embodiments, the dielectric layer may have a k value that is greater than about 7.0, and may be a metal oxide or a silicate of Hf, Al, Zr, La , Mg, Ba, Ti, Pb, multiple layers thereof, and combinations thereof. The methods for forming the dielectric layer may include Molecular Beam Deposition (MBD), Atomic Layer Deposition (ALD), Plasma Enhanced CVD (PECVD), and the like.

Eine Gate-Schicht (nicht gezeigt) ist über der dielektrischen Schicht gebildet und eine Maskenschicht (nicht gezeigt) ist über der Gate-Schicht gebildet. Die Gate-Schicht kann über der dielektrischen Schicht abgeschieden und dann planarisiert werden, wie beispielsweise durch ein CMP. Die Maskenschicht kann über der Gate-Schicht abgeschieden werden. Die Gate-Schicht kann zum Beispiel aus Polysilizium gebildet sein, obgleich auch andere Materialien verwendet werden können. In einigen Ausführungsformen kann die Gate-Schicht ein Metall enthaltendes Material, wie beispielsweise TiN, TaN, TaC, Co, Ru, Al, Kombinationen davon oder mehrere Schichten davon umfassen. Die Maskenschicht kann zum Beispiel aus Siliziumnitrid oder dergleichen gebildet werden.A gate layer (not shown) is formed over the dielectric layer and a mask layer (not shown) is formed over the gate layer. The gate layer may be deposited over the dielectric layer and then planarized, such as by a CMP. The mask layer may be deposited over the gate layer. The gate layer may be formed of polysilicon, for example, although other materials may be used. In some embodiments, the gate layer may comprise a metal-containing material such as TiN, TaN, TaC, Co, Ru, Al, combinations thereof, or multiple layers thereof. The mask layer may be formed of silicon nitride or the like, for example.

Nachdem die Schichten gebildet werden, kann die Maskenschicht unter Verwendung annehmbarer Photolithographie- und Ätztechniken strukturiert werden, um die Maske 70 zu bilden. Die Struktur der Maske 70 kann dann durch eine annehmbare Ätztechnik auf die Gate-Schicht und die dielektrische Schicht übertragen werden, um das Gate 68 und das Gate-Dielektrikum 66 zu bilden. Das Gate 68 und das Gate-Dielektrikum 66 decken entsprechende Kanalgebiete der Halbleiterfinnen 64 ab. Das Gate 68 kann auch eine Längsrichtung aufweisen, die im Wesentlichen senkrecht zur Längsrichtung der entsprechenden Halbleiterfinnen 64 ist.After the layers are formed, the mask layer may be patterned using acceptable photolithography and etching techniques to form the mask 70 to build. The structure of the mask 70 can then be transferred by an acceptable etching technique to the gate layer and the dielectric layer to the gate 68 and the gate dielectric 66 to build. The gate 68 and the gate dielectric 66 cover corresponding channel areas of the semiconductor fins 64 from. The gate 68 may also have a longitudinal direction which is substantially perpendicular to the longitudinal direction of the corresponding semiconductor fins 64 is.

7A, 7B und 7C veranschaulichen die Bildung von Gate-Versiegelungsspacern 72 auf freiliegenden Flächen von Isolationsgebieten 62, Halbleiterfinnen 64, dem Gate 68 und der Maske 70. Die Gate-Versiegelungsspacer 72 können durch ein thermisches Oxidations- oder ein Abscheidungsverfahren gebildet werden. In einigen Ausführungsformen können die Gate-Versiegelungsspacer 72 aus einem Nitrid, wie beispielsweise Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid, Siliziumcarbonitrid, dergleichen oder einer Kombination davon gebildet sein. 7A . 7B and 7C illustrate the formation of gate seal spacers 72 on exposed surfaces of isolation areas 62 , Semiconductor fins 64 , the gate 68 and the mask 70 , The gate sealing spacers 72 may be formed by a thermal oxidation or a deposition process. In some embodiments, the gate sealing spacers 72 may be formed of a nitride such as silicon nitride, silicon oxynitride, silicon carbide, silicon carbonitride, the like, or a combination thereof.

8A, 8B und 8C veranschaulichen das Entfernen von Abschnitten der Gate-Versiegelungsspacer außerhalb der Seitenwände der Gate-Strukturen. In einigen Ausführungsformen kann ein anisotropes Ätzverfahren, wie beispielsweise ein Trockenätzverfahren, verwendet werden, um Abschnitte der Gate-Versiegelungsspacer 72 außerhalb der Seitenwände der Gate-Strukturen zu entfernen. In einigen Ausführungsformen bleiben nach dem Ätzverfahren einige Abschnitte der Gate-Versiegelungsspacer 72 auf den Isolationsgebieten 62 zwischen den benachbarten Halbleiterfinnen 64 (siehe 8C, 9C, 10 und 12 bis 14). Der Grund dafür, dass etwas Gate-Versiegelungsspacer-Material 72 auf den Isolationsgebieten 62 bleibt, kann zumindest teilweise darauf beruhen, dass das Gate-Versiegelungsspacer-Material 72 auf den Isolationsgebieten 62 im Vergleich zur oberen Fläche der Halbleiterfinnen 64 (siehe 7C) dicker gebildet ist. 8A . 8B and 8C illustrate the removal of portions of the gate seal spacers outside the sidewalls of the gate structures. In some embodiments, an anisotropic etch process, such as a dry etch process, may be used to extend portions of the gate seal spacers 72 outside the sidewalls of the gate structures. In some embodiments, after the etching process, some portions of the gate sealing gap remain 72 in the isolation areas 62 between the adjacent semiconductor fins 64 (please refer 8C . 9C . 10 and 12 to 14 ). The reason that some gate sealer spacer material 72 in the isolation areas 62 may at least partly rely on the gate seal spacer material 72 in the isolation areas 62 compared to the upper surface of the semiconductor fins 64 (please refer 7C ) is formed thicker.

Ferner werden in 8A, 8B, 8C und 9A, 9B und 9C die Finnen 64 außerhalb der Gate-Strukturen entfernt. Die Gate-Strukturen können während der Entfernung der Finnen 64 als eine Maske verwendet werden. In einigen Ausführungsformen ist die Entfernung der Finnen 64 außerhalb der Gate-Struktur ein Entfernungsverfahren mit mehreren Schritten. In einer Ausführungsform umfasst das Verfahren zur Entfernung in mehreren Schritten ein erstes Verfahren zum Trockenätzen und ein zweites Verfahren zum Nassätzen. Wie in 8A, 8B und 8C veranschaulicht, entfernt das erste Verfahren zum Trockenätzen die oberen Abschnitte der Finnen 64 außerhalb der Gate-Struktur, während Gate-Versiegelungsspacer-Material 72' auf den Isolationsgebieten zwischen benachbarten Finnen 64 beibehalten wird. Das zweite Verfahren zum Nassätzen ätzt selektiv die verbleibenden Abschnitte der Finnen 64 und ätzt in einigen Ausführungsformen in die Halbleiterstreifen 60 unter einer oberen Fläche der Isolationsgebiete 62, um Vertiefungen 76 in den Halbleiterfinnen 64 und/oder Isolationsgebieten 62 zu bilden.Furthermore, in 8A . 8B . 8C and 9A . 9B and 9C Finns 64 removed outside the gate structures. The gate structures may be during the removal of the fins 64 be used as a mask. In some embodiments, the removal of the fins is 64 outside the gate structure, a multi-step removal process. In an embodiment, the method of removal in several steps a first method for dry etching and a second method for wet etching. As in 8A . 8B and 8C 1, the first dry etching process removes the upper portions of the fins 64 outside the gate structure while gate-sealing spacer material 72 ' on the isolation areas between adjacent fins 64 is maintained. The second method of wet etching selectively etches the remaining portions of the fins 64 and, in some embodiments, etches into the semiconductor strips 60 under an upper surface of the isolation areas 62 to depressions 76 in the semiconductor fins 64 and / or isolation areas 62 to build.

Das Trockenätzverfahren des ersten Schritts kann irgendein annehmbares Ätzverfahren, wie beispielsweise ein RIE, NBE, dergleichen oder eine Kombination davon sein. In einer Ausführungsform ist das Trockenätzverfahren des ersten Schritts ein Plasma-Trockenätzverfahren mit einem geringeren Beschuss, derart, dass das Gate-Versiegelungsspacer-Material 72' auf den Isolationsgebieten 62 zwischen den benachbarten Halbleiterfinnen erhalten bleibt. Das Ätzen kann anisotrop sein. In einigen Ausführungsformen weist das Trockenätzverfahren des ersten Schritts eine Vorspannung von kleiner oder gleich etwa 50 Volt in einer Umgebung mit einem Druck von größer oder gleich etwa 100 Millitorr (mTorr) auf. Das Plasma kann durch irgendein zweckmäßiges Verfahren zum Erzeugen des Plasmas erzeugt werden, wie beispielsweise einen an einen Transformator gekoppelten Plasmagenerator, induktiv gekoppelte Plasmasysteme, magnetisch unterstütztes reaktives Ionenätzen, Elektronenzyklotronresonanz, einen entfernten Plasmagenerator oder dergleichen.The dry etching method of the first step may be any acceptable etching method such as RIE, NBE, the like, or a combination thereof. In one embodiment, the dry etching process of the first step is a plasma dry etching process with less bombardment such that the gate seal spacer material 72 ' in the isolation areas 62 is maintained between the adjacent semiconductor fins. The etching can be anisotropic. In some embodiments, the dry step method of the first step has a bias of less than or equal to about 50 volts in an environment having a pressure greater than or equal to about 100 millitorr (mTorr). The plasma may be generated by any convenient method of generating the plasma, such as a plasma generator coupled to a transformer, inductively coupled plasma systems, magnetically assisted reactive ion etching, electron cyclotron resonance, a remote plasma generator, or the like.

Wie in 9A, 9B und 9C veranschaulicht, entfernt nach dem ersten Trockenätzverfahren das zweite Nassätzverfahren ferner die Finnen 64/60 zwischen den verbleibenden Isolationsgebieten 62 und dem verbleibenden Gate-Versiegelungsspacer-Material 72', um Vertiefungen 76 zu bilden. In einigen Ausführungsformen weisen die Vertiefungen 76 Flächen auf, die sich unter obere Flächen der Isolationsgebiete 62 erstrecken. Dieses zweite Verfahren zum Nassätzen kann irgendein annehmbares Ätzverfahren sein, wie beispielsweise ein Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH), ein Nassätzmittel, das in der Lage ist, die Finnen 64/60 mit einer guten Ätzselektivität zwischen dem Material der Finnen 64/60 und einem Material der Isolationsgebiete 62 und dem Gate-Versiegelungsspacer-Material 72 zu ätzen. Das Ätzen kann isotrop sein. In einigen Ausführungsformen kann nach dem Durchführen von sowohl dem Trocken- als auch dem Nassätzverfahren das übrige Gate-Versiegelungsspacer-Material 72' aufgrund der Ätzverfahren abgerundete obere Flächen aufweisen (siehe 9C). In einigen Ausführungsformen liegen die oberen Flächen der Halbleiterstreifen 60 zumindest an Abschnitten der unteren Flächen der Vertiefungen 76 frei.As in 9A . 9B and 9C FIG. 1 illustrates that, after the first dry etching process, the second wet etching process further removes the fins 64 / 60 between the remaining isolation areas 62 and the remaining gate seal spacer material 72 ' to depressions 76 to build. In some embodiments, the wells have 76 Surfaces on, extending below upper surfaces of the isolation areas 62 extend. This second method of wet etching may be any acceptable etching method, such as a tetramethylammonium hydroxide (TMAH), ammonium hydroxide (NH 4 OH), a wet etchant that is capable of fines 64 / 60 with a good etch selectivity between the material of the fins 64 / 60 and a material of the isolation areas 62 and the gate seal spacer material 72 to etch. The etching can be isotropic. In some embodiments, after performing both the dry and wet etch processes, the remaining gate seal spacer material may be used 72 ' due to the etching process have rounded upper surfaces (see 9C ). In some embodiments, the top surfaces of the semiconductor strips lie 60 at least at portions of the lower surfaces of the recesses 76 free.

10 veranschaulicht die Bildung der Source/Drain-Gebiete 80. Die Source/Drain-Gebiete 80 werden in den Vertiefungen 76 durch epitaktisches Wachsen eines Materials in den Vertiefungen gebildet, wie beispielsweise durch metallorganische Gasphasenabscheidung (Metal Organic CVD – MOCVD), Molekularstrahlepitaxie (Molecular Beam Epitaxy – MBE), Flüssigphasenepitaxie (Liquid Phase Epitaxy – LPE), Gasphasenepitaxie (Vapor Phase Epitaxy – VPE), selektives epitaktisches Wachstum (Selective Epitaxial Growth – SEG), dergleichen oder eine Kombination davon. Wie in 10 veranschaulicht, werden aufgrund der Sperre des verbleibenden Isolationsgebietmaterials 62 und des verbleibenden Gate-Versiegelungsspacer-Materials 72' zwischen den benachbarten Finnen 64/60 die Source/Drain-Gebiete 80 zuerst vertikal in den Vertiefungen 76 (Abschnitt B in 10) wachsen gelassen, wobei die Source/Drain-Gebiete 80 während dieser Zeit nicht horizontal wachsen. Nachdem die Vertiefungen 76 vollständig gefüllt wurden, werden die Source/Drain-Gebiete 80 sowohl vertikal als auch horizontal wachsen gelassen, um Facetten zu bilden (Abschnitt A in 10). Wie in 10 veranschaulicht, kann das verbleibende Gate-Versiegelungsspacer-Material 72' aufgrund der Ätzschritte und/oder Kraft vom Wachstum der epitaktischen Source/Drain-Gebiete 80 runde obere Flächen und unebene Seitenwände (d. h. wellige oder wellenförmige Seitenwände) aufweisen. 10 illustrates the formation of the source / drain regions 80 , The source / drain regions 80 be in the wells 76 formed by epitaxial growth of a material in the wells, such as by metalorganic CVD (MOCVD), molecular beam epitaxy (MBE), liquid phase epitaxy (LPE), vapor phase epitaxy (VPE), selective epitaxial growth (SEG), the like, or a combination thereof. As in 10 illustrated, due to the barrier of the remaining isolation area material 62 and the remaining gate seal spacer material 72 ' between the neighboring Finns 64 / 60 the source / drain regions 80 first vertically in the wells 76 (Section B in 10 ), with the source / drain regions 80 do not grow horizontally during this time. After the wells 76 have been completely filled, become the source / drain areas 80 both vertically and horizontally grown to form facets (section A in FIG 10 ). As in 10 illustrates the remaining gate seal spacer material 72 ' due to the etching steps and / or force from the growth of the epitaxial source / drain regions 80 have round top surfaces and uneven sidewalls (ie wavy or wavy sidewalls).

In 10 ist eine bi-layer-ähnliche epitaktische Source/Drain-Struktur 80 mit Abschnitten A und B veranschaulicht. Die Struktur zwischen den epitaktischen Strukturen (die manchmal als eine Inter-Epitaxial-Struktur bezeichnet wird) umfasst sowohl das verbleibende Isolationsgebietsmaterial 62 als auch das verbleibende Gate-Versiegelungsspacer-Material 72' und kann auch als eine Inter-Epi-Bi-Layer-Struktur bezeichnet werden. In einigen Ausführungsformen erstreckt sich das verbleibende Gate-Versiegelungsspacer-Material 72' zwischen beiden A-Abschnitten des Source/Drain-Gebiets 80 auf den benachbarten Finnen 64/60 und kontaktiert sie. Die Inter-Epi-Bi-Layer-Struktur umfasst eine erste Schicht (L1) aus Gate-Versiegelungsspacer-Material 72' über einer zweiten Schicht (L2) aus Isolationsgebietsmaterial 62. In einigen Ausführungsformen weist L1 eine Höhe in einem Bereich von etwa 9 nm bis etwa 15 nm auf. Die Höhe von L1 hilft bei der Steuerung des epitaktischen Volumens der Source/Drains 80 und dies wird sich direkt auf die WAT-Leistung des Bauelements aus. In einigen Ausführungsformen weist L2 eine Höhe in einem Bereich von etwa 14 nm bis etwa 20 nm auf. Die Höhe von L2 wird beim Bestimmen der elektrischen Isolation zwischen benachbarten Finnen helfen und wird auch beim Steuern des epitaktischen Volumens der Source/Drains 80 helfen. In einigen Ausführungsformen liegt die Breite (W1) der Inter-Epi-Bi-Layer-Struktur im Bereich von etwa 17 nm bis etwa 23 nm. Je größer die Breite W1 der Inter-Epi-Bi-Layer-Struktur, desto höher ist der Druck, den die Inter-Epi-Bi-Layer-Struktur auf das epitaktische Volumen der Source/Drains 80 anwendet, was die WAT-Leistung verschlechtern kann, und insbesondere kann dies die (Isat/Ion)-Leistung verschlechtern.In 10 is a bi-layer-like epitaxial source / drain structure 80 illustrated with sections A and B. The structure between the epitaxial structures (sometimes referred to as an inter-epitaxial structure) includes both the remaining isolation region material 62 as well as the remaining gate seal spacer material 72 ' and may also be referred to as an inter-epi bi-layer structure. In some embodiments, the remaining gate seal spacer material extends 72 ' between both A-sections of the source / drain region 80 on the neighboring fins 64 / 60 and contact her. The inter-epi bi-layer structure comprises a first layer (L1) of gate-seal spacer material 72 ' over a second layer (L2) of insulation area material 62 , In some embodiments, L1 has a height in a range of about 9 nm to about 15 nm. The height of L1 helps control the epitaxial volume of the source / drains 80 and this will directly affect the WAT performance of the device. In some embodiments, L2 has a height in a range of about 14 nm to about 20 nm. The height of L2 will help determine the electrical isolation between adjacent fins and will also control the epitaxial volume of the source / drains 80 help. In some embodiments, the width (W1) of the inter-epi-bi-layer structure ranges from about 17 nm to about 23 nm. The larger the width W1 of the inter-epi-bi-layer structure, the higher the Pressure the inter-epi-bi-layer structure on the epitaxial volume of the source / drains 80 which may degrade WAT performance and, in particular, may degrade (Isat / Ion) performance.

Wie in 10 veranschaulicht, verschmelzen die Source/Drain-Gebiete 80 der benachbarten Finnen 64/60, um ein ununterbrochenes epitaktisches Source/Drain-Gebiet 80 zu bilden. In einigen Ausführungsformen verschmelzen die Source/Drain-Gebiete 80 für benachbarte Finnen 64/60 nicht miteinander und bleiben getrennte Source/Drain-Gebiete 80. In einigen beispielhaften Ausführungsformen, in denen der resultierende FinFET ein n-FinFET ist, umfassen die Source/Drain-Gebiete 80 Siliziumkarbid (SiC), Siliziumphosphor (SiP), phosphordotierten Siliziumkohlenstoff (SiCP) oder dergleichen. In alternativen beispielhaften Ausführungsformen, in denen der resultierende FinFET ein p-FinFET ist, umfassen die Source/Drain-Gebiete 80 SiGe und eine p-Störstelle, wie beispielsweise Bor oder Indium.As in 10 illustrates, the source / drain regions merge 80 the neighboring Finns 64 / 60 to an uninterrupted epitaxial source / drain region 80 to build. In some embodiments, the source / drain regions merge 80 for neighboring Finns 64 / 60 not with each other and remain separate source / drain regions 80 , In some example embodiments, where the resulting FinFET is an n-FinFET, the source / drain regions include 80 Silicon carbide (SiC), silicon phosphorus (SiP), phosphorus doped silicon carbon (SiCP), or the like. In alternative exemplary embodiments, where the resulting FinFET is a p-type FinFET, the source / drain regions comprise 80 SiGe and a p-type impurity such as boron or indium.

Die epitaktischen Source/Drain-Gebiete 80 können mit Dotierstoffen implantiert werden, um Source/Drain-Gebiete 80 zu bilden, worauf ein Tempern folgt. Das Implantierungsverfahren kann das Bilden und Strukturieren von Masken, wie beispielsweise ein Photoresist, umfassen, um die Gebiete des FinFET abzudecken, die vor dem Implantierungsverfahren zu schützen sind. Die Source/Drain-Gebiete 80 können eine Störstellenkonzentration in einem Bereich von etwa 1019 cm–3 bis etwa 1021 cm–3 aufweisen. In einigen Ausführungsformen können die epitaktischen Source/Drain-Gebiete an Ort und Stelle während des Wachstums dotiert werden.The epitaxial source / drain regions 80 can be implanted with dopants to source / drain regions 80 to form, followed by annealing. The implantation method may include forming and patterning masks, such as a photoresist, to cover the areas of the FinFET that are to be protected prior to the implantation process. The source / drain regions 80 may have an impurity concentration in a range of about 10 19 cm -3 to about 10 21 cm -3 . In some embodiments, the epitaxial source / drain regions may be doped in place during growth.

11 veranschaulicht die Zwischenstufe der Verarbeitung von 10 entlang des Querschnitts A-A von 1. Wie in 11 veranschaulicht, können die epitaktischen Source/Drain-Gebiete 80 Flächen aufweisen, die von entsprechenden Flächen der Finnen 64 erhaben sind (z. B. über den nicht vertieften Abschnitten der Finnen 64 erhaben sind) und viele Facetten aufweisen können. 11 veranschaulicht ferner Gate-Versiegelungsspacer 86 auf den Gate-Versiegelungsspacern 72 entlang Seitenwänden der Gate-Struktur. Die Gate-Spacer 86 können durch konformes Abscheiden eines Materials und anschließendes anisotropes Ätzen des Materials gebildet werden. Das Material der Gate-Spacer 86 kann Siliziumnitrid, SiCN, eine Kombination davon oder dergleichen sein. Die Gate-Spacer 86 können vor oder nach den epitaktischen Source/Drain-Gebieten 80 gebildet werden. In einigen Ausführungsformen werden Dummy-Gate-Spacer auf den Gate-Versiegelungsspacern 72 vor dem epitaktischen Verfahren der epitaktischen Source/Drain-Gebiete 80 gebildet und die Dummy-Gate-Spacer werden entfernt und mit den Gate-Spacern 86 ersetzt, nachdem die epitaktischen Source/Drain-Gebiete 80 gebildet wurden. 11 illustrates the intermediate processing of 10 along the cross section AA of 1 , As in 11 illustrates, the epitaxial source / drain regions 80 Have surfaces corresponding to corresponding surfaces of the fins 64 sublime (eg over the non-recessed sections of the fins 64 are sublime) and can have many facets. 11 further illustrates gate sealing spacer 86 on the gate sealing spacers 72 along sidewalls of the gate structure. The gate spacers 86 can be formed by conformally depositing a material and then anisotropically etching the material. The material of the gate spacer 86 may be silicon nitride, SiCN, a combination thereof, or the like. The gate spacers 86 may be before or after the epitaxial source / drain regions 80 be formed. In some embodiments, dummy gate spacers become on the gate sealing spacers 72 before the epitaxial process of the epitaxial source / drain regions 80 formed and the dummy gate spacers are removed and with the gate spacers 86 replaced after the epitaxial source / drain regions 80 were formed.

Nach der Bildung der Source/Drain-Gebiete 80 wird eine Deckschicht 84 auf den Source/Drain-Gebieten 80 gebildet. Die Deckschicht 84 kann als Teil der Source/Drain-Gebiete betrachtet werden. In einigen Ausführungsformen wird die Deckschicht 84 epitaktisch auf den Source/Drain-Gebieten 80 wachsen gelassen. Die Deckschicht 84 hilft beim Schutz der Source/Drain-Gebiete 80 vor einem Verlust der Dotierstoffe während der anschließenden Verarbeitung (z. B. Ätzverfahren, Temperaturverarbeitung usw.). Die Topographie der Source/Drain-Gebiete 80 kann gesteuert werden, um nicht eben, wie in 10 und 12 gezeigt, oder eben (nicht gezeigt) zu sein.After formation of the source / drain regions 80 becomes a cover layer 84 on the source / drain regions 80 educated. The cover layer 84 can be considered as part of the source / drain regions. In some embodiments, the cover layer becomes 84 epitaxially on the source / drain regions 80 let grow. The cover layer 84 helps to protect the source / drain regions 80 against loss of dopants during subsequent processing (eg, etching, temperature processing, etc.). The topography of the source / drain regions 80 can not be controlled, as in 10 and 12 shown, or just to be (not shown).

Die Source/Drain-Gebiete 80 können eine Ge-Konzentration von höher als 40% aufweisen. Die höhere Konzentration der Source/Drain-Gebiete 80 ermöglicht es den Source/Drain-Gebieten 80, eine höhere Beanspruchung auf das Kanalgebiet des FinFET anzuwenden. Dieser Abschnitt mit hoher Dotierstoffkonzentration der Source/Drain-Gebiete 80 kann auch als eine Stressorschicht 80 bezeichnet werden. Zusätzlich können sich die Dotierstoffkonzentration der Deckschicht 84 und diejenige der Stressorschicht 80 unterscheiden. Zum Beispiel kann die Deckschicht 84 eine Ge-Konzentration aufweisen, die niedriger als etwa 40% ist, während die Stressorschicht 80 eine Ge-Konzentration aufweist, die höher als 40% ist.The source / drain regions 80 may have a Ge concentration higher than 40%. The higher concentration of source / drain regions 80 allows the source / drain regions 80 to apply a higher load to the channel region of the FinFET. This high dopant concentration section of the source / drain regions 80 can also act as a stressor layer 80 be designated. In addition, the dopant concentration of the cover layer 84 and the one of the stressor layer 80 differ. For example, the cover layer 84 have a Ge concentration lower than about 40% while the stressor layer 80 has a Ge concentration higher than 40%.

In einigen Ausführungsformen können die Stressorschicht 80 und die Deckschicht 84 in einem einzigen, ununterbrochenen epitaktischen Verfahren gebildet werden. In anderen Ausführungsformen können diese Strukturen in getrennten Verfahren gebildet werden. In der Ausführungsform mit dem einzigen ununterbrochenen Verfahren können die Verarbeitungsparameter des epitaktischen Verfahrens (z. B. Prozessgasströmung, Temperatur, Druck usw.) variiert werden, um diese Strukturen mit den variierenden Materialzusammensetzungen zu bilden. Zum Beispiel kann während der Epitaxie die Strömungsgeschwindigkeit des Germanium enthaltenden Vorläufers (wie beispielsweise GeH4) sich während der anfänglichen Bildung der Stressorschicht 80 (die manchmal auch als Pufferschicht bezeichnet wird) auf einem ersten Niveau befinden und kann beim Übergang zur Bildung des Hauptteils der Stressorschicht 80 auf ein zweites Niveau erhöht werden. Ferner kann die Strömungsgeschwindigkeit des Germanium enthaltenden Vorläufers beim Übergang zur Bildung der Deckschicht 84 von dem zweiten Pegel auf einen dritten Pegel verringert werden. Die Deckschicht 84 und die Pufferschicht können als Teil der Source/Drain-Gebiete betrachtet werden.In some embodiments, the stressor layer 80 and the topcoat 84 be formed in a single, uninterrupted epitaxial process. In other embodiments, these structures may be formed in separate processes. In the single continuous process embodiment, the processing parameters of the epitaxial process (eg, process gas flow, temperature, pressure, etc.) may be varied to form these structures with the varying material compositions. For example, during epitaxy, the flow rate of the germanium-containing precursor (such as GeH 4 ) may be during the initial formation of the stressor layer 80 (sometimes referred to as a buffer layer) is at a first level and may transition to the formation of the majority of the stressor layer 80 be increased to a second level. Furthermore, the flow velocity of the Germanium-containing precursor in the transition to the formation of the cover layer 84 be reduced from the second level to a third level. The cover layer 84 and the buffer layer may be considered part of the source / drain regions.

Anschließend kann die Verarbeitung des FinFET-Bauelements durchgeführt werden, wie beispielsweise die Bildung von einer oder mehreren dielektrischen Zwischenschichten und die Bildung von Kontakten. Diese Verfahren werden in der Folge unter Bezugnahme auf 13 und 14 erörtert.Subsequently, the processing of the FinFET device may be performed, such as the formation of one or more interlayer dielectric layers and the formation of contacts. These methods are described below with reference to 13 and 14 discussed.

In 13 ist eine dielektrische Zwischenschicht (Interlayer Dielectric – ILD) 90 über der in 12 veranschaulichten Struktur abgeschieden. Die ILD 90 ist aus einem dielektrischen Material, wie beispielsweise Phosphorsilikatglas (PSG), Borsilikatglas (BSG), mit Bor dotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen, gebildet und kann mit irgendeinem zweckmäßigen Verfahren, wie beispielsweise CVD, PECVD oder FCVD, abgeschieden werden.In 13 is a dielectric interlayer (Interlayer Dielectric - ILD) 90 over the in 12 illustrated structure deposited. The ILD 90 is formed of a dielectric material such as phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), undoped silicate glass (USG) or the like, and may be formed by any convenient method such as CVD, PECVD or FCVD. be deposited.

In 14 wird ein Kontakt 92 durch die ILD 90 gebildet. Die Öffnung für den Kontakt 92 wird durch die ILD 90 gebildet. Die Öffnung kann unter Verwendung annehmbarer Photolithographie- und Ätztechniken gebildet werden. In einigen Ausführungsformen wird zumindest ein Abschnitt der Deckschicht 84 während der Bildung der Öffnung entfernt. In den Öffnungen werden eine Auskleidung, wie beispielsweise eine Diffusionsbarrierenschicht, eine Haftschicht oder dergleichen und ein leitfähiges Material gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsverfahren, wie beispielsweise ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Fläche der ILD 90 zu entfernen. Die verbleibende Auskleidung und leitfähiges Material bilden die Kontakte 92 in den Öffnungen. Ein Temperverfahren kann durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den Source/Drain-Gebieten 80 (falls vorhanden, der Deckschicht 84) und dem Kontakt 92 zu bilden. Der Kontakt 92 ist physisch und elektrisch an die Source/Drain-Gebiete 80 (falls vorhanden, die Deckschicht 84) gekoppelt.In 14 becomes a contact 92 through the ILD 90 educated. The opening for the contact 92 is through the ILD 90 educated. The opening can be formed using acceptable photolithography and etching techniques. In some embodiments, at least a portion of the cover layer becomes 84 removed during the formation of the opening. In the openings, a lining such as a diffusion barrier layer, an adhesive layer or the like and a conductive material are formed. The lining may comprise titanium, titanium nitride, tantalum, tantalum nitride or the like. The conductive material may be copper, a copper alloy, silver, gold, tungsten, aluminum, nickel or the like. A planarization process, such as a CMP, may be performed to remove excess material from an area of the ILD 90 to remove. The remaining liner and conductive material form the contacts 92 in the openings. An annealing process may be performed to remove a silicide at the interface between the source / drain regions 80 (if present, the topcoat 84 ) and the contact 92 to build. The contact 92 is physically and electrically connected to the source / drain regions 80 (if present, the topcoat 84 ) coupled.

Obgleich dies nicht ausdrücklich gezeigt ist, wird ein Durchschnittsfachmann ohne weiteres verstehen, dass weitere Verfahrensschritte auf der Struktur in 14 durchgeführt werden können. Zum Beispiel können verschiedene Zwischenmetall-Dielektrika (Inter-Metal Dielectrics – IMD) und ihre entsprechenden Metallisierungen über der ILD 90 gebildet werden. Ferner können Kontakte zum Gate 68 durch überlagernde dielektrische Schichten gebildet werden.Although not expressly shown, one of ordinary skill in the art will readily appreciate that further method steps are based on the structure in FIG 14 can be performed. For example, various intermetal dielectrics (IMDs) and their corresponding metallizations may be over the ILD 90 be formed. Furthermore, contacts to the gate 68 are formed by overlapping dielectric layers.

Ferner kann in einigen Ausführungsformen ein Gate-Last-Verfahren verwendet werden (das manchmal auch als Replacement-Gate-Verfahren bezeichnet wird). In diesen Ausführungsformen können das Gate 68, das Gate-Dielektrikum 66 als Dummy-Strukturen betrachtet werden und werden während der anschließenden Verarbeitung entfernt und mit einem aktiven Gate und einem aktiven Dielektrikum ersetzt.Further, in some embodiments, a gate-load method may be used (sometimes referred to as a replacement gate method). In these embodiments, the gate 68 , the gate dielectric 66 are considered as dummy structures and are removed during subsequent processing and replaced with an active gate and an active dielectric.

15 und 16 veranschaulichen Querschnittsansichten von Zwischenstufen der Verarbeitung einer Gate-Last-Struktur gemäß einigen Ausführungsformen. 15 und 16 sind Querschnittsansichten entlang des Querschnitts A-A von 1. 15 and 16 12 illustrate cross-sectional views of intermediate stages of processing a gate-load structure according to some embodiments. 15 and 16 are cross-sectional views along the cross section AA of 1 ,

15 veranschaulicht eine Struktur nach der Verarbeitung von 13, wobei aber zusätzliche Schritte durchgeführt werden. Diese zusätzlichen Schritte umfassen das Entfernen des Gates 68 (das in dieser Ausführungsform manchmal als Dummy-Gate 68 bezeichnet wird), der Gate-Versiegelungsspacer 72 und Abschnitte der dielektrischen Gate-Schicht 66 (die in dieser Ausführungsform manchmal als dielektrische Dummy-Gate-Schicht 66 bezeichnet wird), die direkt unter dem Gate 68 liegt. In einigen Ausführungsformen werden das Gate 68 und das Gate-Dielektrikum 66, und die Gate-Versiegelungsspacer 72 in (einem) Ätzschritt/en entfernt, derart, dass Vertiefungen gebildet werden. Jede Vertiefung legt ein Kanal-Gebiet einer entsprechenden Finne 64 frei. Jedes Kanalgebiet ist zwischen benachbarten Paaren von epitaktischen Source/Drain-Gebieten 80 angeordnet. Während der Entfernung kann die dielektrische Dummy-Gate-Schicht 66 als eine Ätzstoppschicht verwendet werden, wenn das Dummy-Gate 68 geätzt wird. Die dielektrische Dummy-Gate-Schicht 66 und die Gate-Versiegelungsspacer 72 können dann nach der Entfernung des Dummy-Gates 68 entfernt werden. 15 illustrates a structure after the processing of 13 but with additional steps being taken. These additional steps include the removal of the gate 68 (sometimes called the dummy gate in this embodiment) 68 is designated), the gate sealing spacer 72 and portions of the gate dielectric layer 66 (sometimes referred to as a dummy gate dielectric layer in this embodiment) 66 referred to) directly under the gate 68 lies. In some embodiments, the gate 68 and the gate dielectric 66 , and the gate sealing spacers 72 in an etching step (s) such that pits are formed. Each well defines a channel region of a corresponding fin 64 free. Each channel region is between adjacent pairs of epitaxial source / drain regions 80 arranged. During the removal, the dummy gate dielectric layer may 66 be used as an etch stop layer when the dummy gate 68 is etched. The Dummy Gate Dielectric Layer 66 and the gate sealing spacers 72 can then after the removal of the dummy gate 68 be removed.

Ferner sind in 15, die dielektrische Gate-Schicht 96 und die Gate-Elektrode 98 für Replacement-Gates gebildet. Die dielektrische Gate-Schicht 96 wird konform in der Vertiefung abgeschieden, wie beispielsweise auf den oberen Flächen und den Seitenwänden der Finnen 64 und auf Seitenwänden der Gate-Spacer 86 und auf einer oberen Fläche der ILD 90. Gemäß einigen Ausführungsformen umfasst die dielektrische Gate-Schicht 96 Siliziumoxid, Siliziumnitrid oder mehrere Schichten davon. In anderen Ausführungsformen umfasst die dielektrische Gate-Schicht 96 ein High-k-Dielektrikum, und in diesen Ausführungsformen können die dielektrischen Gate-Schichten 96 einen k-Wert aufweisen, der höher als etwa 7.0 ist, und kann ein Metalloxid oder ein Silikat aus Hf, Al, Zr, La, Mg, Ba, Ti, Pb und Kombinationen davon umfassen. Die Verfahren zur Bildung der dielektrischen Gate-Schicht 96 können MBD, ALD, PECVD und dergleichen umfassen.Furthermore, in 15 , the gate dielectric layer 96 and the gate electrode 98 formed for replacement gates. The gate dielectric layer 96 is conformally deposited in the recess, such as on the top surfaces and the side walls of the fins 64 and on sidewalls of the gate spacers 86 and on an upper surface of the ILD 90 , According to some embodiments, the gate dielectric layer comprises 96 Silicon oxide, silicon nitride or multiple layers thereof. In other embodiments, the gate dielectric layer comprises 96 a high-k dielectric, and in these embodiments, the gate dielectric layers 96 have a k value higher than about 7.0, and may include a metal oxide or silicate of Hf, Al, Zr, La, Mg, Ba, Ti, Pb, and combinations thereof. The methods of forming the gate dielectric layer 96 may include MBD, ALD, PECVD and the like.

Als Nächstes wird die Gate-Elektrode 98 über der dielektrischen Gate-Schicht 96 abgeschieden beziehungsweise füllt die verbleibenden Abschnitte der Vertiefung. Die Gate-Elektrode 98 kann aus einem Metall enthaltenden Material, wie beispielsweise TiN, TaN, TaC, Co, Ru, Al, Kombinationen davon oder mehreren Schichten davon hergestellt werden. Nach dem Füllen der Gate-Elektrode 98 kann ein Planarisierungsverfahren, wie beispielsweise ein CMP, durchgeführt werden, um die überschüssigen Abschnitte der dielektrischen Gate-Schicht 96 und das Material der Gate-Elektrode 98 zu entfernen, wobei die überschüssigen Abschnitte sich über der oberen Fläche der ILD 90 befinden. Die resultierenden verbleibenden Abschnitte von Material der Gate-Elektrode 98 und der dielektrischen Gate-Schicht 96 bilden so ein Replacement-Gate des resultierenden FinFET. Next will be the gate electrode 98 over the gate dielectric layer 96 deposited or fills the remaining portions of the recess. The gate electrode 98 may be made of a metal-containing material such as TiN, TaN, TaC, Co, Ru, Al, combinations thereof or multiple layers thereof. After filling the gate electrode 98 For example, a planarization process, such as a CMP, may be performed to cover the excess portions of the gate dielectric layer 96 and the material of the gate electrode 98 Remove the excess sections above the top surface of the ILD 90 are located. The resulting remaining portions of material of the gate electrode 98 and the gate dielectric layer 96 thus form a replacement gate of the resulting FinFET.

In 16 ist eine ILD 100 über der ILD 90 abgeschieden. Ferner sind, wie in 16 veranschaulicht, Kontakte 92 durch die ILD 100 und die ILD 90 gebildet und der Kontakt 102 ist durch die ILD 100 gebildet. In einer Ausführungsform ist die ILD 100 eine fließfähige dünne Schicht, die durch ein Flowable CVD-Verfahren gebildet wird. In einigen Ausführungsformen ist die ILD 100 aus einem dielektrischen Material, wie beispielsweise einem PSG, BSG, BPSG, USG oder dergleichen gebildet und kann durch irgendein zweckmäßiges Verfahren, wie beispielsweise CVD und PECVD, gebildet werden. Öffnungen für die Kontakte 92 sind durch die ILDs 90 und 100 gebildet. Die Öffnung für den Kontakt 102 wird durch die ILD 100 gebildet. Diese Öffnungen können alle gleichzeitig in einem gleichen Verfahren oder in getrennten Verfahren gebildet werden. Die Öffnungen können unter Verwendung annehmbarer Photolithographie- und Ätztechniken gebildet werden. In den Öffnungen werden eine Auskleidung, wie beispielsweise eine Diffusionsbarrierenschicht, eine Haftschicht oder dergleichen und ein leitfähiges Material gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsverfahren, wie beispielsweise ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Fläche der ILD 100 zu entfernen. Die verbleibende Auskleidung und leitfähiges Material bilden die Kontakte 92 und 102 in den Öffnungen. Ein Temperverfahren kann durchgeführt werden, um ein Silizid an der Grenzfläche zwischen den epitaktischen Source/Drain-Gebieten 80 beziehungsweise den Kontakten 92 zu bilden. Die Kontakte 92 sind physisch und elektrisch an die epitaktischen Source/Drain-Gebiete 80 gekoppelt und der Kontakt 102 ist physisch und elektrisch an die Gate-Elektrode 98 gekoppelt.In 16 is an ILD 100 over the ILD 90 deposited. Furthermore, as in 16 illustrates contacts 92 through the ILD 100 and the ILD 90 formed and the contact 102 is through the ILD 100 educated. In one embodiment, the ILD 100 a flowable thin film formed by a flowable CVD process. In some embodiments, the ILD is 100 is formed of a dielectric material such as a PSG, BSG, BPSG, USG or the like, and may be formed by any convenient method such as CVD and PECVD. Openings for the contacts 92 are through the ILDs 90 and 100 educated. The opening for the contact 102 is through the ILD 100 educated. These openings can all be formed simultaneously in a same process or in separate processes. The openings may be formed using acceptable photolithography and etching techniques. In the openings, a lining such as a diffusion barrier layer, an adhesive layer or the like and a conductive material are formed. The lining may comprise titanium, titanium nitride, tantalum, tantalum nitride or the like. The conductive material may be copper, a copper alloy, silver, gold, tungsten, aluminum, nickel or the like. A planarization process, such as a CMP, may be performed to remove excess material from an area of the ILD 100 to remove. The remaining liner and conductive material form the contacts 92 and 102 in the openings. An annealing process may be performed to remove a silicide at the interface between the epitaxial source / drain regions 80 or the contacts 92 to build. The contacts 92 are physically and electrically connected to the epitaxial source / drain regions 80 coupled and the contact 102 is physically and electrically connected to the gate electrode 98 coupled.

Mit Ausführungsformen können Vorteile bewerkstelligt werden. Zum Beispiel ist die vorliegende Offenbarung ein Halbleiterbauelement und ein Verfahren zu dessen Bildung, um einen einfachen und wirtschaftlichen Verfahrensablauf bereitzustellen, um ein/e epitaktische/s Source/Drain in einem FinFET mit weniger Fehlern (wie beispielsweise Dislokationen) zumindest in der Nähe eines Kanalgebiets des FinFET zur Verbesserung des Bauelements zu bewerkstelligen. Zusätzlich kann ein einfacher und wirtschaftlicher Verfahrensablauf eine bessere Isolation zwischen benachbarten Finnen bewerkstelligen, indem die Ableitung zwischen benachbarten Finnen verringert wird, und kann auch den Kontaktwiderstand zum Source/Drain-Gebiet verringern. Insbesondere umfassen Ausführungsformen wie die in der Folge offenbarten einen Verfahrensablauf, der epitaktisch gewachsene Source/Drain-Gebiete mit etwas von dem Isolationsmaterial des Isolationsgebiets (z. B. Grabenisolationsgebiet – Shallow Trench Isolation (STI)) und etwas von dem Seitenwand-Versiegelungsspacer-Material nutzt, das zwischen den benachbarten Finnen in den Source/Drain-Gebieten verbleibt. Dieses übrige Isolationsmaterial und Spacer-Material unterdrückt die Erzeugung von Dislokationen, da es den Betrag des epitaktischen Volumens zwischen benachbarten Finnen verringert. Ferner kann das übrige Isolationsmaterial und Spacer-Material die Kapazität zwischen der epitaktischen Source/Drain-Struktur verringern. Diese verringerte Kapazität kann eine bessere Wechselstromleistung (AC) für das Bauelement ermöglichen. Ferner kann eine obere Fläche der epitaktischen Source/Drain-Struktur eine nicht ebene (z. B. wellenförmige und/oder gewellte) obere Fläche aufweisen, was die Kontaktoberfläche für den darüberliegenden Kontakt vergrößern kann. Diese vergrößerte Kontaktoberfläche kann den Kontaktwiderstand zum Source/Drain-Gebiet verringern.With embodiments, benefits can be accomplished. For example, the present disclosure is a semiconductor device and a method of forming it to provide a simple and economical process flow to an epitaxial source / drain in a FinFET with fewer errors (such as dislocations) at least near a channel region FinFET to accomplish the improvement of the device. In addition, a simple and economical procedure can provide better isolation between adjacent fins by reducing the leakage between adjacent fins, and can also reduce the contact resistance to the source / drain region. In particular, embodiments such as those disclosed below will include the epitaxially grown source / drain regions with some of the isolation material of the isolation region (eg, trench isolation region (STI)) and some of the sidewall seal spacer material which remains between the adjacent fins in the source / drain regions. This residual insulation material and spacer material suppresses the generation of dislocations because it reduces the amount of epitaxial volume between adjacent fins. Furthermore, the remainder of the insulating material and spacer material can reduce the capacitance between the epitaxial source / drain structure. This reduced capacity may allow for better AC power (AC) for the device. Further, an upper surface of the epitaxial source / drain structure may have a non-planar (eg, undulating and / or undulating) upper surface, which may increase the contact surface for the overlying contact. This increased contact surface can reduce the contact resistance to the source / drain region.

Eine Ausführungsform ist eine Struktur, die eine erste Finne über einem Substrat, eine zweite Finne über dem Substrat, wobei die zweite Finne der ersten Finne benachbart ist, ein Isolationsgebiet, das die erste Finne und die zweite Finne umgibt, wobei ein erster Abschnitt des Isolationsgebiets sich zwischen der ersten Finne und der zweiten Finne befindet, eine Gate-Struktur entlang Seitenwänden und über oberen Flächen der ersten Finne und der zweiten Finne, wobei die Gate-Struktur Kanalgebiete in der ersten Finne und der zweiten Finne definiert, einen Gate-Versiegelungsspacer auf Seitenwänden der Gate-Struktur, wobei ein erster Abschnitt des Gate-Versiegelungsspacers sich auf dem ersten Abschnitt des Isolationsgebiets zwischen der ersten Finne und der zweiten Finne befindet, und ein Source/Drain-Gebiet auf der ersten Finne und der zweiten Finne der Gate-Struktur benachbart umfasst.One embodiment is a structure having a first fin over a substrate, a second fin above the substrate, the second fin adjacent to the first fin, an isolation region surrounding the first fin and the second fin, a first portion of the isolation region is located between the first fin and the second fin, a gate structure along sidewalls and over upper surfaces of the first fin and the second fin, wherein the gate structure defines channel regions in the first fin and the second fin, a gate sealing spacer Side walls of the gate structure, wherein a first portion of the gate-sealing spacer is located on the first portion of the isolation region between the first fin and the second fin, and a source / drain region on the first fin and the second fin of the gate structure includes adjacent.

Eine andere Ausführungsform ist ein Verfahren, das das Bilden von Finnen auf einem Substrat, das Bilden eines Isolationsgebiets, das die Finnen umgibt, wobei ein erster Abschnitt des Isolationsgebiets sich zwischen benachbarten Finnen befindet, das Bilden einer Gate-Struktur über den Finnen, das Bilden eines Gate-Versiegelungsspacers auf Seitenwänden der Gate-Struktur, wobei ein erster Abschnitt des Gate-Versiegelungsspacers sich auf dem ersten Abschnitt des Isolationsgebiets zwischen benachbarten Finnen befindet, und das Bilden von Source/Drain-Gebieten auf entgegengesetzten Seiten der Gate-Struktur umfasst, wobei mindestens eines von den Source/Drain-Gebieten sich über den ersten Abschnitt des Gate-Versiegelungsspacers erstreckt.Another embodiment is a method of forming fins on a substrate, forming an isolation region surrounding the fins, wherein a first portion of the isolation region is between adjacent fins, forming a gate structure over the fin, forming a gate seal spacer on sidewalls of the gate structure, wherein a first portion of the gate seal spacer is on the first portion of the isolation region between adjacent fins and includes forming source / drain regions on opposite sides of the gate structure at least one of the source / drain regions extends over the first portion of the gate seal spacer.

Eine weitere Ausführungsform ist ein Verfahren, das das Bilden einer ersten Finne und einer zweiten Finne über einem Substrat, wobei die zweite Finne der ersten Finne benachbart ist, das Abscheiden eines Isolationsmaterials, das die erste Finne und die zweite Finne umgibt, wobei ein erster Abschnitt des Isolationsmaterials sich zwischen der ersten Finne und der zweiten Finne befindet, wobei obere Abschnitte der ersten Finne und der zweiten Finne sich über eine obere Fläche des Isolationsmaterials erstrecken, das Bilden einer Gate-Struktur entlang von Seitenwänden und über oberen Flächen der ersten Finne und der zweiten Finne, wobei die Gate-Struktur Kanalgebiete in der ersten Finne und der zweiten Finne definiert, das Abscheiden eines Gate-Versiegelungsspacers auf Seitenwänden der Gate-Struktur, wobei ein erster Abschnitt des Gate-Versiegelungsspacers sich auf dem ersten Abschnitt des Isolationsmaterials zwischen der ersten Finne und der zweiten Finne befindet, das Vertiefen der ersten Finne und der zweiten Finne außerhalb der Gate-Struktur zum Bilden einer ersten Vertiefung in der ersten Finne und einer zweiten Vertiefung in der zweiten Finne und das epitaktische Wachsen eines ersten Source/Drain-Gebiets in der ersten Vertiefung der ersten Finne und der zweiten Vertiefung der zweiten Finne umfasst, wobei der erste Abschnitt des Gate-Versiegelungsspacers zwischen dem ersten Abschnitt des Isolationsmaterials und dem ersten Source/Drain-Gebiet zwischengelegt ist.Another embodiment is a method of forming a first fin and a second fin over a substrate, the second fin adjacent to the first fin, depositing an insulating material surrounding the first fin and the second fin, wherein a first portion of the insulating material is between the first fin and the second fin, upper portions of the first fin and the second fin extending over an upper surface of the insulating material, forming a gate structure along sidewalls and over upper surfaces of the first fin and second fin, wherein the gate structure defines channel regions in the first fin and the second fin, depositing a gate sealing spacer on sidewalls of the gate structure, wherein a first portion of the gate sealing spacer is on the first portion of the insulating material between the first Finn and the second fin is located, the deepening de r first fin and the second fin outside the gate structure to form a first recess in the first fin and a second recess in the second fin and epitaxially grow a first source / drain region in the first recess of the first fin and the second Recess of the second fin, wherein the first portion of the gate sealing spacer between the first portion of the insulating material and the first source / drain region is interposed.

Vorhergehend wurden Merkmale von mehreren Ausführungsformen dargestellt, derart, dass der Fachmann die Gesichtspunkte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte verstehen, dass die vorliegende Offenbarung ohne Weiteres als Grundlage zum Gestalten oder Abwandeln anderer Verfahren und Strukturen dienen kann, um die gleichen Zwecke durchzuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch verstehen, dass solche äquivalenten Konstruktionen nicht vom Gedanken und Schutzbereich der vorliegenden Offenbarung abweichen und dass er verschiedene Änderungen, Ersetzungen und Abwandlungen daran vornehmen kann, ohne vom Gedanken und Schutzbereich der vorliegenden Offenbarung abzuweichen.Previously, features of several embodiments have been presented so that those skilled in the art can better understand the aspects of the present disclosure. It should be understood by those skilled in the art that the present disclosure may readily serve as a basis for designing or modifying other methods and structures to accomplish the same purposes and / or achieve the same advantages of the embodiments introduced herein. It should also be understood by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the present disclosure and that it can make various changes, substitutions, and alterations thereto without departing from the spirit and scope of the present disclosure.

Claims (20)

Struktur, die Folgendes umfasst: eine erste Finne über einem Substrat; eine zweite Finne über dem Substrat, wobei die zweite Finne der ersten Finne benachbart ist; ein Isolationsgebiet, das die erste Finne und die zweite Finne umgibt, wobei ein erster Abschnitt des Isolationsgebiets sich zwischen der ersten Finne und der zweiten Finne befindet; eine Gate-Struktur entlang von Seitenwänden und über oberen Flächen der ersten Finne und der zweiten Finne, wobei die Gate-Struktur Kanalgebiete in der ersten Finne und der zweiten Finne definiert; einen Gate-Versiegelungsspacer auf Seitenwänden der Gate-Struktur, wobei ein erster Abschnitt des Gate-Versiegelungsspacers sich auf dem ersten Abschnitt des Isolationsgebiets zwischen der ersten Finne und der zweiten Finne befindet; und ein Source/Drain-Gebiet auf der ersten Finne und der zweiten Finne benachbart zur Gate-Struktur.Structure comprising: a first fin over a substrate; a second fin above the substrate, the second fin adjacent to the first fin; an isolation region surrounding the first fin and the second fin, wherein a first portion of the isolation region is between the first fin and the second fin; a gate structure along sidewalls and over upper surfaces of the first fin and the second fin, the gate structure defining channel regions in the first fin and the second fin; a gate seal spacer on sidewalls of the gate structure, wherein a first portion of the gate seal spacer is on the first portion of the isolation region between the first fin and the second fin; and a source / drain region on the first fin and the second fin adjacent to the gate structure. Struktur nach Anspruch 1, wobei das Source/Drain-Gebiet ein ununterbrochenes Source/Drain-Gebiet zwischen der ersten Finne und der zweiten Finne ist.The structure of claim 1, wherein the source / drain region is an uninterrupted source / drain region between the first fin and the second fin. Struktur nach Anspruch 1 oder 2, wobei das Source/Drain-Gebiet Folgendes umfasst: einen ersten Abschnitt auf der ersten Finne, wobei der erste Abschnitt des Source/Drain-Gebiets sich vertikal von der ersten Finne erstreckt; und einen zweiten Abschnitt auf dem ersten Abschnitt, wobei der zweite Abschnitt sich sowohl horizontal als auch vertikal erstreckt.The structure of claim 1 or 2, wherein the source / drain region comprises: a first portion on the first fin, wherein the first portion of the source / drain region extends vertically from the first fin; and a second portion on the first portion, the second portion extending both horizontally and vertically. Struktur nach Anspruch 3, wobei das Source/Drain-Gebiet Folgendes umfasst: einen dritten Abschnitt auf der zweiten Finne, wobei der dritte Abschnitt des Source/Drain-Gebiets sich vertikal von der zweiten Finne erstreckt; und einen vierten Abschnitt auf dem dritten Abschnitt, wobei der vierte Abschnitt sich sowohl horizontal als auch vertikal erstreckt.The structure of claim 3, wherein the source / drain region comprises: a third portion on the second fin, wherein the third portion of the source / drain region extends vertically from the second fin; and a fourth section on the third section, the fourth section extending both horizontally and vertically. Struktur nach Anspruch 4, wobei der erste Abschnitt des Gate-Versiegelungsspacers den ersten Abschnitt und den dritten Abschnitt des Source/Drain-Gebiets kontaktiert.The structure of claim 4, wherein the first portion of the gate seal spacer contacts the first portion and the third portion of the source / drain region. Struktur nach einem der vorhergehenden Ansprüche, wobei das Source/Drain-Gebiet eine nicht ebene obere Fläche aufweist. A structure according to any one of the preceding claims, wherein the source / drain region has a non-planar top surface. Struktur nach einem der vorhergehenden Ansprüche, wobei das Source/Drain-Gebiet ein epitaktisches Source/Drain-Gebiet ist.A structure according to any one of the preceding claims, wherein the source / drain region is an epitaxial source / drain region. Struktur nach einem der vorhergehenden Ansprüche, wobei das Source/Drain-Gebiet Folgendes umfasst: eine Pufferschicht auf der ersten Finne und der zweiten Finne, wobei die Pufferschicht eine erste Dotierstoffkonzentration eines ersten Dotierstoffs aufweist; eine Stressorschicht auf der Pufferschicht, wobei die Stressorschicht eine zweite Dotierstoffkonzentration des ersten Dotierstoffs aufweist, wobei die zweite Dotierstoffkonzentration höher ist als die erste Dotierstoffkonzentration; und eine Deckschicht auf der Stressorschicht, wobei die Deckschicht eine dritte Dotierstoffkonzentration des ersten Dotierstoffs aufweist, wobei die dritte Dotierstoffkonzentration niedriger ist als die zweite Dotierstoffkonzentration.A structure according to any one of the preceding claims, wherein the source / drain region comprises: a buffer layer on the first fin and the second fin, the buffer layer having a first dopant concentration of a first dopant; a stressor layer on the buffer layer, the stressor layer having a second dopant concentration of the first dopant, the second dopant concentration being higher than the first dopant concentration; and a cover layer on the stressor layer, wherein the cover layer has a third dopant concentration of the first dopant, wherein the third dopant concentration is lower than the second dopant concentration. Struktur nach einem der vorhergehenden Ansprüche, wobei der erste Dotierstoff Germanium ist.A structure according to any one of the preceding claims, wherein the first dopant is germanium. Verfahren, das Folgendes umfasst: Bilden von Finnen auf einem Substrat; Bilden eines Isolationsgebiets, das die Finnen umgibt, wobei ein erster Abschnitt des Isolationsgebiets sich zwischen benachbarten Finnen befindet; Bilden einer Gate-Struktur über den Finnen; Bilden eines Gate-Versiegelungsspacers auf Seitenwänden der Gate-Struktur, wobei ein erster Abschnitt des Gate-Versiegelungsspacers sich auf dem ersten Abschnitt des Isolationsgebiets zwischen benachbarten Finnen befindet; und Bilden von Source/Drain-Gebieten auf entgegengesetzten Seiten der Gate-Struktur, wobei mindestens eines von den Source/Drain-Gebieten sich über den ersten Abschnitt des Gate-Versiegelungsspacers erstreckt.A method comprising: Forming fins on a substrate; Forming an isolation region surrounding the fins, wherein a first portion of the isolation region is located between adjacent fins; Forming a gate structure over the fin; Forming a gate seal spacer on sidewalls of the gate structure, wherein a first portion of the gate seal spacer is on the first portion of the isolation region between adjacent fins; and Forming source / drain regions on opposite sides of the gate structure, wherein at least one of the source / drain regions extends over the first portion of the gate seal spacer. Verfahren nach Anspruch 10, wobei die Source/Drain-Gebiete ununterbrochene Source/Drain-Gebiete zwischen benachbarten Finnen sind.The method of claim 10, wherein the source / drain regions are continuous source / drain regions between adjacent fins. Verfahren nach Anspruch 10 oder 11, wobei das mindestens eine Source/Dran-Gebiet eine nicht ebene obere Fläche aufweist.The method of claim 10 or 11, wherein the at least one source / dranium region has a non-planar top surface. Verfahren nach einem der Ansprüche 10 bis 12, wobei das Bilden des Source/Drain-Gebiets Folgendes umfasst: Vertiefen der Finnen außerhalb der Gate-Struktur, um obere Flächen unter einer oberen Fläche des Isolationsgebiets aufzuweisen; und epitaktisches Wachsen der Source/Drain-Gebiete von den vertieften Finnen auf entgegengesetzten Seiten der Gate-Struktur.The method of any one of claims 10 to 12, wherein forming the source / drain region comprises: Recessing the fins outside the gate structure to have upper surfaces under an upper surface of the isolation region; and epitaxially growing the source / drain regions from the recessed fins on opposite sides of the gate structure. Verfahren nach Anspruch 13, wobei das Vertiefen der Finnen außerhalb der Gate-Struktur, um obere Flächen unter einer oberen Fläche des Isolationsgebiets aufzuweisen, Folgendes umfasst: Durchführen eines Trockenätzverfahrens zum Vertiefen der Finnen außerhalb der Gate-Strukturen; und nach dem Trockenätzverfahren, Durchführen eines Nassätzverfahrens zum weiteren Vertiefen der Finnen außerhalb der Gate-Strukturen.The method of claim 13, wherein recessing the fins outside the gate structure to have top surfaces below an upper surface of the isolation region comprises: Performing a dry etching process to recess the fins outside the gate structures; and after the dry etching process, performing a wet etching process to further deepen the fins outside the gate structures. Verfahren nach Anspruch 13 oder 14, wobei das epitaktische Wachsen der Source/Drain-Gebiete von den Finnen Folgendes umfasst: epitaktisches Wachsen einer Pufferschicht auf den Finnen, wobei die Pufferschicht eine erste Dotierstoffkonzentration aufweist; epitaktisches Wachsen einer Stressorschicht auf der Pufferschicht, wobei die Stressorschicht eine zweite Dotierstoffkonzentration aufweist, wobei die zweite Dotierstoffkonzentration höher ist als die erste Dotierstoffkonzentration; und epitaktisches Wachsen einer Deckschicht auf der Stressorschicht, wobei die Deckschicht eine dritte Dotierstoffkonzentration aufweist, wobei die dritte Dotierstoffkonzentration niedriger ist als die zweite Dotierstoffkonzentration.The method of claim 13 or 14, wherein the epitaxial growth of the source / drain regions of the fins comprises: epitaxially growing a buffer layer on the fins, the buffer layer having a first dopant concentration; epitaxially growing a stressor layer on the buffer layer, the stressor layer having a second dopant concentration, the second dopant concentration being higher than the first dopant concentration; and epitaxially growing a cover layer on the stressor layer, wherein the cover layer has a third dopant concentration, wherein the third dopant concentration is lower than the second dopant concentration. Verfahren, das Folgendes umfasst: Bilden einer ersten Finne und einer zweiten Finne über einem Substrat, wobei die zweite Finne der ersten Finne benachbart ist; Abscheiden eines Isolationsmaterials, das die erste Finne und die zweite Finne umgibt, wobei ein erster Abschnitt des Isolationsmaterials sich zwischen der ersten Finne und der zweiten Finnen befindet, wobei obere Abschnitte der ersten Finne und der zweiten Finne sich über eine obere Fläche des Isolationsmaterials erstrecken; Bilden einer Gate-Struktur entlang von Seitenwänden und über oberen Flächen der ersten Finne und der zweiten Finne, wobei die Gate-Struktur Kanalgebiete in der ersten Finne und der zweiten Finne definiert; Abscheiden eines Gate-Versiegelungsspacers auf Seitenwänden der Gate-Struktur, wobei ein erster Abschnitt des Gate-Versiegelungsspacers sich auf dem ersten Abschnitt des Isolationsmaterials zwischen der ersten Finne und der zweiten Finne befindet; Vertiefen der ersten Finne und der zweiten Finne außerhalb der Gate-Struktur zum Bilden einer ersten Vertiefung in der ersten Finne und einer zweiten Vertiefung in der zweiten Finne; und epitaktisches Wachsen eines ersten Source/Drain-Gebiets in der ersten Aussparung der ersten Finne und der zweiten Aussparung der zweiten Finne, wobei der erste Abschnitt des Gate-Versiegelungsspacers zwischen dem ersten Abschnitt des Isolationsmaterials und dem ersten Source/Drain-Gebiet zwischengelegt ist.A method comprising: Forming a first fin and a second fin over a substrate, the second fin adjacent to the first fin; Depositing an insulating material surrounding the first fin and the second fin, wherein a first portion of the insulating material is located between the first fin and the second fins, upper portions of the first fin and the second fin extending over an upper surface of the insulating material; Forming a gate structure along sidewalls and over upper surfaces of the first fin and the second fin, the gate structure defining channel regions in the first fin and the second fin; Depositing a gate seal spacer on sidewalls of the gate structure, wherein a first portion of the gate seal spacer is on the first portion of the insulating material between the first fin and the second fin; Recessing the first fin and the second fin outside the gate structure to form a first recess in the first fin and a second recess in the second fin; and epitaxially growing a first source / drain region in the first recess of the first fin and the second recess of the second fin, wherein the first portion of the gate seal spacer is interposed between the first portion of the insulating material and the first source / drain region. Verfahren nach Anspruch 16, wobei das erste Source/Drain-Gebiet Folgendes umfasst: erste Abschnitte, die sich von unteren Flächen der ersten und der zweiten Aussparung zu einer oberen Fläche des ersten Abschnitts des Gate-Versiegelungsspacers erstrecken; und zweite Abschnitte auf den ersten Abschnitten, wobei die zweiten Abschnitte sich über den ersten Abschnitt des Gate-Versiegelungsspacers erstrecken. The method of claim 16, wherein the first source / drain region comprises: first portions extending from lower surfaces of the first and second recesses to an upper surface of the first portion of the gate seal spacer; and second portions on the first portions, the second portions extending over the first portion of the gate seal spacer. Verfahren nach Anspruch 16 oder 17, wobei das Vertiefen der ersten Finne und der zweiten Finne außerhalb der Gate-Struktur Folgendes umfasst: Durchführen eines Trockenätzverfahrens zum Vertiefen der ersten Finne und der zweiten Finne außerhalb der Gate-Strukturen; und nach dem Trockenätzverfahren, Durchführen eines Nassätzverfahrens zum weiteren Vertiefen der ersten Finne und der zweiten Finne außerhalb der Gate-Strukturen.The method of claim 16 or 17, wherein recessing the first fin and the second fin outside the gate structure comprises: Performing a dry etching process to recess the first fin and the second fin outside the gate structures; and after the dry etching process, performing a wet etching process to further deepen the first fin and the second fin outside the gate structures. Verfahren nach einem der Ansprüche 16 bis 18, das ferner Folgendes umfasst: Bilden einer ersten dielektrischen Zwischenschicht über der ersten Finne, der zweiten Finne, dem ersten Source/Drain-Gebiet und die Gate-Struktur umgebend; Ersetzen der Gate-Struktur mit einer aktiven Gate-Struktur; Bilden einer zweiten dielektrischen Zwischenschicht über der ersten dielektrischen Zwischenschicht und der Gate-Struktur; Bilden eines ersten Kontakts durch die erste dielektrische Zwischenschicht und die zweite dielektrische Zwischenschicht, um elektrisch an das erste Source/Drain-Gebiet gekoppelt zu werden; und Bilden eines zweiten Kontakts durch die zweite dielektrische Zwischenschicht, um elektrisch mit der aktiven Gate-Struktur gekoppelt zu werden.The method of any one of claims 16 to 18, further comprising: Forming a first dielectric interlayer over the first fin, surrounding the second fin, the first source / drain region, and the gate structure; Replacing the gate structure with an active gate structure; Forming a second interlayer dielectric layer over the first interlayer dielectric and the gate structure; Forming a first contact through the first inter-level dielectric layer and the second inter-level dielectric layer to be electrically coupled to the first source-drain region; and Forming a second contact by the second dielectric interlayer to be electrically coupled to the active gate structure. Verfahren nach einem der Ansprüche 16 bis 19, wobei das erste Source/Drain-Gebiet ein ununterbrochenes Source/Drain-Gebiet zwischen der ersten Finne und der zweiten Finne ist.The method of any one of claims 16 to 19, wherein the first source / drain region is an uninterrupted source / drain region between the first fin and the second fin.
DE102016116722.3A 2016-04-25 2016-09-07 Finfets and methods for forming finfets Pending DE102016116722A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662327135P 2016-04-25 2016-04-25
US62/327,135 2016-04-25
US15/200,770 US10163898B2 (en) 2016-04-25 2016-07-01 FinFETs and methods of forming FinFETs
US15/200,770 2016-07-01

Publications (1)

Publication Number Publication Date
DE102016116722A1 true DE102016116722A1 (en) 2017-10-26

Family

ID=60020830

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016116722.3A Pending DE102016116722A1 (en) 2016-04-25 2016-09-07 Finfets and methods for forming finfets

Country Status (1)

Country Link
DE (1) DE102016116722A1 (en)

Similar Documents

Publication Publication Date Title
DE102017117795B4 (en) FETS AND METHOD OF PRODUCTION
DE102018100062B4 (en) Gate structure passivation species drive-in method and structure formed thereby
DE102017123950B4 (en) FINFET COMPONENT AND METHOD OF MANUFACTURING THE SAME
US11855142B2 (en) Supportive layer in source/drains of FinFET devices
DE102017128255B4 (en) Semiconductor device and method
DE102017127554B3 (en) Semiconductor fabrication process with prevention of gate height loss in planarization processes
DE102019115481A1 (en) SEMICONDUCTOR COMPONENT AND METHOD
DE102017117793B4 (en) Process for the manufacture of multi-gate transistors and resulting structures
DE102012204516A1 (en) FinFET device and manufacturing method for the same
DE102018124749A1 (en) Structures and methods for noise isolation in semiconductor devices
DE102017128585A1 (en) Semiconductor structure cutting process and structures made therewith
DE102018124741A1 (en) LINER STRUCTURE IN THE ELECTRICAL INTERMEDIATE SHIELD STRUCTURE FOR SEMICONDUCTOR COMPONENTS
DE102018108176A1 (en) Asymmetric source and drain structures in semiconductor devices
DE102020110754A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019118375A1 (en) FinFET device and method for forming the same
DE102020108047A1 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND METHOD OF FORMING THE SAME
DE102019111297B4 (en) Semiconductor device and method
DE102017126881B4 (en) FinFET structures and methods for their formation
DE102017123359B4 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND PROCESS
DE102020114655B4 (en) FIN FIELD EFFECT TRANSISTOR DEVICE WITH RE-RETURNING PROFILE CONTACT PLUG AND METHOD OF MANUFACTURE THEREOF
DE102017127658B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019128469B4 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND METHOD FOR MANUFACTURING IT
DE102018124815B4 (en) FIN field effect transistor device and method
DE102021115949A1 (en) CONDUCTIVE COVERING FOR EXIT WORKING LAYER AND METHODS OF FORMING IT
DE102021113053A1 (en) FIN FIELD EFFECT TRANSISTOR DEVICE AND METHOD

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication