DE102016113840A1 - Apparatus and method for spatial atomic layer deposition - Google Patents

Apparatus and method for spatial atomic layer deposition Download PDF

Info

Publication number
DE102016113840A1
DE102016113840A1 DE102016113840.1A DE102016113840A DE102016113840A1 DE 102016113840 A1 DE102016113840 A1 DE 102016113840A1 DE 102016113840 A DE102016113840 A DE 102016113840A DE 102016113840 A1 DE102016113840 A1 DE 102016113840A1
Authority
DE
Germany
Prior art keywords
chemical
processing chamber
reaction zone
semiconductor manufacturing
edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102016113840.1A
Other languages
German (de)
Inventor
Anthony Lin
Ching-Lun Lai
Pei-Ren Jeng
Tze-Liang Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/169,999 external-priority patent/US9873943B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016113840A1 publication Critical patent/DE102016113840A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Die vorliegende Erfindung stellt eine Vorrichtung zur Herstellung von Halbleitern gemäß einer Ausführungsform zur Verfügung. Die Vorrichtung weist Folgendes auf: eine Bearbeitungskammer; einen Wafertisch, der in der Bearbeitungskammer angeordnet ist, wobei der Wafertisch so betreibbar ist, dass er eine Vielzahl von Wafern festhält und um eine Achse dreht; einen ersten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer ersten Chemikalie für eine erste Reaktionszone in der Bearbeitungskammer; und einen zweiten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer zweiten Chemikalie für eine zweite Reaktionszone in der Bearbeitungskammer. Der zweite Chemikalienzuführmechanismus umfasst eine Rand-Chemikalien-Einspritzvorrichtung und eine erste radiale Chemikalien-Einspritzvorrichtung.The present invention provides an apparatus for manufacturing semiconductors according to an embodiment. The apparatus comprises: a processing chamber; a wafer table disposed in the processing chamber, the wafer table operable to hold a plurality of wafers and rotate about an axis; a first chemical delivery mechanism disposed in the processing chamber for providing a first chemical to a first reaction zone in the processing chamber; and a second chemical delivery mechanism disposed in the processing chamber for providing a second chemical for a second reaction zone in the processing chamber. The second chemical delivery mechanism includes an edge chemical injector and a first radial chemical injector.

Description

Hintergrund der ErfindungBackground of the invention

Die Halbleiter-IC-Branche (IC: integrierte Schaltung) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Design haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation realisiert. Im Verlauf der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die geometrische Größe (d. h. die kleinste Komponente oder Linie, die in einem Herstellungsprozess erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile durch Erhöhung der Produktionseffektivität und Senkung der zugehörigen Kosten. Diese Verkleinerung hat auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte realisiert werden können, werden ähnliche Entwicklungen bei der IC-Bearbeitung und -Herstellung benötigt. In einem Beispiel wird eine Atomlagenabscheidung zur Herstellung einer Dünnschicht verwendet. Bei dem Verfahren der Atomlagenabscheidung wird eine Dünnschicht mit einer gesteuerten Abscheidung abgeschieden, aber es hat die Nachteile einer niedrigen Abscheidungsrate und eines geringeren Herstellungsdurchsatzes. Es wird eine räumliche Atomlagenabscheidung zur Abscheidung einer Dünnschicht mit einer gesteuerten Abscheidung und einer besseren Abscheidungsrate vorgeschlagen. Die verfügbare räumliche Atomlagenabscheidung hat jedoch andere Probleme, wie etwa eine schlechtere Schichtgleichmäßigkeit und eine schlechtere Schichtqualität. Daher wäre es wünschenswert, eine räumliche Atomlagenabscheidung und ein Verfahren zu seiner Verwendung ohne die vorgenannten Nachteile bereitzustellen.The semiconductor IC industry (IC: integrated circuit) has experienced exponential growth. Technological advances in IC materials and design have spawned generations of ICs, with each generation realizing smaller and more complex circuits than the previous generation. In the course of IC evolution, the functional density (i.e., the number of interconnected devices per die area) has generally increased while the geometric size (i.e., the smallest component or line that can be created in a manufacturing process) has decreased. This reduction process generally provides benefits by increasing production efficiency and reducing associated costs. This downsizing has also increased the complexity of processing and manufacturing ICs, and in order for these advances to be realized, similar developments in IC processing and fabrication are needed. In one example, an atomic layer deposition is used to make a thin film. In the atomic layer deposition process, a thin film is deposited with a controlled deposition, but it has the disadvantages of a low deposition rate and a lower production throughput. It is proposed a spatial atomic layer deposition for deposition of a thin film with a controlled deposition and a better deposition rate. However, the available spatial atomic layer deposition has other problems, such as inferior layer uniformity and inferior layer quality. Therefore, it would be desirable to provide a spatial atomic layer deposition and a method of using it without the aforementioned disadvantages.

Kurze Beschreibung der ZeichnungenBrief description of the drawings

Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.Aspects of the present invention will be best understood from the following detailed description taken in conjunction with the accompanying drawings. It should be noted that, according to common practice in the industry, various elements are not drawn to scale. Rather, for the sake of clarity of the discussion, the dimensions of the various elements can be arbitrarily increased or reduced.

1 ist eine schematische Schnittansicht eines SALD-Moduls (SALD: spatial atomic layer deposition; räumliche Atomlagenabscheidung), das gemäß einigen Ausführungsformen konfiguriert ist. 1 Figure 3 is a schematic sectional view of a spatial atomic layer deposition (SALD) module configured in accordance with some embodiments.

Die 2, 3, 4, 6, 7 und 8 sind schematische Draufsichten des SALD-Moduls von 1, das gemäß einigen Ausführungsformen konfiguriert ist.The 2 . 3 . 4 . 6 . 7 and 8th are schematic plan views of the SALD module of 1 that is configured according to some embodiments.

5A ist eine schematische Darstellung einer Chemikalien-Einspritzvorrichtung des SALD-Moduls von 1, die gemäß einigen Ausführungsformen konfiguriert ist. 5A is a schematic representation of a chemical injection device of the SALD module of 1 that is configured according to some embodiments.

5B ist eine schematische Darstellung einer Chemikalien-Einspritzvorrichtung des SALD-Moduls von 1, die gemäß einigen Ausführungsformen konfiguriert ist. 5B is a schematic representation of a chemical injection device of the SALD module of 1 that is configured according to some embodiments.

9 ist ein Blockschaltbild eines SALD-Systems mit dem SALD-Modul von 1, das gemäß einigen Ausführungsformen konfiguriert ist. 9 is a block diagram of a SALD system with the SALD module of 1 that is configured according to some embodiments.

10 ist ein Ablaufdiagramm eines Verfahrens, das das SALD-System von 9 verwendet, das gemäß einigen Ausführungsformen konfiguriert ist. 10 is a flowchart of a method that the SALD system of 9 used, which is configured according to some embodiments.

Detaillierte BeschreibungDetailed description

Es ist zu beachten, dass die nachstehende Beschreibung viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereitstellt. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.It should be understood that the description below provides many different embodiments or examples for implementing various features of the invention. Hereinafter, specific examples of components and arrangements will be described in order to simplify the present invention. Of course these are just examples and should not be limiting. Moreover, in the present invention, reference numerals and / or letters may be repeated in the various examples. This repetition is for simplicity and clarity and as such does not dictate any relationship between the various embodiments and / or configurations discussed.

Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich”, „unter”, „untere(r)”/„unteres”, „darüber befindlich”, „obere(r)”/„oberes” und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Wenn zum Beispiel das Bauelement in den Figuren umgedreht wird, waren dann Elemente, die als „unter” anderen Elementen oder Strukturen oder „darunter befindlich” beschrieben werden, „über” den anderen Elementen oder Strukturen angeordnet. Somit kann der beispielhafte Begriff „unter” die Orientierung „über” als auch „unter” umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.Moreover, spatially relative terms such as "underlying", "below", "lower" / "lower", "above", "upper", "upper", and the like, may be simply used Description of the relationship of an element or a structure to one or more other elements or structures are used, which are shown in the figures. The spatially relative terms are intended to include, in addition to the orientation shown in the figures, other orientations of the device in use or in service. For example, when the device in the figures is turned over, elements described as "below" other elements or structures or "underlying" were then placed "above" the other elements or structures. Thus, the exemplary term "below" may include the orientation "above" as well as "below". The Device may be reoriented (rotated 90 degrees or in a different orientation), and the spatially relative descriptors used herein may also be interpreted accordingly.

1 zeigt eine schematische Schnittansicht eines SALD-Moduls 100 (SALD: spatial atomic layer deposition; räumliche Atomlagenabscheidung) gemäß einigen Ausführungsformen. 2 ist eine Teil-Draufsicht des SALD-Moduls 100 gemäß einigen Ausführungsformen. Das SALD-Modul 100 weist eine Bearbeitungskammer 102 auf. Die Bearbeitungskammer 102 hat einen oberen Teil 102A und einen unteren Teil 102B, die eine Einheit darstellen und einen umschlossenen Raum 104 zwischen dem oberen und dem unteren Teil bilden. Das SALD-Modul 100 weist einen Substrattisch 106 auf, auch als Wafertisch bezeichnet, der so konfiguriert ist, dass er ein oder mehrere Halbleitersubstrate 108, wie etwa sechs Halbleitersubstrate in einem Beispiel, festhält. Bei einigen Beispielen kann der Substrattisch 106 eine Unterduck-Spannvorrichtung zum Festhalten der Halbleiterwafer 108 haben. Der Substrattisch 106 kann weiterhin einen Mechanismus zum Drehen um eine Mittelachse 110 haben, die senkrecht zu den darauf gehaltenen Halbleitersubstraten 108 ist und durch den Mittelpunkt des Substrattischs 106 verläuft. Bei einigen Beispielen hat der Substrattisch 106 eine Drehstruktur und einen Motor, die eingebaut sind, um eine Drehung des Substrattischs 106 zu ermöglichen. Die Halbleitersubstrate 108, die auf dem Substrattisch 106 gehalten werden, bewegen sich zusammen mit dem Substrattisch 106, wenn sich der Substrattisch 106 dreht. 1 shows a schematic sectional view of a SALD module 100 (SALD: spatial atomic layer deposition; spatial atomic layer deposition) according to some embodiments. 2 is a partial top view of the SALD module 100 according to some embodiments. The SALD module 100 has a processing chamber 102 on. The processing chamber 102 has an upper part 102A and a lower part 102B which represent a unity and an enclosed space 104 form between the upper and the lower part. The SALD module 100 has a substrate table 106 , also referred to as a wafer table, configured to include one or more semiconductor substrates 108 , such as six semiconductor substrates in one example. In some examples, the substrate table 106 a lower pressure jig for holding the semiconductor wafers 108 to have. The substrate table 106 may further include a mechanism for rotating about a central axis 110 which are perpendicular to the semiconductor substrates held thereon 108 is and through the center of the substrate table 106 runs. In some examples, the substrate table has 106 a rotary structure and a motor which are installed to rotate the substrate table 106 to enable. The semiconductor substrates 108 on the substrate table 106 are kept moving together with the substrate table 106 if the substrate table 106 rotates.

Bei einigen Ausführungsformen ist das Halbleitersubstrat 108 ein Siliciumwafer. Bei einigen Ausführungsformen kann das Halbleitersubstrat 104 Folgendes umfassen: einen elementaren Halbleiter, wie etwa Germanium in einer kristallinen Struktur; einen Verbindungshalbleiter, wie etwa Siliciumgermanium, Siliciumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; oder Kombinationen davon. Bei weiteren Ausführungsformen können Schichten aus diesen Halbleitermaterialien epitaxial auf den Siliciumwafer aufgewachsen werden. Bei einigen weiteren Ausführungsformen kann das Substrat 104 ein Halbleiterwafer aus einem anderen Material (wie etwa Siliciumcarbid) oder ein Substrat aus einem anderen Material (wie etwa ein Glassubstrat) sein.In some embodiments, the semiconductor substrate is 108 a silicon wafer. In some embodiments, the semiconductor substrate 104 The following include: an elemental semiconductor such as germanium in a crystalline structure; a compound semiconductor such as silicon germanium, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide and / or indium antimonide; or combinations thereof. In further embodiments, layers of these semiconductor materials may be epitaxially grown on the silicon wafer. In some other embodiments, the substrate may be 104 a semiconductor wafer of another material (such as silicon carbide) or a substrate of another material (such as a glass substrate).

Der Halbleiterwafer 108 hat eine Vorderseite 108A und eine Rückseite 108B, die einander gegenüberliegen. Eine oder mehrere integrierte Schaltungen werden auf der Vorderseite 108A des Halbleiterwafers 108 hergestellt, teilweise hergestellt oder sollen dort hergestellt werden. Daher kann die Vorderseite 108A des Halbleiterwafers 108 eine strukturierte Materialschicht oder eine zu strukturierende Materialschicht umfassen. Die Vorderseite 108A kann zum Beispiel Folgendes umfassen: verschiedene Trennungsstrukturen (wie etwa flache Grabenisolationsstrukturen), verschiedene dotierte Strukturen (wie etwa dotierte Wannen oder dotierte Source- und Drain-Strukturen), verschiedene Bauelemente (wie etwa Transistoren), verschiedene leitende Strukturen (wie etwa Kontakte, Metallleitungen und/oder Durchkontaktierungen einer Verbindungsstruktur), Verkappungsmaterialschichten (wie etwa Bondinseln und/oder eine Passivierungsschicht) oder eine Kombination davon. Bei einem fertig hergestellten Halbleiterwafer können sich alle vorgenannten Materialschichten und Strukturen auf der Vorderseite 108A des Halbleiterwafers 108 befinden. Bei dem vorliegenden Beispiel, in dem der Halbleiterwafer 108 gerade hergestellt wird, kann eine Teilmenge der vorgenannten Materialschichten auf der Vorderseite 108A hergestellt werden. Die Achse 110 ist senkrecht zu der Oberseite 108A und der Rückseite 108B des Halbleiterwafers 108, der auf dem Substrattisch 106 gehalten wird, oder zu der Vorderseite des Substrattischs 106. Die Oberseite 108A des Wafers kann strukturiert sein und hat ein topografisches Profil, aber insgesamt ist sie eben und parallel zu der Rückseite 108B.The semiconductor wafer 108 has a front side 108A and a back 108B that face each other. One or more integrated circuits are on the front 108A of the semiconductor wafer 108 manufactured, partially manufactured or are to be produced there. Therefore, the front can 108A of the semiconductor wafer 108 comprise a structured material layer or a material layer to be structured. The front 108A For example, it may include various separation structures (such as shallow trench isolation structures), various doped structures (such as doped wells or doped source and drain structures), various devices (such as transistors), various conductive structures (such as contacts, metal lines and / or vias of a connection structure), capping material layers (such as bonding pads and / or a passivation layer) or a combination thereof. In a finished semiconductor wafer, all the aforementioned material layers and structures can be on the front side 108A of the semiconductor wafer 108 are located. In the present example, in which the semiconductor wafer 108 can be made, a subset of the aforementioned material layers on the front 108A getting produced. The axis 110 is perpendicular to the top 108A and the back 108B of the semiconductor wafer 108 standing on the substrate table 106 is held, or to the front of the substrate table 106 , The top 108A The wafer can be structured and has a topographical profile, but overall it is flat and parallel to the back 108B ,

3 ist eine schematische Teil-Draufsicht des SALD-Moduls 100. Zusätzlich ist in 3 die Bearbeitungskammer 102 dargestellt. Das SALD-Modul 100 weist verschiedene Elemente auf, die so konfiguriert sind, dass sie verschiedene Reaktionszonen definieren. Somit durchläuft jeder Wafer 108, der auf dem Substrattisch 106 gehalten wird, verschiedene Reaktionszonen und beendet einen Reaktionszyklus, wenn sich der Substrattisch 106 eine Runde gedreht hat. Bei einigen Ausführungsformen hat die Bearbeitungskammer 102 in der Draufsicht eine runde Form und hat einen Radius, der größer als der Durchmesser des Wafers 108 ist. Bei einigen Ausführungsformen liegt der Radius der Bearbeitungskammer 102 in dem Bereich von 70 cm bis 80 cm. 3 is a schematic partial top view of the SALD module 100 , Additionally is in 3 the processing chamber 102 shown. The SALD module 100 has several elements configured to define different reaction zones. Thus, every wafer passes through 108 standing on the substrate table 106 is held, different reaction zones and terminates a reaction cycle when the substrate table 106 has turned a round. In some embodiments, the processing chamber has 102 in plan view a round shape and has a radius which is larger than the diameter of the wafer 108 is. In some embodiments, the radius of the processing chamber is 102 in the range of 70 cm to 80 cm.

4 ist eine schematische Teil-Draufsicht des SALD-Moduls 100, das gemäß einigen Ausführungsformen konfiguriert ist. Das SALD-Modul 100 weist einen ersten Chemikalienzuführmechanismus 112 auf, um eine erste Chemikalie für eine erste Reaktionszone 114 bereitzustellen, die auch als Vorläufer-Absorptionsbereich bezeichnet wird. Bei einigen Ausführungsformen weist der erste Chemikalienzuführmechanismus 112 einen oberen Sprühkopf, der auf dem oberen Teil 102A der Bearbeitungskammer angeordnet ist, auf, um die erste Chemikalie dem Substrattisch 106 in der ersten Reaktionszone 114 zuzuführen. Bei einigen Ausführungsformen ist der Sprühkopf so konfiguriert, dass er die erste Chemikalie dem Wafer 108 in einer Richtung senkrecht zu der Vorderseite 108A des Wafers 108 zuführt, der auf dem Substrattisch 106 gehalten wird. Bei einigen Beispielen zur Erläuterung umfasst die erste Chemikalie Dichlorsilan (SiH2Cl2 oder DCS), Hexachlordisilan (Si2Cl6 oder HCD), Bis(tert-butylamino)silan (C8H22N2Si oder BTBAS), Trimethylaluminium [Al2(CH3)6 oder TMA] oder andere geeignete Chemikalien in Abhängigkeit von der herzustellenden Schicht. 4 is a schematic partial top view of the SALD module 100 that is configured according to some embodiments. The SALD module 100 has a first chemical delivery mechanism 112 on to a first chemical for a first reaction zone 114 which is also referred to as the precursor absorption region. In some embodiments, the first chemical delivery mechanism 112 an upper spray head, on the upper part 102A the processing chamber is located on top of the first chemical to the substrate table 106 in the first reaction zone 114 supply. In some embodiments, the spray head is configured to be the first chemical to the wafer 108 in a direction perpendicular to the front 108A of the wafer 108 which feeds on the substrate table 106 is held. In some illustrative examples, the first chemical includes dichlorosilane (SiH 2 Cl 2 or DCS), hexachlorodisilane (Si 2 Cl 6 or HCD), bis (tert-butylamino) silane (C 8 H 22 N 2 Si or BTBAS), trimethylaluminum [ Al 2 (CH 3 ) 6 or TMA] or other suitable chemicals depending on the layer to be produced.

Andere Elemente sind so konfiguriert, dass sie die erste Reaktionszone 114 weiterhin aufrechterhalten. Bei einigen Ausführungsformen sind Luft-Begrenzungsmechanismen 116 auf beiden Seiten der ersten Reaktionszone 114 angeordnet, um eine Diffusion der ersten Chemikalie zu verhindern und die erste Reaktionszone 114 von anderen Reaktionszonen zu trennen. Die Luft-Begrenzungsmechanismen 116 sind mit einer Inertgasquelle (wie etwa Stickstoff oder Argon) verbunden und stellen ein Inertgas bereit, sodass Gaswände zum Aufrechterhalten und Trennen der ersten Reaktionszone 114 entstehen. Wenn sich der Wafer 108 in der ersten Reaktionszone 114 befindet, wird die erste Chemikalie auf der Vorderseite 108A des Wafers 108 abgeschieden (oder adsorbiert).Other elements are configured to be the first reaction zone 114 continue to maintain. In some embodiments, air restriction mechanisms are 116 on both sides of the first reaction zone 114 arranged to prevent diffusion of the first chemical and the first reaction zone 114 separate from other reaction zones. The air limiting mechanisms 116 are connected to an inert gas source (such as nitrogen or argon) and provide an inert gas so that gas walls to maintain and separate the first reaction zone 114 arise. If the wafer 108 in the first reaction zone 114 is the first chemical on the front 108A of the wafer 108 deposited (or adsorbed).

Das SALD-Modul 100 weist einen zweiten Chemikalienzuführmechanismus auf, um eine zweite Chemikalie für eine zweite Reaktionszone 120 bereitzustellen, die auch als Reaktionsbehandlungsbereich bezeichnet wird. Bei der vorliegenden Ausführungsform weist der zweite Chemikalienzuführmechanismus eine Rand-Chemikalien-Einspritzvorrichtung 122, die an dem Rand der Bearbeitungskammer 102 angeordnet ist, sowie eine radiale Chemikalien-Einspritzvorrichtung 124 auf, die entlang einer anderen Richtung, insbesondere entlang der radialen Richtung, auf einer Seite der zweiten Reaktionszone 120 angeordnet ist. Sowohl die Rand- als auch die radiale Chemikalien-Einspritzvorrichtung (122 und 124) sind länglich, und die Längendimensionen sind entsprechend orientiert. Hier bezeichnet der Rand der Bearbeitungskammer 102 die äußere Begrenzung der Bearbeitungskammer 102 in Bezug zu der radialen Richtung. Die radiale Richtung bezeichnet eine Richtung von dem Rand zu dem Mittelpunkt 110 der Bearbeitungskammer. Die radiale Chemikalien-Einspritzvorrichtung 124 kann entlang einer Richtung etwas weg von der entsprechenden radialen Richtung ausgerichtet werden, um eine Freiheit zur Feineinstellung der chemischen Einheitlichkeit und Abscheidungsgleichmäßigkeit zu ermöglichen. Zum Beispiel ist die Längendimension in einer Richtung orientiert, die einen Winkel von weniger als 15° mit der entsprechenden radialen Richtung bildet.The SALD module 100 has a second chemical delivery mechanism to a second chemical for a second reaction zone 120 which is also referred to as a reaction treatment area. In the present embodiment, the second chemical feed mechanism has an edge chemical injector 122 at the edge of the processing chamber 102 is arranged, as well as a radial chemical injection device 124 on, in another direction, in particular along the radial direction, on one side of the second reaction zone 120 is arranged. Both the edge and radial chemical injectors ( 122 and 124 ) are elongated, and the length dimensions are oriented accordingly. Here is the edge of the processing chamber 102 the outer boundary of the processing chamber 102 in relation to the radial direction. The radial direction denotes a direction from the edge to the center 110 the processing chamber. The radial chemical injector 124 may be aligned along a direction slightly away from the corresponding radial direction to allow freedom to fine tune chemical uniformity and deposition uniformity. For example, the length dimension is oriented in a direction that forms an angle of less than 15 ° with the corresponding radial direction.

Die radiale Chemikalien-Einspritzvorrichtung 124 reicht im Wesentlichen von dem Rand bis zu dem Mittelpunkt 110 der Bearbeitungskammer 102, sodass sie sich über mindestens 80% des Radius R oder bei einigen Ausführungsformen über mindestens 90% von R erstreckt.The radial chemical injector 124 essentially ranges from the edge to the midpoint 110 the processing chamber 102 such that it extends over at least 80% of the radius R, or in at least 90% of R in some embodiments.

Die beiden Chemikalien-Einspritzvorrichtungen sind entlang von zwei Richtungen angeordnet. Wie in 4 gezeigt ist, wird die zweite Chemikalie aus zwei Richtungen von der Einspritzvorrichtung 122 bzw. der Einspritzvorrichtung 124 für die zweite Reaktionszone 120 bereitgestellt.The two chemical injectors are arranged along two directions. As in 4 is shown, the second chemical is from two directions from the injector 122 or the injection device 124 for the second reaction zone 120 provided.

Die Chemikalien-Einspritzvorrichtungen sind so konfiguriert, dass sie die zweite Chemikalie der zweiten Reaktionszone 120 zuführen. Bei einigen Beispielen zur Erläuterung umfasst die zweite Chemikalie Ammoniak (NH3), Argon, Stickstoff (N2), Wasserstoff (H2), Helium (He), Kohlendioxid (CO2), Sauerstoff (O2), Ozon (O3), Wasser (H2O), Wasserstoffperoxid (H2O2), Bor-Vorläufer oder andere geeignete Chemikalien in Abhängigkeit von der herzustellenden Schicht. Wenn sich der Wafer 108 in der zweiten Reaktionszone 120 befindet, wird die zweite Chemikalie dem Wafer zugeführt und wird weiter mit der zuvor adsorbierten ersten Chemikalie reagieren gelassen, um eine Atomlage der Schicht auf dem Wafer herzustellen, und somit ist ein Zyklus abgeschlossen.The chemical injectors are configured to be the second chemical of the second reaction zone 120 respectively. In some illustrative examples, the second chemical includes ammonia (NH 3 ), argon, nitrogen (N 2 ), hydrogen (H 2 ), helium (He), carbon dioxide (CO 2 ), oxygen (O 2 ), ozone (O 3 ), Water (H 2 O), hydrogen peroxide (H 2 O 2 ), boron precursors or other suitable chemicals depending on the layer to be produced. If the wafer 108 in the second reaction zone 120 is the second chemical is supplied to the wafer and is further reacted with the previously adsorbed first chemical to produce an atomic layer of the layer on the wafer, and thus one cycle is completed.

Eine Chemikalien-Einspritzvorrichtung 126, die gemäß einigen Ausführungsformen konfiguriert ist, ist schematisch in 5A dargestellt. Die Chemikalien-Einspritzvorrichtung 126 ist ein Beispiel für die Rand-Chemikalien-Einspritzvorrichtung 122 oder die radiale Chemikalien-Einspritzvorrichtung 124. Die Chemikalien-Einspritzvorrichtung 126 weist ein Rohr 128 mit einem Ende 128A, das mit einer Chemikalienquelle für die zweite Chemikalie verbunden ist, und einem anderen Ende 128B auf, das abgedichtet ist. Das Rohr 128 ist so konfiguriert, dass es eine Vielzahl von Öffnungen 130 hat, die so bemessen, angeordnet und konfiguriert sind, dass sie die zweite Chemikalie effektiv und gleichmäßig in die zweite Reaktionszone 120 einspritzen können. Bei einigen Beispielen kann die Chemikalien-Einspritzvorrichtung 126 eine Länge in dem Bereich von 300 mm bis 600 mm haben. Die Öffnungen 130 können einen Durchmesser in dem Bereich von 0,2 mm bis 1,2 mm haben. Die Anzahl der Öffnungen 130 kann in dem Bereich von 10 bis 200 liegen.A chemical injection device 126 , which is configured according to some embodiments, is schematically illustrated in FIG 5A shown. The chemical injection device 126 is an example of the Edge Chemical Injector 122 or the radial chemical injector 124 , The chemical injection device 126 has a pipe 128 with one end 128A which is associated with a chemical source for the second chemical and another end 128B that is sealed. The pipe 128 is configured to have a variety of openings 130 has that are sized, arranged and configured to deliver the second chemical effectively and evenly into the second reaction zone 120 can inject. In some examples, the chemical injection device 126 have a length in the range of 300 mm to 600 mm. The openings 130 may have a diameter in the range of 0.2 mm to 1.2 mm. The number of openings 130 may be in the range of 10 to 200.

Kommen wir nun wieder zu 4 zurück. Hier sind die Rand-Chemikalien-Einspritzvorrichtung 122 und die radiale Chemikalien-Einspritzvorrichtung 124 bei einer Konfiguration in die Bearbeitungskammer 102 so integriert, dass beide höher als der Wafer 108 auf dem Substrattisch 106 sind, wie etwa höher als der Wafer 108 mit einer relativen Höhe in dem Bereich von 2 mm bis 10 mm. Das SALD-Modul 100 weist außerdem einen weiteren Trennungsmechanismus auf, um verschiedene Reaktionszonen aufrechtzuerhalten und zu trennen. Zum Beispiel sind verschiedene Abführmechanismen 132, 134 und 136, wie etwa Pumpen, an verschiedenen Stellen der Bearbeitungskammer 102 angeordnet. Dadurch wird eine Chemikalie, die aus der Zielzone heraus diffundiert wird, abgepumpt, und eine weitere Diffusion zu anderen Bereichen wird vermieden. In dem vorliegenden Beispiel, das in 4 gezeigt ist, ist der Abführmechanismus 132 an dem Rand der Bearbeitungskammer 102 in der ersten Reaktionszone 114 angeordnet. In diesem Fall wird die erste Chemikalie von dem ersten Chemikalienzuführmechanismus 112 dem Wafer 108 in der ersten Reaktionszone 114 zugeführt und wird von dem Abführmechanismus 132 abgeführt, wenn sie den Rand der ersten Reaktionszone 114 erreicht. Die Abführmechanismen 134 und 136 sind auf beiden Seiten der zweiten Reaktionszone 120 angeordnet, um die zweite Chemikalie abzuführen, die aus der zweiten Reaktionszone 120 heraus diffundiert worden ist. Die Abführmechanismen spülen die Chemikalie aus der vorhergehenden Reaktionszone und bereiten die Wafer-Oberfläche für die nächste Chemikalie vor. Die Abführmechanismen sehen entsprechende einzelne Spülzonen vor, wie etwa die Spülzonen 134Z und 136Z. Die zweite Reaktionszone 120 mit den so konfigurierten Chemikalien-Einspritzvorrichtungen hat eine gleichmäßigere Verteilung der zweiten Chemikalie und daher eine gleichmäßigere chemische Reaktionsgeschwindigkeit und eine gleichmäßige Schichtdicke und Schichtqualität.Let's get back to it 4 back. Here are the Edge Chemical Injector 122 and the radial chemical injector 124 when configured in the processing chamber 102 integrated so that both higher than the wafer 108 on the substrate table 106 are, such as higher than the wafer 108 with a relative height in the range of 2 mm to 10 mm. The SALD module 100 also has another separation mechanism to maintain and separate various reaction zones. For example, different discharge mechanisms 132 . 134 and 136 . such as pumps, at various points in the processing chamber 102 arranged. As a result, a chemical that diffuses out of the target zone is pumped out, and further diffusion to other areas is avoided. In the present example, which is in 4 is shown is the discharge mechanism 132 at the edge of the processing chamber 102 in the first reaction zone 114 arranged. In this case, the first chemical becomes the first chemical delivery mechanism 112 the wafer 108 in the first reaction zone 114 supplied and is from the discharge mechanism 132 dissipated when they reach the edge of the first reaction zone 114 reached. The discharge mechanisms 134 and 136 are on both sides of the second reaction zone 120 arranged to remove the second chemical from the second reaction zone 120 has been diffused out. The purge mechanisms purge the chemical from the previous reaction zone and prepare the wafer surface for the next chemical. The purge mechanisms provide respective individual purge zones, such as the purge zones 134Z and 136Z , The second reaction zone 120 with the so-configured chemical injectors has a more uniform distribution of the second chemical and therefore a more uniform chemical reaction rate and a uniform layer thickness and layer quality.

Das SALD-Modul 100 kann außerdem weitere Elemente und weitere Reaktionszonen aufweisen. 6 ist eine schematische Draufsicht des SALD-Moduls 100 gemäß einigen weiteren Ausführungsformen. Das SALD-Modul 100 weist außerdem eine weitere radiale Chemikalien-Einspritzvorrichtung 138 auf, die auf einer anderen Seite der zweiten Reaktionszone 120 angeordnet ist. Die radiale Chemikalien-Einspritzvorrichtung 138 ist hinsichtlich Konfiguration, Struktur und Abmessungen im Wesentlichen der Chemikalien-Einspritzvorrichtung 124 ähnlich. Die radiale Chemikalien-Einspritzvorrichtung 138 ist ebenfalls radial von dem Rand zu dem Mittelpunkt 110 der Bearbeitungskammer 102 auf der anderen Seite der zweiten Reaktionszone 120 angeordnet. In der vorliegenden Ausführungsform sind die radialen Chemikalien-Einspritzvorrichtungen 124 und 138 symmetrisch an Seiten der zweiten Reaktionszone 120 angeordnet, sodass ein Zentriwinkel oder Mittelpunktswinkel entsteht. Darüber hinaus sind die Chemikalien-Einspritzvorrichtungen 122, 124 und 138 so angeordnet, dass sie einen dreieckigen Bereich als die zweite Reaktionszone 120 umschließen. Bei einigen Ausführungsformen kann die Rand-Chemikalien-Einspritzvorrichtung 122 so gebogen sein, dass sie mit der Seitenwand der Bearbeitungskammer 102 übereinstimmt. Zum Beispiel ist die Rand-Chemikalien-Einspritzvorrichtung 122 zu einem Bogen gekrümmt. Somit sind die Chemikalien-Einspritzvorrichtungen 122, 124 und 138 so angeordnet, dass sie einen Kreissektor als die zweite Reaktionszone 120 umschließen.The SALD module 100 may also have other elements and other reaction zones. 6 is a schematic plan view of the SALD module 100 according to some other embodiments. The SALD module 100 also has another radial chemical injector 138 on that on another side of the second reaction zone 120 is arranged. The radial chemical injector 138 In terms of configuration, structure and dimensions, it is essentially the chemical injector 124 similar. The radial chemical injector 138 is also radially from the edge to the center 110 the processing chamber 102 on the other side of the second reaction zone 120 arranged. In the present embodiment, the radial chemical injectors are 124 and 138 symmetrical on sides of the second reaction zone 120 arranged so that a central angle or center angle is formed. In addition, the chemical injection devices 122 . 124 and 138 arranged so that they have a triangular area as the second reaction zone 120 enclose. In some embodiments, the edge chemical injector 122 Be bent so that it is aligned with the side wall of the processing chamber 102 matches. For example, the Edge Chemical Injector is 122 curved into a bow. Thus, the chemical injection devices 122 . 124 and 138 arranged so that they have a circular sector as the second reaction zone 120 enclose.

Die drei Chemikalien-Einspritzvorrichtungen sind also in unterschiedlichen Richtungen angeordnet und umschließen im Wesentlichen die zweite Reaktionszone 120, wodurch ein gleichmäßigerer und höherer Druck der zweiten Chemikalie in der zweiten Reaktionszone 120 aufrechterhalten wird und die Diffusion nach außen verringert wird. Dadurch werden die Abscheidungsrate und die Schichtqualität verbessert.The three chemical injection devices are thus arranged in different directions and substantially enclose the second reaction zone 120 , resulting in a more uniform and higher pressure of the second chemical in the second reaction zone 120 is maintained and the diffusion is reduced to the outside. This improves the deposition rate and layer quality.

7 ist eine schematische Draufsicht des SALD-Moduls 100 gemäß einigen weiteren Ausführungsformen. Das SALD-Modul 100 weist weiterhin eine Vorreaktionszone 140 für eine erste Abscheidung der zweiten Chemikalie auf. Die Vorreaktionszone 140 kann auf verschiedene Weise funktionieren, wie etwa Vorbereiten der chemischen Bedingungen und weiteres Spülen der ersten Chemikalie. In der Vorreaktionszone 140 ist eine Rand-Chemikalien-Einspritzvorrichtung 142 so konfiguriert, dass sie die zweite Chemikalie bereitstellt. Die Rand-Chemikalien-Einspritzvorrichtung 142 kann der Rand-Chemikalien-Einspritzvorrichtung 122 ähnlich sein (wie etwa geradlinig oder gekrümmt bei einigen Ausführungsformen). Die Rand-Chemikalien-Einspritzvorrichtung 142 hat in Abhängigkeit von der Abscheidungsleistung und den Diffusions- und Spül-Effekten in anderen Bereichen eine Länge, die von der der Rand-Chemikalien-Einspritzvorrichtung 122 verschieden (zum Beispiel kürzer) sein kann. Da die zweite Chemikalie in der zweiten Reaktionszone 120 eine hohe und gleichmäßige Dichte beibehält und die Diffusion der zweiten Chemikalie minimiert wird, kann die Rand-Chemikalien-Einspritzvorrichtung 122 mit einer geringeren Länge konfiguriert werden und die Rand-Chemikalien-Einspritzvorrichtung 142 kann mit einer größeren Länge konfiguriert werden. Somit wird die zweite Reaktionszone 120 vergrößert, die Drehung des Substrattischs 106 kann verstärkt werden, und auch der Durchsatz wird erhöht. Die Rand-Chemikalien-Einspritzvorrichtung 142 ist ebenfalls mit einer Chemikalienquelle für die zweite Chemikalie verbunden. 7 is a schematic plan view of the SALD module 100 according to some other embodiments. The SALD module 100 also has a pre-reaction zone 140 for a first deposition of the second chemical. The pre-reaction zone 140 can work in various ways, such as preparing the chemical conditions and further rinsing the first chemical. In the pre-reaction zone 140 is a Rand Chemical Injector 142 configured to provide the second chemical. The Edge Chemical Injector 142 Can the Edge Chemical Injector 122 be similar (such as rectilinear or curved in some embodiments). The Edge Chemical Injector 142 has a length similar to that of the edge chemical injector, depending on the deposition performance and the diffusion and purge effects in other areas 122 different (for example, shorter) can be. As the second chemical in the second reaction zone 120 maintains a high and uniform density and minimizes the diffusion of the second chemical, the Edge Chemical Injector can 122 be configured with a shorter length and the edge chemicals injector 142 can be configured with a longer length. Thus, the second reaction zone 120 increases the rotation of the substrate table 106 can be strengthened, and also the throughput is increased. The Edge Chemical Injector 142 is also associated with a chemical source for the second chemical.

Bleiben wir bei 7. Das SALD-Modul 100 weist weiterhin eine Nachreaktionszone 144 zur Nachabscheidung der zweiten Chemikalie auf. Die Nachreaktionszone 144 kann auf verschiedene Weise funktionieren, wie etwa Abschließen der chemischen Reaktion in der zweiten Reaktionszone 120. In der Nachreaktionszone 144 ist eine Rand-Chemikalien-Einspritzvorrichtung 146 so konfiguriert, dass sie die zweite Chemikalie bereitstellt. Die Rand-Chemikalien-Einspritzvorrichtung 146 kann hinsichtlich Konfiguration, Struktur und Abmessungen anderen Rand-Chemikalien-Einspritzvorrichtungen ähnlich sein, wie etwa der Rand-Chemikalien-Einspritzvorrichtung 142. Die Rand-Chemikalien-Einspritzvorrichtung 146 ist ebenfalls mit einer Chemikalienquelle für die zweite Chemikalie verbunden.We stay with you 7 , The SALD module 100 also has a post-reaction zone 144 for subsequent deposition of the second chemical. The post-reaction zone 144 can function in various ways, such as completing the chemical reaction in the second reaction zone 120 , In the post-reaction zone 144 is a Rand Chemical Injector 146 configured to provide the second chemical. The Edge Chemical Injector 146 may be similar in configuration, structure and dimensions to other edge chemical injectors, such as the edge chemical injector 142 , The Edge Chemical Injector 146 is also associated with a chemical source for the second chemical.

Bleiben wir noch immer bei 7. Das SALD-Modul 100 kann außerdem weitere Trennungsmechanismen aufweisen, wie etwa einen Abführmechanismus 148, der zwischen der Vorreaktionszone 140 und dem Luft-Begrenzungsmechanismus 116 angeordnet ist und für weitere Spül- und Trennungsfunktionen konfiguriert ist. Bei einigen Beispielen kann das SALD-Modul 100 außerdem einen Abführmechanismus 150 aufweisen, der zwischen der Nachreaktionszone 144 und dem Luft-Begrenzungsmechanismus 116 angeordnet ist und für weitere Spül- und Trennungsfunktionen konfiguriert ist. We still stay with you 7 , The SALD module 100 may also include other separation mechanisms, such as a drainage mechanism 148 that is between the pre-reaction zone 140 and the air limiting mechanism 116 is arranged and configured for further rinsing and separation functions. In some examples, the SALD module 100 also a discharge mechanism 150 between the post-reaction zone 144 and the air limiting mechanism 116 is arranged and configured for further rinsing and separation functions.

8 ist eine schematische Draufsicht des SALD-Moduls 100 gemäß einigen weiteren Ausführungsformen. Das SALD-Modul 100 weist weiterhin eine oder mehrere innere Chemikalien-Einspritzvorrichtungen auf, die in der Mitte oder in der Nähe der Mitte der Bearbeitungskammer und über dem Wafer 108 auf dem Substrattisch 106 angeordnet sind, um eine weitere Zufuhr der zweiten Chemikalie aus verschiedenen Richtungen zu ermöglichen. Bei einigen Beispielen ist eine erste innere Chemikalien-Einspritzvorrichtung 152 in der Mitte angeordnet, um die zweite Chemikalie für die Nachreaktionszone 144 entgegen der Richtung bereitzustellen, in der die zweite Chemikalie von der Rand-Chemikalien-Einspritzvorrichtung 146 bereitgestellt wird. In einigen Beispielen ist die erste innere Chemikalien-Einspritzvorrichtung 152 so angeordnet, dass sie zu der Rand-Chemikalien-Einspritzvorrichtung 146 zeigt und parallel zu dieser ist. Die erste innere Chemikalien-Einspritzvorrichtung 152 kann eine ähnliche Struktur wie andere Chemikalien-Einspritzvorrichtungen haben, wie etwa die Chemikalien-Einspritzvorrichtung 126 von 5, aber sie hat eine andere Länge und andere Design-Parameter, wie etwa Länge, Anzahl und/oder Durchmesser der Öffnungen. Die erste innere Chemikalien-Einspritzvorrichtung 152 ist ebenfalls mit einer Chemikalienquelle für die zweite Chemikalie verbunden. 8th is a schematic plan view of the SALD module 100 according to some other embodiments. The SALD module 100 further includes one or more internal chemical injectors located at or near the center of the processing chamber and above the wafer 108 on the substrate table 106 are arranged to allow further supply of the second chemical from different directions. In some examples, a first internal chemical injector is 152 arranged in the middle to the second chemical for the post-reaction zone 144 counter to the direction in which the second chemical from the edge chemical injector 146 provided. In some examples, the first internal chemical injector is 152 Arranged so that it leads to the edge chemicals injector 146 shows and is parallel to this. The first inner chemical injector 152 may have a similar structure to other chemical injectors, such as the chemical injector 126 from 5 but it has a different length and other design parameters, such as length, number and / or diameter of the openings. The first inner chemical injector 152 is also associated with a chemical source for the second chemical.

Bei einigen Beispielen ist eine zweite innere Chemikalien-Einspritzvorrichtung 154 zusätzlich oder alternativ in der Mitte angeordnet, um die zweite Chemikalie für die Vorreaktionszone 140 entgegen der Richtung bereitzustellen, in der die zweite Chemikalie von der Chemikalien-Einspritzvorrichtung 142 bereitgestellt wird. In einigen Beispielen ist die zweite innere Chemikalien-Einspritzvorrichtung 154 so angeordnet, dass sie zu der Chemikalien-Einspritzvorrichtung 142 zeigt und parallel zu dieser ist. Die zweite innere Chemikalien-Einspritzvorrichtung 154 kann eine ähnliche Struktur und Größe wie die erste innere Chemikalien-Einspritzvorrichtung 152 haben. Die zweite innere Chemikalien-Einspritzvorrichtung 154 ist ebenfalls mit einer Chemikalienquelle für die zweite Chemikalie verbunden.In some examples, a second internal chemical injector is 154 additionally or alternatively arranged in the middle to the second chemical for the pre-reaction zone 140 counter to the direction in which the second chemical from the chemical injector 142 provided. In some examples, the second internal chemical injector is 154 arranged so that they are to the chemical injection device 142 shows and is parallel to this. The second inner chemical injector 154 may be similar in structure and size to the first inner chemical injector 152 to have. The second inner chemical injector 154 is also associated with a chemical source for the second chemical.

Das SALD-Modul 100 kann außerdem weitere Komponenten aufweisen, wie etwa ein Ventil, das mit jeder Chemikalien-Einspritzvorrichtung assoziiert ist, zum Steuern des jeweiligen Gasdurchsatzes und Gasdrucks in der jeweiligen Reaktionszone. Alternativ werden alle Chemikalien-Einspritzvorrichtungen mit ein und derselben Quelle für die zweite Chemikalie verbunden, und ein Hauptventil wird eingebaut, um die Gasdurchsätze zu allen Chemikalien-Einspritzvorrichtungen zu steuern. Bei einigen Beispielen kann die zweite Reaktionszone 120 so gesteuert werden, dass sie einen Chemikalien-Teildruck bis zu 10 Torr hat. Bei einigen Beispielen weist das SALD-Modul 100 ein weiteres Ventil auf, das zwischen dem ersten Chemikalienzuführmechanismus 112 und der ersten Chemikalienquelle, die mit dem ersten Chemikalienzuführmechanismus 112 verbunden ist, angeordnet ist, um den Durchsatz und den Gasdruck in der ersten Reaktionszone 114 zu steuern. Der Teildruck der ersten Chemikalie in der ersten Reaktionszone 114 wird zum Beispiel auf bis zu 2 Torr gesteuert.The SALD module 100 may also include other components, such as a valve associated with each chemical injector, for controlling the respective gas flow rate and gas pressure in the respective reaction zone. Alternatively, all of the chemical injectors are connected to the same source for the second chemical, and a main valve is installed to control the gas flow rates to all the chemical injectors. In some examples, the second reaction zone 120 be controlled so that it has a partial chemical pressure up to 10 Torr. In some examples, the SALD module indicates 100 another valve, which is between the first chemical feed mechanism 112 and the first source of chemicals associated with the first chemical delivery mechanism 112 is arranged to the flow rate and the gas pressure in the first reaction zone 114 to control. The partial pressure of the first chemical in the first reaction zone 114 For example, it is controlled to 2 Torr.

Vorstehend sind verschiedene Konfigurationen und Designs bereitgestellt worden. Für das SALD-Modul 100 können weitere Komponenten und Elemente verwendet werden. Es können weitere Alternativen verwendet werden, ohne von dem Schutzumfang der vorliegenden Erfindung abzuweichen. Zum Beispiel kann die radiale Chemikalien-Einspritzvorrichtung 124 (oder 138) entlang der radialen Richtung zu der Achse 110 angeordnet werden. Alternativ kann die radiale Chemikalien-Einspritzvorrichtung 124 (oder 138) entlang einer Richtung weg von der radialen Richtung angeordnet werden, sodass sie einen Winkel von bis zu 15° mit der entsprechenden radialen Richtung bildet. In weiteren Beispielen können ein oder mehrere Heizelemente in der Bearbeitungskammer 102 angeordnet werden, zum Beispiel in den Substrattisch 106 eingebettet werden, um einen Erwärmungseffekt bei der Abscheidung zu erzielen. Bei einigen Ausführungsformen weist das SALD-Modul 100 außerdem eine dielektrische Platte auf, die in der Bearbeitungskammer angeordnet ist und mit einer Stromquelle verbunden ist, um ein Plasma in der zweiten Reaktionszone zu erzeugen. Die radiale Chemikalien-Einspritzvorrichtung 124 ist zum Beispiel parallel zu der dielektrischen Platte.In the foregoing, various configurations and designs have been provided. For the SALD module 100 Other components and elements can be used. Other alternatives may be used without departing from the scope of the present invention. For example, the radial chemical injector 124 (or 138 ) along the radial direction to the axis 110 to be ordered. Alternatively, the radial chemical injection device 124 (or 138 ) are arranged along a direction away from the radial direction so as to form an angle of up to 15 ° with the corresponding radial direction. In other examples, one or more heating elements in the processing chamber 102 be arranged, for example, in the substrate table 106 embedded in order to achieve a heating effect in the deposition. In some embodiments, the SALD module 100 a dielectric plate disposed in the processing chamber and connected to a power source for generating a plasma in the second reaction zone. The radial chemical injector 124 is parallel to the dielectric plate, for example.

9 ist ein Blockschaltbild eines SALD-Systems 200 gemäß einigen Ausführungsformen. Das SALD-System 200 weist ein oder mehrere SALD-Module 100 auf, die gemeinsam in eine Cluster-Anlage eingebaut sind. Bei einer erläuternden Ausführungsform weist das SALD-System 200 zwei SALD-Module 100 auf, die entsprechend konfiguriert und eingebaut sind. 9 is a block diagram of a SALD system 200 according to some embodiments. The SALD system 200 has one or more SALD modules 100 that are installed together in a cluster system. In an illustrative embodiment, the SALD system 200 two SALD modules 100 which are configured and installed accordingly.

Das SALD-System 200 weist eine oder mehrere Lade-Öffnungen 202 auf, durch die Wafer in das SALD-System 200 geladen und daraus entladen werden. In den vorliegenden Ausführungsformen werden die Wafer unter Verwendung von Wafer-Behältern, wie etwa nach vom öffnenden Einheitsbehältern (front opening unified pods; FOUPs), in Losen geladen und entladen.The SALD system 200 has one or more loading openings 202 on, through the wafers in the SALD system 200 be loaded and unloaded from it. In the present embodiments, the wafers are loaded and unloaded using wafer containers, such as front opening unified pods (FOUPs).

Das SALD-System 200 kann eine Ladevorrichtung (oder Front-End-Einheit) 204 zum Halten, Manipulieren und Transportieren von Wafern aufweisen. Die Ladevorrichtung 204 weist zum Beispiel einen oder mehrere Substrattische 206 zum Halten und/oder Ausrichten eines oder mehrerer Wafer auf. In weiteren Beispielen weist die Ladevorrichtung 204 einen oder mehrere Roboter 208 zum Handhaben von Wafern auf, wie etwa zum Transportieren von Wafern zu den SALD-Modulen 100 oder zum Beladen von Ladeschleusenkammern (oder Ladeschleusen-Einheiten) 210. Der Roboter 208 ist zwischen der Lade-Öffnung 202 und den Ladeschleusenkammern so angeordnet, dass Wafer dazwischen entsprechend transportiert werden können. Zum Beispiel wird jeder Wafer mit dem Roboter 208 von der Lade-Öffnung 202 oder von dem Substrattisch 206 zu einer der Ladeschleusenkammern transportiert oder wird mit dem Roboter 208 zurück zu der Lade-Öffnung 202 transportiert. Bei einigen Ausführungsformen kann das SALD-System 200 außerdem weitere Komponenten aufweisen, wie etwa eine oder mehrere Ladeschleusenkammern 210, die für verschiedene Funktionen konfiguriert sind, wie etwa Vorausrichten und Vorbehandeln. Das Vorbehandeln kann das Entgasen, Vorwärmen oder andere Funktionen umfassen. Zum Beispiel können mehrere Ladeschleusenkammern 210 jeweils für verschiedene Vorbehandlungsfunktionen konfiguriert werden. Bei einigen Beispielen wird ein Wafer in einer der Ladeschleusenkammern 210 ausgerichtet, entgast und/oder vorgewärmt, um ihn für die SALD-Bearbeitung vorzubereiten. Das SALD-System 200 kann unterschiedlich konfiguriert werden. Zum Beispiel kann die Ladeschleusenkammer 210 in der Mitte als ein Weg zum Transportieren der Wafer verwendet werden. In weiteren Beispielen weist das SALD-System 200 weiterhin ein Vakuummodul auf, das zum Herstellen von Vakuumbedingungen für einzelne Bereiche, wie etwa die SALD-Module 100, integriert ist. Die Lade-Öffnungen 202, die Ladevorrichtung 204 und die Ladeschleusenkammern 210 werden gemeinsam als ein Ladeschleusenmodul 211 bezeichnet.The SALD system 200 can be a charging device (or front-end unit) 204 for holding, manipulating and transporting wafers. The loading device 204 has, for example, one or more substrate tables 206 for holding and / or aligning one or more wafers. In other examples, the charging device 204 one or more robots 208 for handling wafers, such as for transporting wafers to the SALD modules 100 or for loading load lock chambers (or load lock units) 210 , The robot 208 is between the loading opening 202 and the loadlock chambers are arranged so that wafers can be transported therebetween accordingly. For example, every wafer is using the robot 208 from the loading port 202 or from the substrate table 206 transported to one of the load lock chambers or is with the robot 208 back to the loading port 202 transported. In some embodiments, the SALD system 200 also include other components, such as one or more loadlock chambers 210 that are configured for various functions, such as pre-setting and pretreatment. The pretreatment may include degassing, preheating or other functions. For example, several load lock chambers 210 each configured for different pretreatment functions. In some examples, a wafer becomes in one of the loadlock chambers 210 aligned, degassed and / or preheated to prepare for SALD processing. The SALD system 200 can be configured differently. For example, the load lock chamber 210 in the middle can be used as a way of transporting the wafers. In other examples, the SALD system 200 Furthermore, a vacuum module, which is used to establish vacuum conditions for individual areas, such as the SALD modules 100 , is integrated. The loading openings 202 , the loader 204 and the loadlock chambers 210 become common as a loadlock module 211 designated.

Das SALD-System 200 kann weiterhin ein Transportmodul 212 zum Transportieren von Wafern zwischen den SALD-Modulen 100 und den Ladeschleusenkammern 210 aufweisen. Bei einigen Ausführungsformen weist das Transportmodul 212 weiterhin einen oder mehrere Roboter 214 zum Transportieren von Wafern auf. Das Transportmodul 212 hat Öffnungen (Türen) 216, die jeweils mit den SALD-Modulen 100 verbunden sind.The SALD system 200 can continue a transport module 212 for transporting wafers between the SALD modules 100 and the loadlock chambers 210 exhibit. In some embodiments, the transport module 212 continue one or more robots 214 for transporting wafers. The transport module 212 has openings (doors) 216 , each with the SALD modules 100 are connected.

10 ist ein Ablaufdiagramm eines Verfahrens 300 zum Herstellen eines oder mehrerer Halbleiterwafer 108 (insbesondere zum Abscheiden einer Dünnschicht auf den Wafer 108 mit dem SALD-Verfahren) gemäß einigen Ausführungsformen. Das Verfahren 300 wird in dem SALD-System 200 von 9 implementiert. Das Verfahren 300 wird unter Bezugnahme auf die 9 und 10 und weitere Figuren beschrieben. 10 is a flowchart of a method 300 for producing one or more semiconductor wafers 108 (In particular for depositing a thin layer on the wafer 108 with the SALD method) according to some embodiments. The procedure 300 will be in the SALD system 200 from 9 implemented. The procedure 300 is referring to the 9 and 10 and further figures described.

Das Verfahren 300 weist einen Schritt 302 zum Laden eines oder mehrerer Wafer in das SALD-System 200 über die Lade-Öffnungen 202 auf. Zum Beispiel werden Wafer, die sich in einem oder mehreren Losen, wie etwa in FOUPs, befinden, in einem oder mehreren Schritten, wie etwa Laden, Entgasen, Vorwärmen und Ausrichten oder einer Teilmenge davon, über die Lade-Öffnungen 202 in das SALD-System 200 geladen.The procedure 300 has a step 302 for loading one or more wafers into the SALD system 200 over the loading openings 202 on. For example, wafers that are in one or more lots, such as in FOUPs, are loaded or degassed, preheated and aligned, or a subset thereof via the loading ports in one or more steps 202 into the SALD system 200 loaded.

Das Verfahren 300 weist weiterhin einen Schritt 304 zum Transportieren eines oder mehrerer Wafer 108 mit dem Roboter 214 über die Öffnung 216 in eines der SALD-Module 100 auf. Der Roboter 214 transportiert zum Beispiel nacheinander sechs Wafer zu jedem der SALD-Module 100. In weiteren Beispielen kann das Transportmodul 212 zwei oder mehr Roboter 214 aufweisen, um Wafer simultan zu den jeweiligen SALD-Modulen 100 zu transportieren. Insbesondere werden bei der vorliegenden Ausführungsform sechs Wafer 108 zu dem Substrattisch 106 des entsprechenden SALD-Moduls 100 so transportiert, dass die Vorderseite 108A nach oben zeigt, wie es in 1 gezeigt ist.The procedure 300 still has a step 304 for transporting one or more wafers 108 with the robot 214 over the opening 216 in one of the SALD modules 100 on. The robot 214 for example, sequentially transports six wafers to each of the SALD modules 100 , In other examples, the transport module 212 two or more robots 214 to provide wafers simultaneously to the respective SALD modules 100 to transport. In particular, in the present embodiment, six wafers 108 to the substrate table 106 the corresponding SALD module 100 so transported that the front 108A upwards shows how it is in 1 is shown.

Das Verfahren 300 geht zu einem Schritt 306 weiter, um einen Abscheidungsprozess auf den Wafer 108 in einem der SALD-Module 100 durchzuführen. Der Schritt 306 und die nachfolgenden Schritte werden für die Verwendung nur eines SALD-Moduls und nur eines Wafers beschrieben. Wie jedoch vorstehend dargelegt worden ist, können auch mehrere Wafer (wie etwa 6 Wafer) in einem der mehreren SALD-Module 100 bearbeitet werden und die mehreren SALD-Module 100 können parallel arbeiten. Bei der vorliegenden Ausführungsform wird in dem Schritt 306 eine Dünnschicht auf der Vorderseite 108A jedes Wafers 108 abgeschieden.The procedure 300 go to a step 306. Continue to a deposition process on the wafer 108 in one of the SALD modules 100 perform. The step 306. and the subsequent steps will be described for using only one SALD module and only one wafer. However, as stated above, multiple wafers (such as six wafers) may also be included in one of the multiple SALD modules 100 be edited and the several SALD modules 100 can work in parallel. In the present embodiment, in the step 306. a thin layer on the front 108A every wafer 108 deposited.

Während des Abscheidungsprozesses arbeiten verschiedene Komponenten und Einheiten des SALD-Systems 200 gemeinsam und synergistisch. Daher umfasst der Schritt 306 verschiedene Teilschritte. Insbesondere umfasst der Schritt 306 einen Teilschritt 306A zum Abscheiden einer ersten Chemikalie und einen Teilschritt 306B zum Abscheiden einer zweiten Chemikalie.During the deposition process, various components and units of the SALD system operate 200 together and synergistically. Therefore, the step includes 306. different sub-steps. In particular, the step comprises 306. a partial step 306A for depositing a first chemical and a sub-step 306B for separating a second chemical.

Der Schritt 306 kann außerdem weitere Teilschritte umfassen, wie etwa Drehen des Substrattischs 106. Bei den vorliegenden Ausführungsformen des Schritts 306 hält der Substrattisch 106 den Wafer 108 und dreht den Wafer um die Achse 110, zum Beispiel im Uhrzeigersinn. Dieser Teilschritt dauert während des Abscheidungsprozesses in dem Schritt 306 an. Jeder Wafer 108 durchläuft der Reihe nach jede Zone, wie etwa die erste Reaktionszone 114 und die zweite Reaktionszone 120. In weiteren Beispielen durchläuft jeder Wafer 108 der Reihe nach die erste Reaktionszone 114, die Vorreaktionszone 140, die zweite Reaktionszone 120 und die Nachreaktionszone 144. Außerdem durchlauft der Wafer 108 verschiedene Trennungsbereiche (wie etwa die Luft-Begrenzungsbereiche, die mit den einzelnen Luft-Begrenzungsmechanismen 116 verbunden sind, und die Spülbereiche, die mit den einzelnen Abführmechanismen verbunden sind) von einer Reaktionszone zu einer anderen Reaktionszone. Als ein Beispiel zur Erläuterung wird in der ersten Reaktionszone 114 die erste Chemikalie dem Wafer 108 zugeführt und wird auf der Vorderseite 108A des Wafers 108 adsorbiert. In der zweiten Reaktionszone 120 wird die zweite Chemikalie dem Wafer 108 zugeführt und wird mit der ersten Chemikalie, die auf der Vorderseite 108A adsorbiert ist, zur Reaktion gebracht, sodass eine Atomlage auf der Vorderseite 108A des Wafers 108 entsteht. Mit einer einzelnen Umdrehung des Substrattischs 106 wird ein einzelner Zyklus des Abscheidungsprozesses abgeschlossen. In einem einzelnen Zyklus wird eine einzelne Atomlage hergestellt. Der Schritt 306 kann so viele Zyklen dauern, wie zum Herstellen der Dünnschicht mit der gewünschten Dicke benötigt werden. Insbesondere wenn sich der Wafer 108 in der zweiten Reaktionszone 120 mit der Rand-Chemikalien-Einspritzvorrichtung 122 und der radialen Chemikalien-Einspritzvorrichtung 124 (oder zusätzlich mit der radialen Chemikalien-Einspritzvorrichtung 138) befindet, hat die zweite Chemikalie, die der zweiten Reaktionszone 120 zugeführt wird, eine gleichmäßigere Verteilung und eine hohe Dichte, wodurch die Abscheidungsrate erhöht wird und die Abscheidungsqualität verbessert wird. Da die zweite Chemikalie im Wesentlichen im Inneren der zweiten Reaktionszone 120 gehalten und gesteuert wird, können die Spülzonen und Spülzeiten erheblich reduziert werden, und daher kann der Durchsatz erhöht werden und die Herstellungskosten können gesenkt werden.The step 306. may also include other sub-steps, such as rotating the substrate table 106 , In the present embodiments of the step 306. holds the substrate table 106 the wafer 108 and spin the wafer around the axis 110 , for example, in a clockwise direction. This substep takes place during the deposition process in the step 306. at. Every wafer 108 goes through each zone in turn, such as the first reaction zone 114 and the second reaction zone 120 , In other examples, each wafer passes through 108 in turn, the first reaction zone 114 , the pre-reaction zone 140 , the second reaction zone 120 and the post-reaction zone 144 , In addition, the wafer passes through 108 different separation areas (such as the air boundary areas associated with the individual air limiting mechanisms 116 and the purge regions associated with the individual purge mechanisms) from one reaction zone to another reaction zone. As an example, in the first reaction zone 114 the first chemical to the wafer 108 fed and is on the front 108A of the wafer 108 adsorbed. In the second reaction zone 120 The second chemical becomes the wafer 108 fed and comes with the first chemical on the front 108A adsorbed, reacted, leaving an atomic layer on the front 108A of the wafer 108 arises. With a single revolution of the substrate table 106 a single cycle of the deposition process is completed. In a single cycle, a single atomic layer is made. The step 306. may take as many cycles as needed to make the thin film of the desired thickness. Especially if the wafer 108 in the second reaction zone 120 with the edge chemicals injector 122 and the radial chemical injector 124 (or additionally with the radial chemical injector 138 ) has the second chemical, that of the second reaction zone 120 a more uniform distribution and a high density, whereby the deposition rate is increased and the deposition quality is improved. Because the second chemical is essentially inside the second reaction zone 120 is kept and controlled, the rinse zones and rinse times can be significantly reduced, and therefore, the throughput can be increased and the manufacturing cost can be reduced.

Nach der Beendigung des Abscheidungsprozesses an dem Wafer 108 in den SALD-Modulen 100 in dem Schritt 306 geht das Verfahren 300 zu einem Schritt 308 zum Transportieren des Wafers 108 zu den Ladeschleusenkammern 210 mit dem Roboter 214 weiter. Dieser Schritt ist dem Schritt 304 ähnlich, wird aber umgekehrt. Zum Beispiel werden die mehreren Wafer der Reihe nach oder parallel mit mehreren Robotern 214 von den SALD-Modulen 100 zu den Ladeschleusenkammern 210 transportiert.After completion of the deposition process on the wafer 108 in the SALD modules 100 in the step 306. goes the procedure 300 to a step 308 for transporting the wafer 108 to the load lock chambers 210 with the robot 214 further. This step is the step 304 similar, but vice versa. For example, the multiple wafers become sequential or parallel with multiple robots 214 from the SALD modules 100 to the load lock chambers 210 transported.

Das Verfahren 300 kann weiterhin einen Schritt 310 zum Entladen der Wafer aus dem SALD-System 200 über die Lade-Öffnung 202 aufweisen. Das Verfahren 300 kann weitere Schritte vor, während oder nach den vorstehend beschriebenen Schritten aufweisen. Zum Beispiel können nach dem Schritt 310 die Wafer zu anderen Herstellungseinheiten für die weitere Herstellung, wie etwa für die lithografische Strukturierung, transportiert werden.The procedure 300 can continue one step 310 for unloading the wafers from the SALD system 200 over the loading opening 202 exhibit. The procedure 300 may have further steps before, during or after the steps described above. For example, after the step 310 the wafers are transported to other manufacturing units for further fabrication, such as for lithographic patterning.

Das SALD-System 200 und das Verfahren 300 können weitere Ausführungsformen oder Alternativen haben. Das Verfahren 300 beschreibt zum Beispiel ein Verfahren zum Herstellen einer Dünnschicht auf einem Wafer mit der SALD-Methode, aber das SALD-System und das Verfahren, die dieses System verwendet, können auch zum Herstellen verschiedener Dünnschichten verwendet werden, wie etwa einer dielektrischen Gate-Schicht, einer Gate-Elektrodenschicht, einer Verkappungsschicht, einer Sperrschicht, einer Ätzstoppschicht oder einer dielektrischen Schicht für einen Kondensator.The SALD system 200 and the procedure 300 may have further embodiments or alternatives. The procedure 300 for example, describes a method of forming a thin film on a wafer by the SALD method, but the SALD system and the method using this system can also be used to fabricate various thin films, such as a gate dielectric film Gate electrode layer, a capping layer, a barrier layer, an etch stop layer or a dielectric layer for a capacitor.

Die vorliegende Erfindung stellt ein SALD-System und ein Verfahren bereit, das dieses System verwendet. Durch Verwenden des beschriebenen SALD-Systems werden die SALD-Abscheidungsrate und -qualität verbessert. Das SALD-System weist eine zweite Reaktionszone auf, die mit zwei oder drei Chemikalien-Einspritzvorrichtungen konfiguriert ist, die entlang dem Rand und den radialen Richtungen (oder ungefähren radialen Richtungen) des Substrattischs angeordnet sind.The present invention provides a SALD system and method using this system. By using the described SALD system, the SALD deposition rate and quality are improved. The SALD system has a second reaction zone configured with two or three chemical injectors disposed along the edge and radial directions (or approximate radial directions) of the substrate table.

Die Ausführungsformen der vorliegenden Erfindung bieten Vorzüge gegenüber dem Stand der Technik. Es ist jedoch klar, dass weitere Ausführungsformen andere Vorzüge bieten können, aber hier nicht unbedingt alle Vorzüge erörtert werden, und dass kein spezieller Vorzug für alle Ausführungsformen erforderlich ist. Einige Ausführungsformen können verschiedene Vorzüge haben. Durch Verwenden des beschriebenen SALD-Systems und -Verfahrens werden die Abscheidungsrate und die Schichtqualität verbessert. Weitere Vorzüge können eine geringere Spülzeit, niedrigere Herstellungskosten und ein höherer Herstellungsdurchsatz sein.The embodiments of the present invention offer advantages over the prior art. However, it will be understood that other embodiments may provide other benefits, but not all benefits are necessarily discussed, and that no particular merit is required for all embodiments. Some embodiments may have various benefits. By using the described SALD system and method, the deposition rate and layer quality are improved. Other benefits may include less rinse time, lower manufacturing cost, and higher manufacturing throughput.

Somit stellt die vorliegende Erfindung eine Vorrichtung zur Herstellung von Halbleitern gemäß einer Ausführungsform bereit. Die Vorrichtung weist Folgendes auf: eine Bearbeitungskammer; einen Wafertisch, der in der Bearbeitungskammer angeordnet ist, wobei der Wafertisch so betreibbar ist, dass er eine Vielzahl von Wafer festhält und um eine Achse dreht; einen ersten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer ersten Chemikalie für eine erste Reaktionszone in der Bearbeitungskammer; und einen zweiten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer zweiten Chemikalie für eine zweite Reaktionszone in der Bearbeitungskammer. Der zweite Chemikalienzuführmechanismus umfasst eine Rand-Chemikalien-Einspritzvorrichtung und eine erste radiale Chemikalien-Einspritzvorrichtung.Thus, the present invention provides an apparatus for manufacturing semiconductors according to an embodiment. The apparatus comprises: a processing chamber; a wafer table disposed in the processing chamber, the wafer table operable to hold a plurality of wafers and rotate about an axis; a first chemical delivery mechanism disposed in the processing chamber for providing a first chemical to a first reaction zone in the processing chamber; and a second chemical delivery mechanism disposed in the processing chamber for providing a second chemical for a second reaction zone in the processing chamber. The second chemical delivery mechanism includes an edge chemical injector and a first radial chemical injector.

Die vorliegende Erfindung stellt ein System zur Herstellung von Halbleitern gemäß einer Ausführungsform bereit. Das System zur Herstellung von Halbleitern weist Folgendes auf: ein Ladeschleusenmodul zum Laden und Entladen einer Vielzahl von Wafern; ein Transportmodul, das eine Einheit mit dem Ladeschleusenmodul bildet; und eine Vielzahl von SALD-Modulen (SALD: spatial atomic layer deposition; räumliche Atomlagenabscheidung), die eine Einheit mit den Transportmodulen bilden. Jedes SALD-Modul weist Folgendes auf: eine Bearbeitungskammer; einen Wafertisch, der in der Bearbeitungskammer angeordnet ist, wobei der Wafertisch so betreibbar ist, dass er die Vielzahl von Wafern festhält und um eine Achse dreht; einen ersten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer ersten Chemikalie für eine erste Reaktionszone in der Bearbeitungskammer; und einen zweiten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer zweiten Chemikalie für eine zweite Reaktionszone in der Bearbeitungskammer. Der zweite Chemikalienzuführmechanismus umfasst eine Rand-Chemikalien-Einspritzvorrichtung und eine erste radiale Chemikalien-Einspritzvorrichtung.The present invention provides a system for producing semiconductors according to one embodiment. The semiconductor manufacturing system includes: a loadlock module for loading and unloading a plurality of wafers; a transport module that forms a unit with the loadlock module; and a plurality of SALD modules (SALD: spatial atomic layer deposition), which form a unit with the transport modules. Each SALD module has: a processing chamber; a wafer table disposed in the processing chamber, the wafer table being operable to hold the plurality of wafers and rotate about an axis; a first chemical delivery mechanism disposed in the processing chamber for providing a first chemical to a first reaction zone in the processing chamber; and a second chemical delivery mechanism disposed in the processing chamber for providing a second chemical for a second reaction zone in the processing chamber. The second chemical delivery mechanism includes an edge chemical injector and a first radial chemical injector.

Die vorliegende Erfindung stellt ein Verfahren gemäß einer Ausführungsform bereit. Das Verfahren weist die folgenden Schritte auf: Laden einer Vielzahl von Wafern in ein SALD-Modul; Durchführen eines Abscheidungsprozesses an der Vielzahl von Wafern in dem SALD-Modul; und anschließend Entladen der Vielzahl von Wafern aus dem SALD-Modul. Das SALD-Modul weist Folgendes auf: eine Bearbeitungskammer; einen Wafertisch, der in der Bearbeitungskammer angeordnet ist, wobei der Wafertisch so betreibbar ist, dass er die Vielzahl von Wafern festhält und um eine Achse dreht; einen ersten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer ersten Chemikalie für eine erste Reaktionszone in der Bearbeitungskammer; und einen zweiten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer zweiten Chemikalie für eine zweite Reaktionszone in der Bearbeitungskammer. Der zweite Chemikalienzuführmechanismus umfasst eine Rand-Chemikalien-Einspritzvorrichtung und eine erste radiale Chemikalien-Einspritzvorrichtung.The present invention provides a method according to one embodiment. The method comprises the steps of: loading a plurality of wafers into a SALD module; Performing a deposition process on the plurality of wafers in the SALD module; and then unloading the plurality of wafers from the SALD module. The SALD module comprises: a processing chamber; a wafer table disposed in the processing chamber, the wafer table being operable to hold the plurality of wafers and rotate about an axis; a first chemical delivery mechanism disposed in the processing chamber for providing a first chemical to a first reaction zone in the processing chamber; and a second chemical delivery mechanism disposed in the processing chamber for providing a second chemical for a second reaction zone in the processing chamber. The second chemical delivery mechanism includes an edge chemical injector and a first radial chemical injector.

Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und dem Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.In the foregoing, features of various embodiments have been described. Those skilled in the art will appreciate that they may readily use the present invention as a basis for designing or modifying other methods and structures to achieve the same objects and / or advantages of the same as the embodiments presented herein. Those skilled in the art should also recognize that such equivalent interpretations do not depart from the spirit and scope of the present invention and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present invention.

Claims (20)

Vorrichtung zur Herstellung von Halbleitern, mit: einer Bearbeitungskammer; einem Wafertisch, der in der Bearbeitungskammer angeordnet ist, wobei der Wafertisch so betreibbar ist, dass er eine Vielzahl von Wafern festhält und um eine Achse der Bearbeitungskammer dreht; einem ersten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer ersten Chemikalie für eine erste Reaktionszone in der Bearbeitungskammer und einem zweiten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer zweiten Chemikalie für eine zweite Reaktionszone in der Bearbeitungskammer, wobei der zweite Chemikalienzuführmechanismus eine Rand-Chemikalien-Einspritzvorrichtung und eine erste radiale Chemikalien-Einspritzvorrichtung umfasst.Device for producing semiconductors, comprising: a processing chamber; a wafer table disposed in the processing chamber, the wafer table being operable to hold a plurality of wafers and to rotate about an axis of the processing chamber; a first chemical feed mechanism disposed in the processing chamber for providing a first chemical to a first reaction zone in the processing chamber and a second chemical delivery mechanism disposed in the processing chamber for providing a second chemical for a second reaction zone in the processing chamber, the second chemical delivery mechanism comprising an edge chemical injector and a first radial chemical injector. Halbleiterherstellungsvorrichtung nach Anspruch 1, wobei die Rand-Chemikalien-Einspritzvorrichtung an einem Rand der Bearbeitungskammer angeordnet ist und die erste radiale Chemikalien-Einspritzvorrichtung so angeordnet ist, dass ihre Länge von dem Rand der Bearbeitungskammer zu der Achse ausgerichtet ist.A semiconductor manufacturing apparatus according to claim 1, wherein the edge chemical injector is disposed at an edge of the processing chamber and the first radial chemical injection device is arranged so that its length is aligned from the edge of the processing chamber to the axis. Halbleiterherstellungsvorrichtung nach Anspruch 1 oder 2, wobei die erste radiale Chemikalien-Einspritzvorrichtung so angeordnet ist, dass ihre Länge in einer Richtung ausgerichtet ist, die einen Winkel von weniger als 15° mit einer entsprechenden radialen Richtung bildet.A semiconductor manufacturing apparatus according to claim 1 or 2, wherein the first radial chemical injection device is arranged so that its length is oriented in a direction forming an angle of less than 15 ° with a corresponding radial direction. Halbleiterherstellungsvorrichtung nach Anspruch 1, die weiterhin eine zweite radiale Chemikalien-Einspritzvorrichtung aufweist, die so konfiguriert ist, dass sie die zweite Reaktionszone umschließt.The semiconductor manufacturing apparatus of claim 1, further comprising a second radial chemical injector configured to enclose the second reaction zone. Halbleiterherstellungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei die zweite radiale Chemikalien-Einspritzvorrichtung so angeordnet ist, dass ihre Länge zu der Achse ausgerichtet ist, und die erste und die zweite radiale Chemikalien-Einspritzvorrichtung einen Zentriwinkel bilden.A semiconductor manufacturing device according to any one of the preceding claims, wherein the second radial chemical injection device is arranged so that its length is aligned with the axis and the first and second radial chemical injectors form a central angle. Halbleiterherstellungsvorrichtung nach Anspruch 4 oder 5, wobei die Rand-Chemikalien-Einspritzvorrichtung, die erste radiale Chemikalien-Einspritzvorrichtung und die zweite radiale Chemikalien-Einspritzvorrichtung so konfiguriert sind, dass sie einen Kreissektor als die zweite Reaktionszone umschließen.The semiconductor manufacturing apparatus of claim 4 or 5, wherein the edge chemical injector, the first radial chemical injector, and the second radial chemical injector are configured to surround a circular sector as the second reaction zone. Halbleiterherstellungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei die erste radiale Chemikalien-Einspritzvorrichtung ein Rohr mit einer Länge und einer Vielzahl von Öffnungen aufweist, die in dem Rohr ausgebildet sind.A semiconductor manufacturing apparatus according to any one of the preceding claims, wherein the first radial chemical injection apparatus comprises a tube having a length and a plurality of openings formed in the tube. Halbleiterherstellungsvorrichtung nach Anspruch 7, wobei die Länge der ersten radialen Chemikalien-Einspritzvorrichtung in dem Bereich von 300 mm bis 600 mm liegt, eine Anzahl der Öffnungen in dem Bereich von 10 bis 200 liegt und ein Durchmesser der Öffnungen in dem Bereich von 0,2 mm bis 1,2 mm liegt.A semiconductor manufacturing apparatus according to claim 7, wherein the length of the first radial chemical injector is in the range of 300 mm to 600 mm, a number of the openings is in the range of 10 to 200 and a diameter of the openings is in the range of 0.2 mm to 1.2 mm. Halbleiterherstellungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei die Rand-Chemikalien-Einspritzvorrichtung ein Rohr mit einer Länge und einer Vielzahl von Öffnungen aufweist, die in dem Rohr ausgebildet sind.A semiconductor manufacturing apparatus according to any one of the preceding claims, wherein the edge chemical injection device comprises a tube having a length and a plurality of openings formed in the tube. Halbleiterherstellungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei der erste Chemikalienzuführmechanismus mit einer ersten Chemikalienquelle verbunden ist, die die erste Chemikalie bereitstellt, die aus der Gruppe Dichlorsilan (DCS), Hexachlordisilan (HCD), Bis(tert-butylamino)silan (BTBAS) und Trimethylaluminium (TMA) gewählt ist.The semiconductor manufacturing apparatus according to any one of the preceding claims, wherein the first chemical delivery mechanism is connected to a first chemical source providing the first chemical selected from the group consisting of dichlorosilane (DCS), hexachlorodisilane (HCD), bis (tert-butylamino) silane (BTBAS), and trimethylaluminum (TMA) is selected. Halbleiterherstellungsvorrichtung nach einem der vorhergehenden Ansprüche, wobei der zweite Chemikalienzuführmechanismus mit einer zweiten Chemikalienquelle verbunden ist, die die zweite Chemikalie bereitstellt, die aus der Gruppe Ammoniak (NH3), Argon, Stickstoff (N2), Wasserstoff (H2), Helium (He), Kohlendioxid (CO2), Sauerstoff (O2), Ozon (O3), Wasser (H2O), Wasserstoffperoxid (H2O2) und Bor-Vorläufer gewählt ist.A semiconductor manufacturing apparatus according to any one of the preceding claims, wherein the second chemical delivery mechanism is connected to a second source of chemicals comprising the second chemical selected from ammonia (NH 3 ), argon, nitrogen (N 2 ), hydrogen (H 2 ), helium ( He), carbon dioxide (CO 2 ), oxygen (O 2 ), ozone (O 3 ), water (H 2 O), hydrogen peroxide (H 2 O 2 ) and boron precursor. Halbleiterherstellungsvorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin eine Vorreaktions-Rand-Chemikalien-Einspritzvorrichtung aufweist, die so konfiguriert ist, dass sie die zweite Chemikalie für eine Vorreaktionszone auf einer Seite der zweiten Reaktionszone bereitstellt.The semiconductor manufacturing apparatus of any one of the preceding claims, further comprising a pre-reaction edge chemical injector configured to provide the second chemical for a pre-reaction zone on one side of the second reaction zone. Halbleiterherstellungsvorrichtung nach Anspruch 12, die weiterhin eine Nachreaktions-Rand-Chemikalien-Einspritzvorrichtung aufweist, die so konfiguriert ist, dass sie die zweite Chemikalie für eine Nachreaktionszone auf einer anderen Seite der zweiten Reaktionszone bereitstellt.The semiconductor manufacturing apparatus of claim 12, further comprising a post-reaction edge chemical injector configured to provide the second chemical for a post-reaction zone on another side of the second reaction zone. Halbleiterherstellungsvorrichtung nach Anspruch 13, die weiterhin einen ersten Abführmechanismus aufweist, der so konfiguriert ist, dass er eine erste Spülzone zwischen der Vorreaktionszone und der zweiten Reaktionszone bereitstellt.The semiconductor manufacturing apparatus of claim 13, further comprising a first purge mechanism configured to provide a first purge zone between the prereaction zone and the second reaction zone. Halbleiterherstellungsvorrichtung nach Anspruch 14, die weiterhin einen zweiten Abführmechanismus aufweist, der so konfiguriert ist, dass er eine zweite Spülzone zwischen der Nachreaktionszone und der zweiten Reaktionszone bereitstellt.The semiconductor manufacturing apparatus of claim 14, further comprising a second purge mechanism configured to provide a second purge zone between the post-reaction zone and the second reaction zone. Halbleiterherstellungsvorrichtung nach einem der vorhergehenden Ansprüche, die weiterhin einen Luft-Begrenzungsmechanismus aufweist, um eine erste Luft-Begrenzungszone auf einer Seite der ersten Reaktionszone und eine zweite Luft-Begrenzungszone auf einer anderen Seite der ersten Reaktionszone bereitzustellen.The semiconductor manufacturing apparatus of any one of the preceding claims, further comprising an air restricting mechanism to provide a first air confining zone on one side of the first reaction zone and a second air confining zone on another side of the first reaction zone. Halbleiterherstellungsvorrichtung nach Anspruch 16, die weiterhin einen Abführmechanismus aufweist, der an einem Rand der ersten Reaktionszone angeordnet ist, wobei der erste Chemikalienzuführmechanismus einen Chemikaliensprühkopf aufweist, der so konfiguriert ist, dass er die erste Chemikalie in einer Richtung senkrecht zu dem Wafertisch abgibt.The semiconductor manufacturing apparatus according to claim 16, further comprising a purge mechanism disposed at an edge of the first reaction zone, wherein the first chemical supply mechanism has a chemical spray head configured to dispense the first chemical in a direction perpendicular to the wafer table. Halbleiterherstellungssystem mit: einem Ladeschleusenmodul zum Laden und Entladen einer Vielzahl von Wafern; einem Transportmodul, das eine Einheit mit dem Ladeschleusenmodul bildet; und einer Vielzahl von SALD-Modulen (SALD: spatial atomic layer deposition; räumliche Atomlagenabscheidung), die eine Einheit mit den Transportmodulen bilden, wobei jedes SALD-Modul Folgendes aufweist: eine Bearbeitungskammer, einen Wafertisch, der in der Bearbeitungskammer angeordnet ist, wobei der Wafertisch so betreibbar ist, dass er die Vielzahl von Wafern festhält und um eine Achse dreht, einen ersten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer ersten Chemikalie für eine erste Reaktionszone in der Bearbeitungskammer und einen zweiten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer zweiten Chemikalie für eine zweite Reaktionszone in der Bearbeitungskammer, wobei der zweite Chemikalienzuführmechanismus eine Rand-Chemikalien-Einspritzvorrichtung und eine erste radiale Chemikalien-Einspritzvorrichtung umfasst.A semiconductor manufacturing system comprising: a loadlock module for loading and unloading a plurality of wafers; a transport module forming a unit with the loadlock module; and a plurality of spatial atomic layer deposition (SALD) modules integrally forming with the transport modules, each SALD module comprising: a processing chamber, a wafer table disposed in the processing chamber, wherein the Wafertisch is operable to hold the plurality of wafers and rotates about an axis, a first chemical feed mechanism disposed in the processing chamber, for providing a first chemical for a first reaction zone in the processing chamber and a second Chemikalienzuführmechanismus located in the processing chamber for providing a second chemical for a second reaction zone in the processing chamber, the second chemical feed mechanism having a Edge chemical injector and a first radial chemical injector. Halbleiterherstellungssystem nach Anspruch 18, wobei die Rand-Chemikalien-Einspritzvorrichtung an einem Rand der Bearbeitungskammer angeordnet ist und die erste radiale Chemikalien-Einspritzvorrichtung so angeordnet ist, dass ihre Lange von dem Rand der Bearbeitungskammer zu der Achse ausgerichtet ist.The semiconductor manufacturing system of claim 18, wherein the edge chemical injector is disposed at an edge of the processing chamber and the first radial chemical injection device is arranged so that its length is aligned from the edge of the processing chamber to the axis. Verfahren mit den folgenden Schritten: Laden einer Vielzahl von Wafern in ein SALD-Modul (SALD: spatial atomic layer deposition; räumliche Atomlagenabscheidung); Durchführen eines Abscheidungsprozesses an der Vielzahl von Wafern in dem SALD-Modul und anschließend Entladen der Vielzahl von Wafern aus dem SALD-Modul, wobei das SALD-Modul Folgendes aufweist: eine Bearbeitungskammer, einen Wafertisch, der in der Bearbeitungskammer angeordnet ist, wobei der Wafertisch so betreibbar ist, dass er die Vielzahl von Wafern festhält und um eine Achse dreht, einen ersten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer ersten Chemikalie für eine erste Reaktionszone in der Bearbeitungskammer und einen zweiten Chemikalienzuführmechanismus, der in der Bearbeitungskammer angeordnet ist, zum Bereitstellen einer zweiten Chemikalie für eine zweite Reaktionszone in der Bearbeitungskammer, wobei der zweite Chemikalienzuführmechanismus eine Rand-Chemikalien-Einspritzvorrichtung und eine erste radiale Chemikalien-Einspritzvorrichtung umfasst.Procedure with the following steps: Loading a large number of wafers into a SALD module (SALD: spatial atomic layer deposition); Performing a deposition process on the plurality of wafers in the SALD module and then unloading the plurality of wafers from the SALD module, the SALD module comprising: a processing chamber, a wafer table disposed in the processing chamber, the wafer table being operable to hold the plurality of wafers and rotate about an axis, a first chemical delivery mechanism disposed in the processing chamber for providing a first chemical to a first reaction zone in the processing chamber and a second chemical delivery mechanism disposed in the processing chamber for providing a second chemical to a second reaction zone in the processing chamber, the second chemical delivery mechanism comprising an edge chemical injector and a first radial chemical injector.
DE102016113840.1A 2015-12-15 2016-07-27 Apparatus and method for spatial atomic layer deposition Pending DE102016113840A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562267793P 2015-12-15 2015-12-15
US62/267,793 2015-12-15
US15/169,999 2016-06-01
US15/169,999 US9873943B2 (en) 2015-12-15 2016-06-01 Apparatus and method for spatial atomic layer deposition

Publications (1)

Publication Number Publication Date
DE102016113840A1 true DE102016113840A1 (en) 2017-06-22

Family

ID=58994700

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016113840.1A Pending DE102016113840A1 (en) 2015-12-15 2016-07-27 Apparatus and method for spatial atomic layer deposition

Country Status (1)

Country Link
DE (1) DE102016113840A1 (en)

Similar Documents

Publication Publication Date Title
DE102012206598B4 (en) MANUFACTURE OF METAL HARD MASKS
DE60131511T2 (en) Semiconductor processing module and device
DE60305605T2 (en) Layer forming apparatus and method
DE102014107511B4 (en) Cyclic deposition of aluminum nitride in a batch reactor
DE60024424T2 (en) Semiconductor wafer processor with vertically stacked development chambers and single-axis dual-wafer transfer system
DE112010003931T5 (en) Epitaxial chamber with crossflow
DE102006003100B4 (en) Device and method for producing a semiconductor device
DE112006003315T5 (en) Gas head and thin film manufacturing device
DE102005038873A1 (en) Multi-chamber MOCVD growth device for high speed / high throughput
DE102016100027B4 (en) Method of manufacturing a semiconductor device
DE112010002199T5 (en) Shower head for a vacuum layer deposition device
DE10255688A1 (en) Method and device for performing sequential processes, which require different durations, in the manufacture of semiconductor devices
DE112005002160T5 (en) Thin film capacitor and method of forming the same and computer readable storage medium
DE102019116992A1 (en) EDUCATIONAL AND IN-SITU ETCHING PROCESSES FOR METAL LAYERS
DE10065454A1 (en) Method of manufacturing an alumina film for use in a semiconductor device
WO2018193055A1 (en) Method and device for forming a layer on a semiconductor substrate, and semiconductor substrate
WO2017216065A1 (en) Device and method for sequentially depositing a multiplicity of layers on substrates, and receiving unit for use in a depositing device
DE102017117798A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE102017107299B4 (en) Film forming apparatus and film forming method
DE102010012430A1 (en) Coating device and coating method
DE112011103869T5 (en) A method of forming III-nitride base materials on metal nitride growth support layers and structures formed by such methods
DE102016114517A1 (en) Method for producing a semiconductor structure
DE2636280A1 (en) ARRANGEMENT OF A SUBSTRATE AND AT LEAST ONE LAYER APPLIED ON IT AND THE MANUFACTURING METHOD FOR IT
DE102021105579A1 (en) EQUIPMENT AND PROCESS FOR MANUFACTURE OF METAL GATE STRUCTURES
DE102009018700B4 (en) Coating line and method for coating

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R016 Response to examination communication