DE102015107182A1 - Fin Field Effect Transistor (FinFET) device and method of making the same - Google Patents

Fin Field Effect Transistor (FinFET) device and method of making the same Download PDF

Info

Publication number
DE102015107182A1
DE102015107182A1 DE102015107182.7A DE102015107182A DE102015107182A1 DE 102015107182 A1 DE102015107182 A1 DE 102015107182A1 DE 102015107182 A DE102015107182 A DE 102015107182A DE 102015107182 A1 DE102015107182 A1 DE 102015107182A1
Authority
DE
Germany
Prior art keywords
fin
finfet
epitaxial
field effect
effect transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102015107182.7A
Other languages
German (de)
Inventor
Tung-Wen CHENG
Zhe-Hao Zhang
Chang-Yin Chen
Che-Cheng Chang
Yung-Jung Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/517,310 external-priority patent/US9653605B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015107182A1 publication Critical patent/DE102015107182A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes

Abstract

Es werden eine Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur und ein Verfahren zum Ausbilden der FinFET-Bauelementstruktur geschaffen. Die FinFET-Bauelementstruktur umfasst ein Substrat und eine Fin-Struktur, die sich über dem Substrat erstreckt. Die FinFET-Struktur umfasst eine Epitaxialstruktur, die auf der Fin-Struktur ausgebildet ist, und die Epitaxialstruktur weist eine erste Höhe auf. Die FinFET-Struktur umfasst auch Fin-Seitenwandspacer, die angrenzend an die Epitaxialstruktur ausgebildet sind. Die Seitenwandspacer weisen eine zweite Höhe auf, wobei die erste Höhe größer als die zweite Höhe ist, und die Fin-Seitenwandspacer sind eingerichtet, ein Volumen und die erste Höhe der Epitaxialstruktur zu regulieren.A fin field effect transistor (FinFET) device structure and a method of forming the FinFET device structure are provided. The FinFET device structure includes a substrate and a fin structure extending over the substrate. The FinFET structure includes an epitaxial structure formed on the fin structure, and the epitaxial structure has a first height. The FinFET structure also includes fin sidewall spacers formed adjacent to the epitaxial structure. The sidewall spacers have a second height, wherein the first height is greater than the second height, and the fin sidewall spacers are configured to regulate a volume and the first height of the epitaxial structure.

Description

QUERVERWEIS AUF VERWANDTE ANMELDUNGENCROSS-REFERENCE TO RELATED APPLICATIONS

Diese Anmeldung bezieht sich auf die folgende, gleichzeitig anhängige und gemeinsam abgetretene Anmeldung U.S. Serien-Nr. 14/517,209, eingereicht am 17. Oktober 2014, mit dem Titel ”Fin field effect transistor (FinFET) device and method for forming the same” (Anmelder-Aktenzeichen Nr. TSMC 2014-0685).This application is related to the following co-pending and commonly assigned application U.S. Pat. Serial no. No. 14 / 517,209, filed October 17, 2014, entitled "Fin field effect transistor (FinFET) device and method for forming the same" (Applicant's Serial No. TSMC 2014-0685).

ALLGEMEINER STAND DER TECHNIKGENERAL PRIOR ART

Halbleiterbauelemente werden in einer Vielzahl elektronischer Anwendungen, wie z. B. Arbeitsplatzcomputern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten, eingesetzt. Halbleiterbauelemente werden üblicherweise hergestellt durch sequentielles Abscheiden isolierender oder dielektrischer Schichten, leitfähiger Schichten und halbleitender Schichten eines Materials über einem Halbleitersubstrat und Strukturieren dieser verschiedenartigen Materialschichten unter Verwendung der Lithografie, um darauf Komponenten und Elemente von Schaltungen auszubilden. Viele integrierte Schaltungen werden üblicherweise auf einem einzigen Halbleiterwafer erzeugt, und die individuellen Dies auf dem Wafer werden durch Sägen zwischen den integrierten Schaltungen entlang einer Ritzlinie vereinzelt. Die individuellen Dies werden üblicherweise separat in Mehrchipmodule oder andere Package-Typen gepackt.Semiconductor devices are used in a variety of electronic applications such. As workstations, mobile phones, digital cameras and other electronic devices used. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers and semiconducting layers of material over a semiconductor substrate, and patterning these dissimilar material layers using lithography to form thereon components and elements of circuits. Many integrated circuits are typically fabricated on a single semiconductor wafer and the individual dies on the wafer are singulated by sawing between the integrated circuits along a scribe line. The individual dies are usually packaged separately in multi-chip modules or other package types.

Da die Halbleiterindustrie bei den Bemühungen um eine höhere Bauelementdichte, höhere Leistungsfähigkeit und niedrigere Kosten zu den Nanometertechnologie-Prozessknoten hin fortgeschritten ist, haben die Herausforderungen sowohl von der Herstellung als auch von Designproblemen her die Entwicklung von dreidimensionalen Bauformen, wie z. B. dem Fin-Feldeffekttransistor (FinFET), zur Folge gehabt. FinFETs werden mit einer dünnen vertikalen ”Flosse” (oder Fin-Struktur) hergestellt, die sich von einem Substrat aus erstreckt. Der Kanal des FinFET wird in dieser vertikalen Flosse ausgebildet. Über der Flosse ist ein Gate vorgesehen. Vorteile des FinFET können umfassen, dass der Kurzkanaleffekt und ein höherer Stromfluss eingeschränkt werden.As the semiconductor industry has progressed toward the nanometer technology process nodes in efforts to achieve higher device density, higher performance, and lower cost, the challenges of both manufacturing and design problems have been the development of three-dimensional designs, such as silicon nanoprocessing. B. the fin field effect transistor (FinFET), had the consequence. FinFETs are made with a thin vertical "fin" (or fin structure) extending from a substrate. The channel of the FinFET is formed in this vertical fin. Above the fin, a gate is provided. Advantages of the FinFET may include limiting the short channel effect and higher current flow.

Obwohl die vorliegenden FinFET-Bauelemente und die Verfahren zur Herstellung von FinFET-Bauelementen im Allgemeinen für ihre Zweckbestimmung ausreichend gewesen sind, waren sie doch nicht in jeder Hinsicht vollkommen zufriedenstellend.Although the present FinFET devices and the methods of fabricating FinFET devices have generally been adequate for their intended purpose, they have not been fully satisfactory in every way.

KURZBESCHREIBUNG DER ZEICHNUNGENBRIEF DESCRIPTION OF THE DRAWINGS

Ausbildungen der vorliegenden Offenbarung sind am besten anhand der nachfolgenden ausführlichen Beschreibung zu verstehen, wenn sie mitsamt den beigefügten Figuren gelesen wird. Es wird angemerkt, dass im Einklang mit der üblichen Vorgehensweise in der Industrie die verschiedenen Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale aus Gründen der Verständlichkeit der Darlegung beliebig vergrößert oder verkleinert sein.Embodiments of the present disclosure are best understood from the following detailed description when read with the accompanying drawings. It is noted that in accordance with the usual practice in the industry, the various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for the sake of clarity of exposition.

1 zeigt eine perspektivische Darstellung einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung. 1 FIG. 12 is a perspective view of a fin field effect transistor (FinFET) device structure according to some embodiments of the disclosure. FIG.

Die 2A2F zeigen Seitenansichten von verschiedenen Formgebungsstufen einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.The 2A - 2F 12 show side views of various shaping stages of a fin field effect transistor (FinFET) device structure according to some embodiments of the disclosure.

2G ist eine vergrößerte Darstellung eines Bereichs A von 2F gemäß einigen Ausführungsformen der Offenbarung. 2G is an enlarged view of a region A of 2F according to some embodiments of the disclosure.

Die 3A3B zeigen Seitenansichten von verschiedenen Formgebungsstufen einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.The 3A - 3B 12 show side views of various shaping stages of a fin field effect transistor (FinFET) device structure according to some embodiments of the disclosure.

3C ist eine vergrößerte Darstellung eines Bereichs B von 3B gemäß einigen Ausführungsformen der Offenbarung. 3C is an enlarged view of a region B of 3B according to some embodiments of the disclosure.

Die 4A4D zeigen Seitenansichten von verschiedenen Formgebungsstufen einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.The 4A - 4D 12 show side views of various shaping stages of a fin field effect transistor (FinFET) device structure according to some embodiments of the disclosure.

4E ist eine vergrößerte Darstellung eines Bereichs C von 4D gemäß einigen Ausführungsformen der Offenbarung. 4E is an enlarged view of a region C of 4D according to some embodiments of the disclosure.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die nachfolgende Offenbarung verschafft viele unterschiedliche Ausführungsformen oder Beispiele für die Realisierung unterschiedlicher Merkmale des bereitgestellten Gegenstandes. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Selbstverständlich sind das lediglich Beispiele, und sie sind nicht zur Einschränkung vorgesehen. Zum Beispiel kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und zweite Merkmal in einem direkten Kontakt ausgebildet werden, und es kann auch Ausführungsformen umfassen, bei denen zwischen dem ersten und zweiten Merkmal zusätzliche Merkmale derart ausgebildet sein können, dass das erste und zweite Merkmal nicht unmittelbar kontaktieren können. Außerdem können sich in der vorliegenden Offenbarung in den verschiedenartigen Beispielen Bezugsziffern und/oder Zeichen wiederholen. Diese Wiederholung dient der Vereinfachung und Übersichtlichkeit und schreibt von sich aus keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following disclosure provides many different embodiments or examples for realizing different features of the provided subject matter. Hereinafter, specific examples of components and arrangements will be described to simplify the present disclosure. Of course, these are just examples, and they are not intended to be limiting. For example, forming a first feature over or on a second feature in the following description may include embodiments in which the first and second features are in direct contact can be formed, and it may also include embodiments in which additional features may be formed between the first and second feature such that the first and second feature can not contact directly. Additionally, reference numerals and / or characters may be repeated in the various examples in the present disclosure. This repetition is for convenience and clarity and, by itself, does not suggest any relationship between the various embodiments and / or configurations discussed.

Es werden einige Varianten der Ausführungsformen beschrieben. In den verschiedenartigen Ansichten und veranschaulichenden Ausführungsformen werden durchgängig gleiche Bezugsziffern verwendet, um ähnliche Elemente zu kennzeichnen. Es versteht sich, dass vor, während und nach dem Verfahren zusätzliche Arbeitsgänge vorgesehen werden können und dass für andere Ausführungsformen des Verfahrens einige der beschriebenen Arbeitsgänge ersetzt oder weggelassen werden können.Some variants of the embodiments will be described. In the various views and illustrative embodiments, like reference numerals are used throughout to identify similar elements. It is understood that additional operations may be provided before, during, and after the process, and that for other embodiments of the process, some of the operations described may be substituted or omitted.

Es werden Ausführungsformen für die Ausbildung einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur geschaffen. 1 zeigt eine perspektivische Darstellung einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur 10 gemäß einigen Ausführungsformen der Offenbarung. Die FinFET-Bauelementstruktur 10 umfasst eine n-Kanal-FinFET-Bauelementstruktur (NMOS) 15 und eine p-Kanal-FinFET-Bauelementstruktur (PMOS) 25.Embodiments are provided for forming a fin field effect transistor (FinFET) device structure. 1 shows a perspective view of a fin field effect transistor (FinFET) device structure 10 according to some embodiments of the disclosure. The FinFET device structure 10 includes an n-channel FinFET device structure (NMOS) 15 and a p-channel FinFET device structure (PMOS) 25 ,

Die FinFET-Bauelementstruktur 10 umfasst ein Substrat 102. Das Substrat 102 kann aus Silizium oder anderen Halbleitermaterialien bestehen. Alternativ oder zusätzlich kann das Substrat 102 andere Elementhalbleitermaterialien, wie z. B. Germanium, aufweisen. In einigen Ausführungsformen besteht das Substrat 102 aus einem Verbindungshalbleiter, wie z. B. Siliziumcarbid, Galliumarsenid, Indiumarsenid oder Indiumphosphid. In einigen Ausführungsformen besteht das Substrat 102 aus einem Legierungshalbleiter, wie z. B. Silizium-Germanium, Silizium-Germanium-Carbid, Galliumarsenidphosphid oder Gallium-Indium-Phosphid. In einigen Ausführungsformen weist das Substrat 102 eine Epitaxialschicht auf. Zum Beispiel kann das Substrat 102 eine Epitaxialschicht aufweisen, die auf einem Halbleiterkörper aufliegt.The FinFET device structure 10 includes a substrate 102 , The substrate 102 may consist of silicon or other semiconductor materials. Alternatively or additionally, the substrate 102 other element semiconductor materials, such as. B. germanium. In some embodiments, the substrate is 102 from a compound semiconductor, such as. As silicon carbide, gallium arsenide, indium arsenide or indium phosphide. In some embodiments, the substrate is 102 from an alloy semiconductor, such as. Silicon germanium, silicon germanium carbide, gallium arsenide phosphide or gallium indium phosphide. In some embodiments, the substrate 102 an epitaxial layer. For example, the substrate 102 have an epitaxial layer, which rests on a semiconductor body.

Die FinFET-Bauelementstruktur 100 weist auch eine oder mehrere Fin-Strukturen 104 (z. B. Si-Flossen) auf, die sich vom Substrat 102 aus erstrecken. Wahlweise kann die Fin-Struktur 104 Germanium (Ge) aufweisen. Die Fin-Struktur 104 kann unter Verwendung geeigneter Prozesse, wie z. B. Fotolithografie und Ätzprozesse, ausgebildet werden. In einigen Ausführungsformen wird die Fin-Struktur 104 aus dem Substrat 102 unter Verwendung von Trockenätz- oder Plasmaprozessen geätzt.The FinFET device structure 100 also has one or more fin structures 104 (eg, Si fins) extending from the substrate 102 extend out. Optionally, the fin structure 104 Germanium (Ge). The fin structure 104 can be determined using suitable processes, such. As photolithography and etching processes are formed. In some embodiments, the fin structure becomes 104 from the substrate 102 etched using dry etching or plasma processes.

In einigen anderen Ausführungsformen kann die Fin-Struktur 104 durch einen Doppelstrukturierungs-Lithografieprozess (DPL-Prozess) ausgebildet werden. DPL ist ein Verfahren zum Erzeugen einer Struktur auf einem Substrat durch ein Unterteilen der Struktur in zwei verschachtelte Strukturen. Die DPL ermöglicht eine vergrößerte Dichte der Merkmale (z. B. der Flossen).In some other embodiments, the fin structure may 104 be formed by a double structuring lithography (DPL) process. DPL is a method of creating a pattern on a substrate by dividing the pattern into two nested structures. The DPL allows for increased density of features (eg, fins).

Es wird eine Isolationsstruktur 108, wie z. B. eine Grabenisolation (shallow trench isolation, STI) ausgebildet, um die Fin-Struktur 104 zu umschließen. In einigen Ausführungsformen wird ein unterer Teil der Fin-Struktur 104 von der Isolationsstruktur 108 umschlossen, und ein oberer Teil der Fin-Struktur 104 ragt aus der Isolationsstruktur 108 heraus, wie in 1 dargestellt ist. Mit anderen Worten ist ein Teil der Fin-Struktur 104 in die Isolationsstruktur 108 eingebettet. Die Isolationsstruktur 108 verhindert eine elektrische Störbeeinflussung oder Kreuzkopplung.It becomes an isolation structure 108 , such as For example, a trench isolation (shallow trench isolation, STI) is formed around the fin structure 104 to enclose. In some embodiments, a lower part of the fin structure becomes 104 from the isolation structure 108 enclosed, and an upper part of the fin structure 104 protrudes from the isolation structure 108 out, like in 1 is shown. In other words, part of the fin structure 104 in the isolation structure 108 embedded. The isolation structure 108 prevents electrical interference or crosstalk.

Die FinFET-Bauelementstruktur 100 weist ferner eine Gate-Stapelstruktur auf, die eine Gate-Elektrode 110 und eine (nicht dargestellte) Gate-Dielektrikumschicht umfasst. Die Gate-Stapelstruktur ist über einem mittleren Teil der Fin-Struktur 104 ausgebildet. In einigen anderen Ausführungsformen sind über der Fin-Struktur 104 mehrere Gate-Stapelstrukturen ausgebildet.The FinFET device structure 100 further includes a gate stack structure including a gate electrode 110 and a gate dielectric layer (not shown). The gate stack structure is over a middle part of the fin structure 104 educated. In some other embodiments, over the fin structure 104 formed a plurality of gate stack structures.

In einigen anderen Ausführungsformen ist die Gate-Stapelstruktur ein Dummy-Gate-Stapel, der später durch ein Metall-Gate (MG) ersetzt wird, nachdem die Prozesse mit einem hohen Wärmeumsatz ausgeführt worden sind.In some other embodiments, the gate stack structure is a dummy gate stack, which is later replaced by a metal gate (MG) after the high heat conversion processes have been performed.

Die (nicht dargestellte) Gate-Dielektrikumschicht kann dielektrische Materialien, wie z. B. Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, dielektrische(s) Material(ien) mit einer hohen Dielektrizitätszahl (high-k) oder Kombinationen davon, aufweisen. Beispiele für dielektrische Materialien mit einer hohen Dielektrizitätszahl schließen Hafniumoxid, Zirkonoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid-Legierung, Hafnium-Silizium-Oxid, Hafnium-Silizium-Oxinitrid, Hafnium-Tantal-Oxid, Hafnium-Titan-Oxid, Hafnium-Zirkon-Oxid, dergleichen oder Kombinationen davon ein.The gate dielectric layer (not shown) may include dielectric materials, such as silicon dioxide. As silicon oxide, silicon nitride, silicon oxynitride, dielectric material (s) having a high dielectric constant (high-k) or combinations thereof, have. Examples of high dielectric constant dielectric materials include hafnium oxide, zirconia, alumina, hafnia-alumina alloy, hafnium-silicon oxide, hafnium-silicon oxynitride, hafnium-tantalum oxide, hafnium-titanium oxide, hafnium-zirconium oxide , the like or combinations thereof.

Die Gate-Elektrode 110 kann Polysilizium oder Metall aufweisen. Das Metall schließt Tantalnitrid (TaN), Nickel-Silizium (NiSi), Kobalt-Silizium (CoSi), Molybdän (Mo), Kupfer (Cu), Wolfram (W), Aluminium (Al), Kobalt (Co), Zirkon (Zr), Platin (Pt) oder andere verwendbare Materialien ein. Die Gate-Elektrode 110 kann in einem Gate-Last-Prozess (oder Gate-Austauschprozess) ausgebildet werden. In einigen Ausführungsformen weist die Gate-Stapelstruktur zusätzliche Schichten, wie z. B. Grenzflächenschichten, Abdeckschichten, Diffusions/Sperr-Schichten oder andere verwendbare Schichten, auf.The gate electrode 110 may comprise polysilicon or metal. The metal includes tantalum nitride (TaN), nickel-silicon (NiSi), cobalt-silicon (CoSi), molybdenum (Mo), copper (Cu), tungsten (W), aluminum (Al), cobalt (Co), zircon (Zr ), Platinum (Pt) or other usable materials. The gate electrode 110 can be in a gate-load process (or gate exchange process) are formed. In some embodiments, the gate stack structure includes additional layers, such as. B. interfacial layers, cover layers, diffusion / barrier layers or other useful layers on.

Die Gate-Stapelstruktur wird durch einen Abscheideprozess, einen Fotolithografieprozess und einen Ätzprozess ausgebildet. Der Abscheideprozess umfasst die chemische Gasphasenabscheidung (CVD), physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), CVD von hochdichtem Plasma (HDPCVD), metallorganische CVD (MOCVD), Remote-Plasma-CVD (RPCVD), plasmaunterstützte CVD (PECVD), Plattieren, andere geeignete Verfahren und/oder Kombinationen davon. Die Fotolithografieprozesse umfassen das Fotolackbeschichten (z. B. Rotationsbeschichten), ein schwaches Tempern, Ausrichten der Maske, Belichten, Tempern nach der Belichtung, Entwickeln des Fotolacks, Spülen und Trocknen (z. B. Einbrennen). Der Ätzprozess umfasst einen Trockenätzprozess oder einen Nassätzprozess. Alternativ wird der Fotolithografieprozess durch andere geeignete Verfahren, wie z. B. maskenlose Lithografie, Elektronenstrahlschreiben und Ionenstrahlschreiben, realisiert oder ersetzt.The gate stack structure is formed by a deposition process, a photolithography process and an etching process. The deposition process includes chemical vapor deposition (CVD), physical vapor deposition (PVD), atomic layer deposition (ALD), high density plasma CVD (HDPCVD), organometallic CVD (MOCVD), remote plasma CVD (RPCVD), plasma assisted CVD (PECVD), Plating, other suitable methods and / or combinations thereof. Photolithographic processes include photoresist coating (e.g., spin coating), mild annealing, mask alignment, exposure, post-exposure annealing, photoresist development, rinsing, and drying (eg, baking). The etching process includes a dry etching process or a wet etching process. Alternatively, the photolithography process by other suitable methods, such as. As maskless lithography, electron beam writing and ion beam writing, realized or replaced.

Die 2A2F zeigen Seitenansichten von verschiedenen Formgebungsstufen einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung. Die 2A2F zeigen Seitenansichten, die entlang des Pfeils 1 von 1 aufgenommen sind, wobei der Pfeil 1 parallel zur X-Achse ist.The 2A - 2F 12 show side views of various shaping stages of a fin field effect transistor (FinFET) device structure according to some embodiments of the disclosure. The 2A - 2F show side views taken along the arrow 1 from 1 are included, the arrow 1 parallel to the X axis.

Mit Bezugnahme auf 2A wird auf der Gate-Elektrode 110 eine erste Hartmaskenschicht 112 ausgebildet, und auf der ersten Hartmaskenschicht 112 wird eine zweite Hartmaskenschicht 114 ausgebildet. In einigen Ausführungsformen besteht die erste Hartmaskenschicht 112 aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder anderen verwendbaren Materialien. In einigen Ausführungsformen besteht die zweite Hartmaskenschicht 114 aus Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder anderen verwendbaren Materialien.With reference to 2A will be on the gate electrode 110 a first hard mask layer 112 formed, and on the first hard mask layer 112 becomes a second hardmask layer 114 educated. In some embodiments, the first hard mask layer is 112 of silicon oxide, silicon nitride, silicon oxynitride or other usable materials. In some embodiments, the second hard mask layer is 114 of silicon oxide, silicon nitride, silicon oxynitride or other usable materials.

Auf den gegenüberliegenden Seitenwänden der Gate-Elektrode 110 werden Gate-Seitenwandspacer 115 ausgebildet, und auf den gegenüberliegenden Seitenwänden der Fin-Struktur 104 werden Fin-Seitenwandspacer 105 ausgebildet. Danach wird auf den Gate-Seitenwandspacern 115 eine Boden-Antireflexionsbelag(BARC)-Schicht 202 ausgebildet. Die BARC-Schicht 202 wird unter einer Fotolackschicht eingesetzt, um die Strukturübertragung auf die Hartmaskenschichten 112, 114 während eines Strukturierungsprozesses zu unterstützen. Wenn ein Implantationsprozess auf einer n-Kanal-FinFET-Bauelementstruktur (NMOS) 15 ausgeführt wird, dann werden in einigen Ausführungsformen, der BRAC 202 und ein (nicht dargestellter) Fotolack, der auf dem BRAC 202 ausgebildet wird, auf der Gate-Elektrode 110 erzeugt, um die Gate-Elektrode 110 in der p-Kanal-FinFET-Bauelementstruktur (PMOS) 25 abzudecken.On the opposite side walls of the gate electrode 110 become gate sidewall spacers 115 formed, and on the opposite side walls of the fin structure 104 become fin sidewall spacers 105 educated. After that, on the gate sidewall spacers 115 a floor antireflection coating (BARC) layer 202 educated. The BARC layer 202 is used under a photoresist layer to transfer the structure onto the hardmask layers 112 . 114 during a structuring process. When an Implantation Process on an N-Channel FinFET Device Structure (NMOS) 15 then, in some embodiments, the BRAC 202 and a photoresist (not shown) standing on the BRAC 202 is formed on the gate electrode 110 generated to the gate electrode 110 in the p-channel FinFET device structure (PMOS) 25 cover.

Danach werden der (nicht dargestellte) Fotolack und der BRAC 202 gemäß einigen Ausführungsformen der Offenbarung durch einen Ätzprozess beseitigt, wie in 2B dargestellt ist. Der Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein. In einigen Ausführungsformen wird ein erster Trockenätzprozess bei einem Druck in einem Bereich von circa 3 Millitorr bis zu circa 50 Millitorr ausgeführt. In einigen Ausführungsformen umfasst das Gas, das in dem ersten Trockenätzprozess verwendet wird, Methan (CH4), Stickstoff (N2), Helium (He), Sauerstoff (O2) oder Kombinationen davon. In einigen Ausführungsformen wird der erste Trockenätzprozess bei einer Leistung in einem Bereich von circa 50 Watt bis zu circa 1000 Watt ausgeführt. In einigen Ausführungsformen wird der erste Trockenätzprozess bei einer Temperatur in einem Bereich von circa 20°C bis zu circa 80°C ausgeführt.Thereafter, the (not shown) photoresist and the BRAC 202 according to some embodiments of the disclosure, eliminates by an etching process, as in 2 B is shown. The etching process may be a dry etching process or a wet etching process. In some embodiments, a first dry etch process is performed at a pressure in a range of about 3 millitorr to about 50 millitorr. In some embodiments, the gas used in the first dry etching process includes methane (CH 4 ), nitrogen (N 2 ), helium (He), oxygen (O 2 ), or combinations thereof. In some embodiments, the first dry etching process is performed at a power in a range of about 50 watts to about 1000 watts. In some embodiments, the first dry etching process is performed at a temperature in a range of about 20 ° C to about 80 ° C.

Nachdem der BRAC 202 beseitigt ist, wird gemäß einigen Ausführungsformen der Offenbarung ein Teil der Gate-Seitenwandspacer 115 und ein Teil der Fin-Seitenwandspacer 105 beseitigt, wie in 2C dargestellt ist. Genauer gesagt, es wird ein Kopfteil der Gate-Seitenwandspacer 115 beseitigt, um die zweite Hartmaskenschicht 114 freizulegen. Ein Kopfteil der Fin-Seitenwandspacer 105 wird beseitigt, um die Fin-Struktur 104 freizulegen.After the BRAC 202 is eliminated, part of the gate sidewall spacers, in accordance with some embodiments of the disclosure 115 and part of the fin sidewall spacer 105 eliminated, as in 2C is shown. More specifically, it becomes a header of the gate sidewall spacers 115 eliminated the second hardmask layer 114 expose. A headboard of fin sidewall spacers 105 is eliminated to the fin structure 104 expose.

Wenn in einigen Ausführungsformen die Gate-Seitenwandspacer 115 und die Fin-Seitenwandspacer 105 aus Siliziumnitrid bestehen, wird ein zweiter Ätzprozess ausgeführt, um das Siliziumnitrid zu beseitigen. In einigen Ausführungsformen ist der zweite Ätzprozess ein zweiter Trockenätzprozess, der bei einem Druck in einem Bereich von circa 3 Millitorr bis zu circa 50 Millitorr ausgeführt wird. In einigen Ausführungsformen umfasst das Gas, das in dem zweiten Trockenätzprozess verwendet wird, Fluormethan (CH3F), Difluormethan (CH2F2), Methan (CH4), Argon (Ar), Bromwasserstoff (HBr), Stickstoff (N2), Helium (He), Sauerstoff (O2) oder Kombinationen davon. In einigen Ausführungsformen wird der zweite Trockenätzprozess bei einer Leistung in einem Bereich von circa 50 Watt bis zu circa 1000 Watt ausgeführt. In einigen Ausführungsformen wird der zweite Trockenätzprozess bei einer Temperatur in einem Bereich von circa 20°C bis zu circa 70°C ausgeführt.In some embodiments, when the gate sidewall spacers 115 and the fin sidewall spacer 105 made of silicon nitride, a second etching process is performed to remove the silicon nitride. In some embodiments, the second etching process is a second dry etching process performed at a pressure in a range of about 3 millitorr to about 50 millitorr. In some embodiments, the gas used in the second dry etch process comprises fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), argon (Ar), hydrogen bromide (HBr), nitrogen (N 2 ), Helium (He), oxygen (O 2 ) or combinations thereof. In some embodiments, the second dry etching process is performed at a power in a range of about 50 watts to about 1000 watts. In some embodiments, the second dry etching process is performed at a temperature in a range of about 20 ° C to about 70 ° C.

Nach dem zweiten Trockenätzprozess weist jede der Fin-Seitenwandspacer 105 eine erste Höhe H1 auf. In einigen Ausführungsformen liegt die erste Höhe H1 in einem Bereich von circa 0,1 nm bis zu circa 100 nm.After the second dry etching process, each of the fin sidewall spacers 105 a first height H 1 . In some embodiments, the first is Height H 1 in a range of about 0.1 nm to about 100 nm.

Nachdem der Teil der Gate-Seitenwandspacer 115 und der Teil der Fin-Seitenwandspacer 105 beseitigt sind, wird gemäß einigen Ausführungsformen der Offenbarung ein Teil der übrig gebliebenen Fin-Seitenwandspacer 105 beseitigt, wie in 2D dargestellt ist. Die oberen Teile der Fin-Seitenwandspacer 105 werden durch einen dritten Ätzprozess beseitigt. Der dritte Ätzprozess kann ein Trockenätzprozess oder ein Nassätzprozess sein.After the part of the gate sidewall spacer 115 and the part of the fin sidewall spacer 105 are eliminated, part of the remaining fin sidewall spacers, in accordance with some embodiments of the disclosure 105 eliminated, as in 2D is shown. The upper parts of the fin sidewall spacer 105 are eliminated by a third etching process. The third etching process may be a dry etching process or a wet etching process.

In einigen Ausführungsformen ist der dritte Ätzprozess ein dritter Trockenätzprozess, der bei einem Druck in einem Bereich von circa 3 Millitorr bis zu circa 50 Millitorr ausgeführt wird. In einigen Ausführungsformen umfasst das Gas, das in dem dritten Trockenätzprozess verwendet wird, Fluormethan (CH3F), Difluormethan (CH2F2), Methan (CH4), Argon (Ar), Bromwasserstoff (HBr), Stickstoff (N2), Helium (He) oder Sauerstoff (O2) oder Kombinationen davon. In einigen Ausführungsformen wird der dritte Trockenätzprozess bei einer Leistung in einem Bereich von circa 50 Watt bis zu circa 1000 Watt ausgeführt. In einigen Ausführungsformen wird der dritte Trockenätzprozess bei einer Temperatur in einem Bereich von circa 20°C bis zu circa 70°C ausgeführt.In some embodiments, the third etching process is a third dry etching process performed at a pressure in a range of about 3 millitorr to about 50 millitorr. In some embodiments, the gas used in the third dry etching process includes fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), argon (Ar), hydrogen bromide (HBr), nitrogen (N 2 ), Helium (He) or oxygen (O 2 ) or combinations thereof. In some embodiments, the third dry etching process is performed at a power in a range of about 50 watts to about 1000 watts. In some embodiments, the third dry etching process is performed at a temperature in a range of about 20 ° C to about 70 ° C.

Nach dem dritten Trockenätzprozess ist die Höhe der Fin-Seitenwandspacer 105 von einer ersten Höhe H1 auf eine zweite Höhe H2 reduziert worden. In einigen Ausführungsformen liegt die zweite Höhe H2 liegt in einem Bereich von circa 0,1 nm bis zu circa 90 nm.After the third dry etching process, the height of the fin sidewall spacer is 105 has been reduced from a first height H 1 to a second height H 2 . In some embodiments, the second height H 2 is in a range of about 0.1 nm to about 90 nm.

Es sollte beachtet werden, dass die zweite Höhe H2 der Fin-Seitenwandspacer 105 kritisch für eine Epitaxialstruktur (wie z. B. die Epitaxialstruktur 210 in 2E–2) ist. Die Höhe und das Volumen der Epitaxialstruktur werden durch die zweite Höhe H2 der Fin-Seitenwandspacer 105 beeinflusst. Mit anderen Worten, die Fin-Seitenwandspacer 105 sind ausgelegt, die Höhe und das Volumen der Epitaxialstruktur 210 zu regulieren.It should be noted that the second height H 2 of the fin sidewall spacer 105 critical for an epitaxial structure (such as the epitaxial structure 210 in 2E -2) is. The height and volume of the epitaxial structure are determined by the second height H 2 of the fin sidewall spacers 105 affected. In other words, the fin sidewall spacer 105 are designed, the height and the volume of the epitaxial structure 210 to regulate.

Nach dem dritten Trockenätzprozess wird gemäß einigen Ausführungsformen der Offenbarung ein Teil der Fin-Struktur 104 beseitigt, wie in 2E dargestellt ist. Die Fin-Struktur 104 wird durch einen Ätzprozess, wie z. B. einen Trockenätzprozess oder einen Nassätzprozess, beseitigt.After the third dry etching process, according to some embodiments of the disclosure, a part of the fin structure becomes 104 eliminated, as in 2E is shown. The fin structure 104 is by an etching process, such. As a dry etching or wet etching process eliminated.

Wie in 2E dargestellt ist, liegt eine Deckfläche der verbleibenden Fin-Struktur 104 auf einer Ebene mit einer Deckfläche der Isolationsstruktur 108. Durch das Aussparen eines Teils der Fin-Struktur 104, der über der Isolationsstruktur 108 liegt, wird ein Graben 204a ausgebildet. Die Seitenwände des Grabens 204a sind vertikal parallel zueinander. In einigen Ausführungsformen ist ein Winkel θ1 zwischen der Seitenwand des Grabens 204a und einer Deckfläche der Fin-Struktur 104 circa 90 Grad.As in 2E is shown, there is a top surface of the remaining fin structure 104 on a plane with a top surface of the isolation structure 108 , By eliminating part of the fin structure 104 , over the isolation structure 108 lies, becomes a ditch 204a educated. The side walls of the trench 204a are vertically parallel to each other. In some embodiments, an angle θ 1 is between the sidewall of the trench 204a and a top surface of the fin structure 104 about 90 degrees.

Nachdem der Teil der Fin-Struktur 104 beseitigt ist, wird gemäß einigen Ausführungsformen der Offenbarung in den Gräben 204a eine Epitaxialstruktur 210 ausgebildet, wie in 2F dargestellt ist.After the part of the fin structure 104 is eliminated, in accordance with some embodiments of the disclosure in the trenches 204a an epitaxial structure 210 trained as in 2F is shown.

Die Epitaxialstruktur 210 weist eine Source/Drain-Epitaxialstruktur auf. In einigen Ausführungsformen weisen die Source/Drain-Epitaxialstrukturen ein epitaktisch aufwachsendes Silizium (Epi-Si) auf, wenn ein n-Kanal-FET(NFET)-Bauelement verlangt wird. Wird alternativ ein p-Kanal-FET(PFET)-Bauelement verlangt, dann weisen die Source/Drain-Epitaxialstrukturen ein epitaktisch aufwachsendes Silizium-Germanium (SiGe) auf.The epitaxial structure 210 has a source / drain epitaxial structure. In some embodiments, the source / drain epitaxial structures comprise epitaxial growth silicon (Epi-Si) when an n-channel FET (NFET) device is required. Alternatively, if a p-channel FET (PFET) device is required, then the source / drain epitaxial structures have an epitaxially growing silicon germanium (SiGe).

2G ist eine vergrößerte Darstellung eines Bereichs A von 2F gemäß einigen Ausführungsformen der Offenbarung. Wie in 2G dargestellt ist, weist die Epitaxialstruktur 210 einen rhombusartigen oberen Teil und einen säulenförmigen unteren Teil auf. Der rhombusartige obere Teil der Epitaxialstruktur 210 weist vier Facetten 210A, 210B, 210C und 210D auf. Jede Facette hat eine kristallografische Orientierung (111). Der säulenförmige untere Teil der Epitaxialstruktur 210 weist eine Bodenfläche und Seitenwände auf, die an die Bodenfläche anschließen. Ein Winkel θ1 zwischen der Bodenfläche und den Seitenwänden ist circa 90 Grad. Außerdem liegt die Bodenfläche des säulenförmigen unteren Teils der Epitaxialstruktur 210 im Wesentlichen in einer Ebene mit der Deckfläche der Isolationsstruktur 108. 2G is an enlarged view of a region A of 2F according to some embodiments of the disclosure. As in 2G is shown, has the epitaxial structure 210 a rhombus-like upper part and a columnar lower part. The rhomboid-like upper part of the epitaxial structure 210 has four facets 210A . 210B . 210C and 210D on. Every facet has a crystallographic orientation ( 111 ). The columnar lower part of the epitaxial structure 210 has a bottom surface and sidewalls that connect to the bottom surface. An angle θ 1 between the bottom surface and the side walls is about 90 degrees. In addition, the bottom surface of the columnar lower portion of the epitaxial structure is located 210 essentially in a plane with the top surface of the insulation structure 108 ,

Wie in 2G dargestellt ist, hat die Epitaxialstruktur 210 eine Höhe Ht1 und eine Breite W1. In einigen Ausführungsformen liegt die Höhe Ht1 in einem Bereich von circa 10 nm bis zu circa 300 nm. Ist die Höhe Ht1 zu groß, dann wird der elektrische Widerstand geringer. Ist die Höhe Ht1 zu klein, dann wird der elektrische Widerstand größer, sodass er die Bauelementgeschwindigkeit beeinträchtigt. In einigen Ausführungsformen liegt die Breite W1 in einem Bereich von circa 10 nm bis zu circa 100 nm. Ist die Breite W1 zu groß, dann kann die Epitaxialstruktur 210 in die benachbarte übergehen und einen Kurzschluss verursachen. Ist die Breite W1 zu klein, dann wird ein Kontaktfenster für das Kontaktieren der Epitaxialstruktur 210 zu eng, und folglich kann der Schaltungseffekt unterbrochen werden.As in 2G has the epitaxial structure 210 a height H t1 and a width W 1 . In some embodiments, the height H t1 is in a range of about 10 nm to about 300 nm. If the height H t1 is too large, then the electrical resistance becomes lower. If the height H t1 is too small, then the electrical resistance increases so that it affects the device speed. In some embodiments, the width W 1 is in a range of about 10 nm to about 100 nm. If the width W 1 is too large, then the epitaxial structure 210 pass into the neighboring and cause a short circuit. If the width W 1 is too small, then a contact window for contacting the epitaxial structure 210 too narrow, and consequently the circuit effect can be interrupted.

Außerdem liegt ein Verhältnis (Ht1/H2) der Höhe Ht1 der Epitaxialstruktur 210 zur Höhe H2 der Fin-Seitenwandspacer 105 in einem Bereich von circa 1,5 bis circa 10. Ist das Verhältnis zu klein, dann kann die Fin-Seitenwand keine wirksame Stütze der EPI-Höhe sein und einen EPI-Strukturkurzschluss verursachen.In addition, there is a ratio (H t1 / H 2 ) of the height H t1 of the epitaxial structure 210 to the height H 2 of the fin sidewall spacer 105 in a range of about 1.5 to about 10. If the ratio is too small, then the fin sidewall can not effectively support the Be EPI high and create an EPI structure short.

Die 3A3B zeigen Seitenansichten von verschiedenen Formgebungsstufen einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung.The 3A - 3B 12 show side views of various shaping stages of a fin field effect transistor (FinFET) device structure according to some embodiments of the disclosure.

Wie in 3A dargestellt ist, liegt eine Deckfläche der verbleibenden Fin-Struktur 104 in einigen Ausführungsformen tiefer als eine Deckfläche der Isolationsstruktur 108. Durch das Aussparen eines Teils der Fin-Struktur 104, der unter der Isolationsstruktur 108 liegt, wird ein Graben 204b ausgebildet. In einigen anderen Ausführungsformen ist ein Winkel θ2 zwischen der Seitenwand des Grabens 204b und einer Deckfläche der Fin-Struktur 104 circa 90 Grad. Der Graben 204b erstreckt sich von einer Deckfläche der Isolationsstruktur 108 bis zu einer Tiefe D1 in einem Bereich von circa 0,1 nm bis zu circa 50 nm.As in 3A is shown, there is a top surface of the remaining fin structure 104 lower than a top surface of the insulation structure in some embodiments 108 , By eliminating part of the fin structure 104 that under the isolation structure 108 lies, becomes a ditch 204b educated. In some other embodiments, an angle θ 2 is between the sidewall of the trench 204b and a top surface of the fin structure 104 about 90 degrees. The ditch 204b extends from a top surface of the isolation structure 108 to a depth D1 in a range of about 0.1 nm to about 50 nm.

Nachdem der Teil der Fin-Struktur 104 beseitigt ist, wird gemäß einigen Ausführungsformen der Offenbarung in den Gräben 204b eine Epitaxialstruktur 212 ausgebildet, wie in 3B dargestellt ist. Die Epitaxialstruktur 212 weist eine Source/Drain-Epitaxialstruktur auf. In einigen Ausführungsformen weisen die Source/Drain-Epitaxialstrukturen ein epitaktisch aufwachsendes Silizium (Epi-Si) auf, wenn ein n-Kanal-FET(NFET)-Bauelement verlangt wird. Wird alternativ ein p-Kanal-FET(PFET)-Bauelement verlangt, dann weisen die Source/Drain-Epitaxialstrukturen ein epitaktisch aufwachsendes Silizium-Germanium (SiGe) auf.After the part of the fin structure 104 is eliminated, in accordance with some embodiments of the disclosure in the trenches 204b an epitaxial structure 212 trained as in 3B is shown. The epitaxial structure 212 has a source / drain epitaxial structure. In some embodiments, the source / drain epitaxial structures comprise epitaxial growth silicon (Epi-Si) when an n-channel FET (NFET) device is required. Alternatively, if a p-channel FET (PFET) device is required, then the source / drain epitaxial structures have an epitaxially growing silicon germanium (SiGe).

3C ist eine vergrößerte Darstellung eines Bereichs B von 3B gemäß einigen Ausführungsformen der Offenbarung. Wie in 3C dargestellt ist, weist die Epitaxialstruktur 212 einen rhombusartigen oberen Teil und einen säulenförmigen unteren Teil auf. Der rhombusartige obere Teil der Epitaxialstruktur 212 weist vier Facetten 212A, 212B, 212C und 212D auf. Jede Facette hat eine kristallografische Orientierung (111). Der säulenförmige untere Teil der Epitaxialstruktur 212 weist eine Bodenfläche und Seitenwände auf, die an die Bodenfläche anschließen. Ein Winkel θ2 zwischen der Bodenfläche und den Seitenwänden ist circa 90 Grad. Außerdem liegt die Bodenfläche des säulenförmigen unteren Teils der Epitaxialstruktur 212 tiefer als eine Deckfläche der Isolationsstruktur 108. 3C is an enlarged view of a region B of 3B according to some embodiments of the disclosure. As in 3C is shown, has the epitaxial structure 212 a rhombus-like upper part and a columnar lower part. The rhomboid-like upper part of the epitaxial structure 212 has four facets 212A . 212B . 212C and 212D on. Every facet has a crystallographic orientation ( 111 ). The columnar lower part of the epitaxial structure 212 has a bottom surface and sidewalls that connect to the bottom surface. An angle θ 2 between the bottom surface and the sidewalls is approximately 90 degrees. In addition, the bottom surface of the columnar lower portion of the epitaxial structure is located 212 deeper than a top surface of the insulation structure 108 ,

Wie in 3C dargestellt ist, hat die Epitaxialstruktur 212 eine Höhe Ht2 und eine Breite W2. Die Höhe Ht1 ist kleiner als die Höhe Ht2 , und die Breite W1 ist größer als die Breite W2. In einigen Ausführungsformen liegt die Höhe Ht2 in einem Bereich von circa 15 nm bis zu circa 150 nm. In einigen Ausführungsformen liegt die Breite W2 in einem Bereich von circa 10 nm bis zu circa 100 nm.As in 3C has the epitaxial structure 212 a height H t2 and a width W 2 . The height H t1 is smaller than the height H t2 , and the width W 1 is greater than the width W 2 . In some embodiments, the height H t2 is in a range of about 15 nm to about 150 nm. In some embodiments, the width W 2 is in a range of about 10 nm to about 100 nm.

Die Epitaxialstrukturen 210 und eine Epitaxialstruktur 212 weisen unabhängig voneinander ein Einelementhalbleitermaterial, wie z. B. Germanium (Ge) oder Silizium (Si), oder Verbindungshalbleitermaterialien, wie z. B. Galliumarsenid (GaAs), Aluminium-Gallium-Arsenid (AlGaAs), oder eine Halbleiterlegierung, wie z. B. Silizium-Germanium (SiGe), Gallium-Arsenid-Phosphid (GaAsP), auf.The epitaxial structures 210 and an epitaxial structure 212 have independently a single element semiconductor material, such as. As germanium (Ge) or silicon (Si), or compound semiconductor materials, such as. Gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs), or a semiconductor alloy, such as. Silicon germanium (SiGe), gallium arsenide phosphide (GaAsP).

Die Epitaxialstrukturen 210 und 212 werden durch einen Epi-Prozess ausgebildet. Der Epi-Prozess kann einen selektiven Epitaxiewachstums(SEG)-Prozess, CVD-Abscheidetechniken (z. B. Dampfphasenepitaxie (VPE) und/oder Ultrahochvakuum-CVD (UHV-CVD)), eine Molekularstrahlepitaxie oder andere anwendbare Epi-Prozesse umfassen.The epitaxial structures 210 and 212 are formed by an epi process. The epi process may include a selective epitaxial growth (SEG) process, CVD deposition techniques (eg, vapor phase epitaxy (VPE) and / or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, or other applicable Epi processes.

Die Epitaxialstrukturen 210 und 212 können in situ während des Epi-Prozesses dotiert oder undotiert gelassen werden. Zum Beispiel kann die epitaktisch aufgewachsene SiGe-Epitaxialstruktur mit Bor dotiert werden, und die epitaktisch aufgewachsene Si-Epitaxialstruktur kann dotiert werden mit Kohlenstoff, um eine Si:C-Epitaxialstruktur auszubilden, mit Phosphor, um eine Si:P-Epitaxialstruktur auszubilden, oder sowohl mit Kohlenstoff als auch Phosphor, um eine SiCP-Epitaxialstruktur auszubilden. Das Dotieren kann durch einen Ionenimplantationsprozess, Plasma-Immersions-Ionenimplantations(PIII)-Prozess, einen Gas- und/oder Feststoffquellen-Diffusionsprozess oder einen anderen geeigneten Prozess ausgeführt werden. Die Epitaxialstrukturen 210 und 212 können ferner Temperungsprozessen, wie z. B. einem schnellen thermischen Ausheilungsprozess, ausgesetzt werden.The epitaxial structures 210 and 212 can be doped in situ or left undoped during the epi process. For example, the epitaxially grown SiGe epitaxial structure may be doped with boron, and the epitaxially grown Si epitaxial structure may be doped with carbon to form an Si: C epitaxial structure with phosphorus to form an Si: P epitaxial structure, or both with carbon as well as phosphorus to form a SiCP epitaxial structure. The doping may be performed by an ion implantation process, plasma immersion ion implantation (PIII) process, a gas and / or solid source diffusion process, or other suitable process. The epitaxial structures 210 and 212 can also heat treatment processes, such. As a rapid thermal annealing process.

Werden die Epitaxialstrukturen 210 und 212 nicht in situ dotiert, dann wird ein zweiter Implantationsprozess (z. B. ein Verbindungsstellenimplantierungsprozess) ausgeführt, um die Epitaxialstrukturen 210 und 212 zu dotieren.Become the epitaxial structures 210 and 212 not doped in situ, a second implantation process (eg, a joint implantation process) is performed to form the epitaxial structures 210 and 212 to dope.

Die Fin-Struktur 104 weist einen (nicht dargestellten) Kanalbereich auf, der von der Gate-Elektrode 110 umschlossen oder umhüllt ist. Die Gitterkonstanten der Epitaxialstruktur 210 und 212 unterscheiden sich von der des Substrats 102, der Kanalbereich ist verformt oder verspannt, um die Ladungsträgerbeweglichkeit der FinFET-Bauelementstruktur zu ermöglichen und die Leistungsfähigkeit der FinFET-Bauelementstruktur zu erhöhen.The fin structure 104 has a channel region (not shown) from the gate electrode 110 enclosed or wrapped. The lattice constants of the epitaxial structure 210 and 212 differ from that of the substrate 102 , the channel region is deformed or distorted to allow the carrier mobility of the FinFET device structure and to increase the performance of the FinFET device structure.

Es soll angemerkt werden, dass das Volumen und die Höhen Ht1, Ht2 der Epitaxialstruktur 210 und 212 durch Anpassen der Höhe H2 der Fin-Seitenwandspacer 105 und/oder der Tiefe D1 reguliert werden. Sobald das Volumen und die Höhen Ht1, Ht2 der Epitaxialstruktur 210 und 212 richtig eingeregelt sind, wird eine weitere Verbesserung der Leistungsfähigkeit der FinFET-Bauelementstruktur erreicht. Zum Beispiel wird die Vorrichtungsmobilität (Id_Sat) zunehmen, wenn die FinFET-Bauelementstruktur verbessert wird.It should be noted that the volume and the heights H t1, H t2 of the epitaxial structure 210 and 212 by adjusting the height H 2 of the fin sidewall spacers 105 and / or the depth D 1 are regulated. Once the volume and heights H t1 , H t2 of the epitaxial structure 210 and 212 are properly controlled, a further improvement in the performance of the FinFET device structure is achieved. To the For example, the device mobility (Id_Sat) will increase as the FinFET device structure is improved.

Die 4A4D zeigen Seitenansichten von verschiedenen Formgebungsstufen einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur gemäß einigen Ausführungsformen der Offenbarung. 4E ist eine vergrößerte Darstellung des Bereichs C von 4D gemäß einigen Ausführungsformen der Offenbarung. Die 4A4D zeigen Seitenansichten, die entlang des Pfeils 1 von 1 aufgenommen sind, wobei der Pfeil 1 parallel zur X-Achse ist.The 4A - 4D 12 show side views of various shaping stages of a fin field effect transistor (FinFET) device structure according to some embodiments of the disclosure. 4E is an enlarged view of the area C of 4D according to some embodiments of the disclosure. The 4A - 4D show side views taken along the arrow 1 from 1 are included, the arrow 1 parallel to the X axis.

Mit Bezugnahme auf 4A werden die Gate-Seitenwandspacer 115 auf den gegenüberliegenden Seitenwänden der Gate-Elektrode 110 und die Fin-Seitenwandspacer 105 auf den gegenüberliegenden Seitenwänden der Fin-Struktur 104 ausgebildet.With reference to 4A become the gate sidewall spacers 115 on the opposite side walls of the gate electrode 110 and the fin sidewall spacer 105 on the opposite side walls of the fin structure 104 educated.

Danach werden gemäß einigen Ausführungsformen der Offenbarung die Fin-Seitenwandspacer 105 vollständig beseitigt, wie in 4B dargestellt ist. Im Ergebnis sind die Deckfläche und ein Teil der Seitenwände der Fin-Struktur 104 freigelegt. Auf der Fin-Struktur 104 sind keine Fin-Seitenwandspacer 105 ausgebildet.Thereafter, in accordance with some embodiments of the disclosure, the fin sidewall spacers become 105 completely eliminated, as in 4B is shown. As a result, the top surface and part of the side walls are the fin structure 104 exposed. On the fin structure 104 are not fin sidewall spacers 105 educated.

Nachdem die Fin-Seitenwandpacer 105 vollständig beseitigt sind, wird gemäß einigen Ausführungsformen der Offenbarung ein Teil der Fin-Struktur 104 beseitigt, wie in 4C dargestellt ist. Im Ergebnis ist wird durch Aussparen eines Teils der Fin-Struktur 104 ein Graben 304 ausgebildet.After the fin sidewall spacer 105 are completely eliminated, becomes a part of the fin structure according to some embodiments of the disclosure 104 eliminated, as in 4C is shown. The result is by eliminating part of the fin structure 104 a ditch 304 educated.

Der Graben 304 weist eine Tiefe D2 auf, die unterhalb der Isolationsstruktur 108 ist. In einigen Ausführungsformen liegt die Tiefe D2 in einem Bereich von circa 0,1 nm bis zu circa 50 nm. In einigen Ausführungsformen ist ein Winkel θ2 zwischen der Seitenwand des Grabens 304 und einer Deckfläche der Fin-Struktur 104 circa 90 Grad.The ditch 304 has a depth D 2 , which is below the isolation structure 108 is. In some embodiments, the depth D 2 is in a range of about 0.1 nm to about 50 nm. In some embodiments, an angle θ 2 is between the sidewall of the trench 304 and a top surface of the fin structure 104 about 90 degrees.

Nachdem der Teil der Fin-Struktur 104 beseitigt ist, wird gemäß einigen Ausführungsformen der Offenbarung im Graben 304 und auf der Fin-Struktur 104 eine Epitaxialstruktur 214 ausgebildet, wie in 4D dargestellt ist.After the part of the fin structure 104 is eliminated, in accordance with some embodiments of the disclosure in the trench 304 and on the fin structure 104 an epitaxial structure 214 trained as in 4D is shown.

Die Epitaxialstrukturen 214 weist ein Einelementhalbleitermaterial, wie z. B. Germanium (Ge) oder Silizium (Si), oder Verbindungshalbleitermaterialien, wie z. B. Galliumarsenid (GaAs), Aluminium-Gallium-Arsenid (AlGaAs), oder eine Halbleiterlegierung, wie z. B. Silizium-Germanium (SiGe), Gallium-Arsenid-Phosphid (GaAsP), auf.The epitaxial structures 214 has a single-element semiconductor material, such as. As germanium (Ge) or silicon (Si), or compound semiconductor materials, such as. Gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs), or a semiconductor alloy, such as. Silicon germanium (SiGe), gallium arsenide phosphide (GaAsP).

Die Epitaxialstrukturen 214 wird durch einen Epi-Prozess ausgebildet. Der Epi-Prozess kann einen selektiven Epitaxiewachstums(SEG)-Prozess, CVD-Abscheidetechniken (z. B. Dampfphasenepitaxie (VPE) und/oder Ultrahochvakuum-CVD (UHV-CVD)), eine Molekularstrahlepitaxie oder andere anwendbare Epi-Prozesse umfassen.The epitaxial structures 214 is formed by an epi process. The epi process may include a selective epitaxial growth (SEG) process, CVD deposition techniques (eg, vapor phase epitaxy (VPE) and / or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, or other applicable Epi processes.

Ähnlich wie die Epitaxialstrukturen 210 und 212 weist die Epitaxialstruktur 214 einen rhombusartigen oberen Teil und einen säulenförmigen unteren Teil auf. Der rhombusartige obere Teil der Epitaxialstruktur 214 weist vier Facetten 214A, 214B, 214C und 214D auf. Jede Facette hat eine kristallografische Orientierung (111).Similar to the epitaxial structures 210 and 212 has the epitaxial structure 214 a rhombus-like upper part and a columnar lower part. The rhomboid-like upper part of the epitaxial structure 214 has four facets 214A . 214B . 214C and 214D on. Every facet has a crystallographic orientation ( 111 ).

Es soll angemerkt werden, dass im Vergleich mit 2G und 3C keine Fin-Seitenwandspacer ausgebildet werden, die an der Epitaxialstruktur 214 in 4E angrenzen. Deshalb werden das Volumen und die Höhe der Epitaxialstruktur 214 reguliert, indem die Tiefe des (in 4 dargestellten) Grabens 304 angepasst wird. Da es keine Fin-Seitenwandspacer gibt, welche das Wachstum der Epitaxialstruktur 214 behindern, neigt die Epitaxialstruktur 214 darüber hinaus zu einem Wachstum in Richtung der X-Achse. Deshalb ist die Breite W3 der Epitaxialstruktur 214 größer als die Breite W4 der Fin-Struktur 104.It should be noted that in comparison with 2G and 3C no fin sidewall spacers are formed on the epitaxial structure 214 in 4E adjoin. Therefore, the volume and height of the epitaxial structure become 214 regulated by the depth of the (in 4 shown) trench 304 is adjusted. Since there are no fin sidewall spacers showing the growth of the epitaxial structure 214 obstruct, the epitaxial structure tends 214 in addition to growth in the direction of the X-axis. Therefore, the width W 3 of the epitaxial structure 214 larger than the width W 4 of the fin structure 104 ,

Die Epitaxialstruktur 214 weist ein Höhe Ht3 und eine Breite W3 auf. Die Höhe Ht3 der Epitaxialstruktur 214 ist kleiner als die Höhe Ht2 der Epitaxialstruktur 212, und die Breite W2 der Epitaxialstruktur 212 ist größer als die Breite W3 der Epitaxialstruktur 214. Außerdem ist Höhe Ht3 der Epitaxialstruktur 214 kleiner als die Höhe Ht1 der Epitaxialstruktur 210, und die Breite W1 der Epitaxialstruktur 210 ist größer als die Breite W3 der Epitaxialstruktur 214.The epitaxial structure 214 has a height H t3 and a width W 3 . The height H t3 of the epitaxial structure 214 is smaller than the height H t2 of the epitaxial structure 212 , and the width W 2 of the epitaxial structure 212 is greater than the width W 3 of the epitaxial structure 214 , In addition, height H is t3 of the epitaxial structure 214 smaller than the height H t1 of the epitaxial structure 210 , and the width W 1 of the epitaxial structure 210 is greater than the width W 3 of the epitaxial structure 214 ,

Mit erneuter Bezugnahme auf 4D liegt ein Abstand S zwischen zwei benachbarten Epitaxialstrukturen 214 in einem Bereich von circa 0,1 nm bis zu circa 100 nm. In einigen Ausführungsformen liegt die Breite W3 der Epitaxialstruktur 214 in einem Bereich von circa 10 nm bis zu circa 100 nm. In einigen Ausführungsformen liegt die Höhe Ht3 der Epitaxialstruktur 214 in einem Bereich von circa 10 nm bis zu circa 300 nm. In einigen Ausführungsformen liegt das Verhältnis (Ht3/W3) der Höhe zur Breite der Epitaxialstruktur 214 in einem Bereich von circa 0,1 bis zu circa 10.With renewed reference to 4D is a distance S between two adjacent epitaxial structures 214 in a range of about 0.1 nm to about 100 nm. In some embodiments, the width W 3 of the epitaxial structure is 214 in a range of about 10 nm to about 100 nm. In some embodiments, the height H t3 of the epitaxial structure is 214 in a range of about 10 nm to about 300 nm. In some embodiments, the ratio (H t3 / W 3 ) is the height to the width of the epitaxial structure 214 in a range of about 0.1 to about 10.

Danach kann fortgefahren werden, die FinFET-Struktur weiteren Prozessen zu unterwerfen, um andere Strukturen oder Bauelemente auszubilden. In einigen Ausführungsformen umfasst die Metallisierung vertikale Verbindungen, wie z. B. herkömmliche Durchkontaktierungen oder Kontakte, sowie horizontale Verbindungen, wie z. B. Metallleiterbahnen. Die verschiedenartigen Verbindungsmerkmale können durch verschiedenartige leitfähige Materialien, einschließlich Kupfer, Wolfram und/oder Silizid, realisiert werden.Thereafter, it may be proceeded to subject the FinFET structure to further processes to form other structures or devices. In some embodiments, the metallization includes vertical connections, such as. As conventional vias or contacts, as well as horizontal connections, such. B. metal interconnects. The various connection features can be realized by various conductive materials, including copper, tungsten and / or silicide.

Es werden Ausführungsformen für das Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur geschaffen. Die FinFET-Bauelementstruktur umfasst eine Fin-Struktur, die sich über dem Substrat erstreckt, und eine Epitaxialstruktur, die auf der Fin-Struktur ausgebildet ist. Angrenzend an der Epitaxialstruktur sind in einigen Ausführungsformen die Fin-Seitenwandspacer ausgebildet. Die Fin-Seitenwandspacer sind eingerichtet, ein Volumen und die Höhe der Epitaxialstruktur zu regulieren. In einigen anderen Ausführungsformen sind keine an der Epitaxialstruktur angrenzenden Seitenwandspacer ausgebildet, das Volumen und die Höhe der Epitaxialstruktur werden durch Einstellen der Tiefe eines Grabens reguliert, der durch Zurücksetzen eines Kopfteils der Fin-Struktur ausgebildet wird. Sobald das Volumen und die Höhe der Epitaxialstruktur eingeregelt sind, wird eine weitere Verbesserung der Leistungsfähigkeit der FinFET-Bauelementstruktur erreicht.Embodiments are provided for forming a fin field effect transistor (FinFET) device structure. The FinFET device structure includes a fin structure extending over the substrate and an epitaxial structure formed on the fin structure. Adjacent to the epitaxial structure, in some embodiments, the fin sidewall spacers are formed. The fin sidewall spacers are designed to regulate a volume and the height of the epitaxial structure. In some other embodiments, there are no sidewall spacers adjacent to the epitaxial structure, the volume and height of the epitaxial structure are regulated by adjusting the depth of a trench formed by resetting a top portion of the fin structure. Once the volume and height of the epitaxial structure are controlled, a further improvement in the performance of the FinFET device structure is achieved.

In einigen Ausführungsformen wird eine Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur geschaffen. Die FinFET-Struktur umfasst ein Substrat und eine Fin-Struktur, die sich über dem Substrat erstreckt. Die FinFET-Struktur umfasst eine Epitaxialstruktur, die auf der Fin-Struktur ausgebildet ist, und die Epitaxialstruktur weist eine erste Höhe auf. Die FinFET-Struktur umfasst auch Fin-Seitenwandspacer, die an die Epitaxialstruktur angrenzend ausgebildet sind. Die Seitenwandspacer weisen eine zweite Höhe auf, wobei die erste Höhe größer als die zweite Höhe ist, und die Fin-Seitenwandspacer sind eingerichtet, ein Volumen und die erste Höhe der Epitaxialstruktur zu regulieren.In some embodiments, a fin field effect transistor (FinFET) device structure is provided. The FinFET structure includes a substrate and a fin structure extending over the substrate. The FinFET structure includes an epitaxial structure formed on the fin structure, and the epitaxial structure has a first height. The FinFET structure also includes fin sidewall spacers formed adjacent the epitaxial structure. The sidewall spacers have a second height, wherein the first height is greater than the second height, and the fin sidewall spacers are configured to regulate a volume and the first height of the epitaxial structure.

In einigen Ausführungsformen wird eine Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur geschaffen. Die FinFET-Struktur umfasst ein Substrat und eine Fin-Struktur, die sich über dem Substrat erstreckt. Die FinFET-Struktur umfasst auch eine Isolationsstruktur, die auf dem Substrat ausgebildet ist, und die Fin-Struktur ist in die Isolationsstruktur eingebettet. Die FinFET-Struktur umfasst ferner eine erste Epitaxialstruktur, die auf der Fin-Struktur ausgebildet ist, wobei eine Grenzfläche zwischen der ersten Epitaxialstruktur und der Fin-Struktur unterhalb einer Deckfläche der Isolationsstruktur liegt und wobei keine an der ersten Epitaxialstruktur angrenzenden Fin-Seitenwandspacer ausgebildet sind.In some embodiments, a fin field effect transistor (FinFET) device structure is provided. The FinFET structure includes a substrate and a fin structure extending over the substrate. The FinFET structure also includes an isolation structure formed on the substrate, and the fin structure is embedded in the isolation structure. The FinFET structure further includes a first epitaxial structure formed on the fin structure, wherein an interface between the first epitaxial structure and the fin structure is below a top surface of the isolation structure, and wherein no fin sidewall spacers adjacent to the first epitaxial structure are formed ,

In einigen Ausführungsformen wird ein Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Bauelementstruktur geschaffen. Das Verfahren umfasst das Bereitstellen eines Substrats und das Ausbilden einer Fin-Struktur über dem Substrat. Das Verfahren umfasst auch das Ausbilden einer Gate-Stapelstruktur über einem mittleren Teil der Fin-Struktur und das Ausbilden von Gate-Seitenwandspacern auf einer Deckfläche und Seitenwänden der Gate-Stapelstruktur sowie das Ausbilden von Fin-Seitenwandspacern auf einer Deckfläche und Seitenwänden der Fin-Struktur. Das Verfahren umfasst ferner das Beseitigen eines Kopfteils der Gate-Seitenwandspacer und eines Kopfteils der Fin-Seitenwandspacer, um einen Kopfteil der Gate-Stapelstruktur und einen Kopfteil der Fin-Struktur freizulegen. Das Verfahren umfasst das Beseitigen eines Teils der Fin-Seitenwandspacer, wobei die Fin-Seitenwandspacer eine zweite Höhe aufweisen. Das Verfahren umfasst ferner das Zurücksetzen eines Teils der Fin-Struktur, um einen Graben auszubilden. Das Verfahren umfasst auch das Aufwachsen einer Epitaxialstruktur vom Graben aus, und die Epitaxialstruktur wird über der Fin-Struktur ausgebildet, und die Epitaxialstruktur weist eine erste Höhe auf, wobei die erste Höhe größer als die zweite Höhe ist.In some embodiments, a method of forming a fin field effect transistor (FinFET) device structure is provided. The method includes providing a substrate and forming a fin structure over the substrate. The method also includes forming a gate stack structure over a central portion of the fin structure and forming gate sidewall spacers on a top surface and sidewalls of the gate stack structure, and forming fin sidewall spacers on a top surface and sidewalls of the fin structure , The method further includes eliminating a head portion of the gate sidewall spacers and a head portion of the fin sidewall spacers to expose a top portion of the gate stack structure and a top portion of the fin structure. The method includes eliminating a portion of the fin sidewall spacers, the fin sidewall spacers having a second height. The method further includes resetting a portion of the fin structure to form a trench. The method also includes growing an epitaxial structure from the trench, and the epitaxial structure is formed over the fin structure, and the epitaxial structure has a first height, wherein the first height is greater than the second height.

Vorangehend werden Merkmale verschiedener Ausführungsformen kurz dargestellt, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten anerkennen, dass sie die vorliegende Offenbarung leicht als eine Grundlage dafür einsetzen können, andere Prozesse und Strukturen zu konzipieren oder abzuwandeln, um die gleichen Zielstellungen zu realisieren und/oder die gleichen Vorteile der hier dargelegten Ausführungsformen zu erreichen. Fachleute sollten auch erkennen, dass derartige gleichwertige Konstruktionen nicht vom Grundgedanken und Umfang der vorliegenden Offenbarung abweichen und dass sie hierin verschiedenartige Veränderungen, Ersetzungen und Abwandlungen ausführen können, ohne vom Grundgedanken und Umfang der vorliegenden Offenbarung abzuweichen.In the foregoing, features of various embodiments are briefly presented so that those skilled in the art can better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures to achieve the same objectives and / or achieve the same benefits of the embodiments set forth herein. Those skilled in the art should also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may carry out various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur mit: einem Substrat; einer Fin-Struktur, die sich über dem Substrat erstreckt; einer Epitaxialstruktur, die auf der Fin-Struktur ausgebildet ist, wobei die Epitaxialstruktur eine erste Höhe aufweist; Fin-Seitenwandspacern, die an der Epitaxialstruktur angrenzend ausgebildet sind, wobei die Seitenwandspacer eine zweite Höhe aufweisen und die erste Höhe größer als die zweite Höhe ist, und wobei die Fin-Seitenwandspacer eingerichtet sind, ein Volumen und die erste Höhe der Epitaxialstruktur zu regulieren.Fin field effect transistor (FinFET) device structure with: a substrate; a fin structure extending over the substrate; an epitaxial structure formed on the fin structure, the epitaxial structure having a first height; Fin sidewall spacers formed adjacent the epitaxial structure, wherein the sidewall spacers have a second height and the first height is greater than the second height, and wherein the fin sidewall spacers are configured to regulate a volume and the first height of the epitaxial structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 1, ferner mit: einer Gate-Stapelstruktur, die über einem mittleren Teil der Fin-Struktur ausgebildet ist, wobei die Epitaxialstruktur angrenzend an den mittleren Teil der Fin-Struktur ausgebildet ist.The fin field effect transistor (FinFET) device structure of claim 1, further comprising: a gate stack structure formed over a middle part of the fin structure, wherein the epitaxial structure is formed adjacent to the middle part of the fin structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 1 oder 2, wobei die zweite Höhe in einem Bereich von circa 0,1 nm bis zu circa 100 nm liegtThe fin field effect transistor (FinFET) device structure of claim 1 or 2, wherein the second height is in a range of about 0.1 nm to about 100 nm Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der vorhergehenden Ansprüche, ferner mit: einer Isolationsstruktur, wobei die Fin-Struktur in die Isolationsstruktur eingebettet ist.Fin field effect transistor (FinFET) device structure according to one of the preceding claims, further comprising: an insulation structure, wherein the fin structure is embedded in the isolation structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 4, wobei eine Bodenfläche der Epitaxialstruktur in einer Ebene mit der Deckfläche der Isolationsstruktur liegt.A fin field effect transistor (FinFET) device structure according to claim 4, wherein a bottom surface of the epitaxial structure lies in a plane with the top surface of the insulating structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 4, wobei eine Bodenfläche der Epitaxialstruktur tiefer als eine Deckfläche der Isolationsstruktur liegt.Fin-field effect transistor (FinFET) device structure according to claim 4, wherein a bottom surface of the epitaxial structure is lower than a top surface of the insulating structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 6, wobei sich die Epitaxialstruktur von einer Deckfläche der Isolationsstruktur bis zu einer Tiefe in einem Bereich von circa 0,1 nm bis zu circa 50 nm erstreckt.The fin field effect transistor (FinFET) device structure of claim 6, wherein the epitaxial structure extends from a top surface of the isolation structure to a depth in a range of about 0.1 nm to about 50 nm. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der vorhergehenden Ansprüche, wobei die Epitaxialstruktur eine Source/Drain-Struktur umfasst.Fin-field effect transistor (FinFET) device structure according to one of the preceding claims, wherein the epitaxial structure comprises a source / drain structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der vorhergehenden Ansprüche, wobei die Epitaxialstruktur einen rhombusartigen oberen Teil und einen säulenförmigen unteren Teil aufweist und wobei der säulenförmige untere Teil eine Bodenfläche und Seitenwände aufweist, die an die Bodenfläche anschließen, und wobei ein Winkel zwischen der Bodenfläche und den Seitenwänden circa 90 Grad ist.A fin field effect transistor (FinFET) device structure as claimed in any one of the preceding claims, wherein the epitaxial structure has a rhombus-like upper portion and a columnar lower portion and wherein the columnar lower portion has a bottom surface and sidewalls adjoining the bottom surface and wherein an angle between floor area and side walls is about 90 degrees. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur mit: einem Substrat; einer Fin-Struktur, die sich über dem Substrat erstreckt; einer Isolationsstruktur, die auf dem Substrat ausgebildet ist, wobei die Fin-Struktur in die Isolationsstruktur eingebettet ist; und einer ersten Epitaxialstruktur, die auf der Fin-Struktur ausgebildet ist, wobei eine Grenzfläche der ersten Epitaxialstruktur und der Fin-Struktur unterhalb einer Deckfläche der Isolationsstruktur liegt und wobei angrenzend an die ersten Epitaxialstruktur keine Fin-Seitenwandspacer ausgebildet sind.Fin field effect transistor (FinFET) device structure with: a substrate; a fin structure extending over the substrate; an insulating structure formed on the substrate, the fin structure being embedded in the insulating structure; and a first epitaxial structure formed on the fin structure, wherein an interface of the first epitaxial structure and the fin structure is below a top surface of the isolation structure, and wherein no fin sidewall spacers are formed adjacent to the first epitaxial structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 10, wobei sich die erste Epitaxialstruktur von einer Deckfläche der Isolationsstruktur bis zu einer Tiefe in einem Bereich von circa 0,1 nm bis zu circa 50 nm erstreckt.The fin field effect transistor (FinFET) device structure of claim 10, wherein the first epitaxial structure extends from a top surface of the isolation structure to a depth in a range of about 0.1 nm to about 50 nm. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach Anspruch 10 oder 11, ferner mit: einer Gate-Stapelstruktur, die über einem mittleren Teil der Fin-Struktur ausgebildet ist; und Gate-Seitenwandspacern, die angrenzend an die Gate-Stapelstruktur ausgebildet sind.Fin field effect transistor (FinFET) device structure according to claim 10 or 11, further comprising: a gate stack structure formed over a middle part of the fin structure; and Gate sidewall spacers formed adjacent to the gate stack structure. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 10 bis 12, ferner mit: einer zweiten Epitaxialstruktur, die zur ersten Epitaxialstruktur benachbart ist, wobei ein Abstand zwischen der ersten Epitaxialstruktur und der zweiten Epitaxialstruktur in einem Bereich von circa 0,1 nm bis zu circa 100 nm liegt.Fin field effect transistor (FinFET) device structure according to any one of claims 10 to 12, further comprising: a second epitaxial structure adjacent to the first epitaxial structure, wherein a distance between the first epitaxial structure and the second epitaxial structure is in a range of about 0.1 nm to about 100 nm. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 10 bis 13, wobei die Epitaxialstruktur einen rhombusartigen oberen Teil und einen säulenförmigen unteren Teil aufweist und wobei der säulenförmige untere Teil eine Bodenfläche und Seitenwände aufweist, die an die Bodenfläche anschließen, und wobei ein Winkel zwischen der Bodenfläche und den Seitenwänden circa 90 Grad ist.The fin field effect transistor (FinFET) device structure according to any one of claims 10 to 13, wherein the epitaxial structure has a rhombus-like upper part and a columnar lower part, and wherein the columnar lower part has a bottom surface and sidewalls adjoining the bottom surface, and wherein Angle between the floor surface and the side walls is about 90 degrees. Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur nach einem der Ansprüche 10 bis 14, wobei die Fin-Struktur eine erste Breite aufweist, wobei die erste Epitaxialstruktur eine zweite Breite aufweist und wobei die zweite Breite größer als die erste Breite ist.The fin field effect transistor (FinFET) device structure of any one of claims 10 to 14, wherein the fin structure has a first width, the first epitaxial structure having a second width, and wherein the second width is greater than the first width. Verfahren zum Ausbilden einer Fin-Feldeffekttransistor(FinFET)-Vorrichtungsstruktur, umfassend: Bereitstellen eines Substrats; Ausbilden einer Fin-Struktur über dem Substrat; Ausbilden einer Gate-Stapelstruktur über einem mittleren Teil der Fin-Struktur; Ausbilden von Gate-Seitenwandspacern auf einer Deckfläche und Seitenwänden der Gate-Stapelstruktur sowie Ausbilden von Fin-Seitenwandspacern auf einer Deckfläche und Seitenwänden der Fin-Struktur; Beseitigen eines Kopfteils der Gate-Seitenwandspacer und eines Kopfteils der Fin-Seitenwandspacer, um einen Kopfteil der Gate-Stapelstruktur und einen Kopfteil der Fin-Struktur freizulegen; Beseitigen eines Teils der Fin-Seitenwandspacer, wobei die Fin-Seitenwandspacer eine zweite Höhe aufweisen; Zurücknehmen eines Teils der Fin-Struktur, um einen Graben auszubilden; und epitaktisches Aufwachsen einer Epitaxialstruktur vom Graben aus, wobei die Epitaxialstruktur über der Fin-Struktur ausgebildet wird und die Epitaxialstruktur eine erste Höhe aufweist und die erste Höhe größer als die zweite Höhe ist.A method of forming a fin field effect transistor (FinFET) device structure, comprising: providing a substrate; Forming a fin structure over the substrate; Forming a gate stack structure over a middle part of the fin structure; Forming gate sidewall spacers on a top surface and sidewalls of the gate stack structure, and forming fin sidewall spacers on a top surface and sidewalls of the fin structure; Eliminating a head portion of the gate sidewall spacers and a head portion of the fin sidewall spacers to expose a head portion of the gate stack structure and a top portion of the fin structure; Eliminating a portion of the fin sidewall spacers, the fin sidewall spacers having a second height; Canceling a part of the fin structure to form a trench; and epitaxially growing an epitaxial structure from the trench, wherein the epitaxial structure is formed over the fin structure and the epitaxial structure has a first height and the first height is greater than the second height. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach Anspruch 16, wobei das Ausbilden der Gate-Stapelstruktur über dem mittleren Teil der Fin-Struktur umfasst: Ausbilden einer Gate-Elektrode auf der Fin-Struktur; Ausbilden einer ersten Hartmaskenschicht auf der Gate-Elektrode; und Ausbilden einer zweiten Hartmaskenschicht auf der ersten Hartmaskenschicht.The method of forming the FinFET device structure of claim 16, wherein forming the gate stack structure over the middle portion of the fin structure comprises: Forming a gate electrode on the fin structure; Forming a first hardmask layer on the gate electrode; and Forming a second hard mask layer on the first hard mask layer. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach Anspruch 16 oder 17, ferner umfassend: Ausbilden einer Isolationsstruktur auf dem Substrat, wobei eine Bodenfläche der Epitaxialstruktur auf einer Ebene mit oder unterhalb einer Deckfläche der Isolationsstruktur liegt.The method of forming the FinFET device structure of claim 16 or 17, further comprising: Forming an insulation structure on the substrate, wherein a bottom surface of the epitaxial structure lies on a plane with or below a top surface of the insulation structure. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach einem der Ansprüche 16 bis 18, wobei das Beseitigen eines Teils der Fin-Struktur zum Ausbilden der Aussparung zwischen den Fin-Seitenwandspacern ferner umfasst: Beseitigen des Teils der Fin-Struktur, bis eine Deckfläche der Fin-Struktur auf einer Ebene mit oder unterhalb einer Deckfläche der Isolationsstruktur liegt.The method of forming the FinFET device structure according to any one of claims 16 to 18, wherein removing a part of the fin structure for forming the recess between the fin sidewall spacers further comprises: Eliminating the part of the fin structure until a top surface of the fin structure lies on a plane with or below a top surface of the insulation structure. Verfahren zum Ausbilden der FinFET-Bauelementstruktur nach einem der Ansprüche 16 bis 19, ferner vor dem epitaktischen Aufwachsen der Epitaxialstruktur umfassend: Beseitigen der gesamten Fin-Seitenwandspacer; und Beseitigen eines Teils der Fin-Struktur, bis eine Deckfläche der Fin-Struktur unterhalb einer Deckfläche der Isolationsstruktur liegt.A method of forming the FinFET device structure of any one of claims 16 to 19, further comprising prior to epitaxial epitaxial growth of the epitaxial structure: Eliminating the entire fin sidewall spacer; and Removing a part of the fin structure until a top surface of the fin structure is below a top surface of the insulation structure.
DE102015107182.7A 2014-10-17 2015-05-08 Fin Field Effect Transistor (FinFET) device and method of making the same Pending DE102015107182A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/517,310 US9653605B2 (en) 2014-10-17 2014-10-17 Fin field effect transistor (FinFET) device and method for forming the same
US14/517,310 2014-10-17

Publications (1)

Publication Number Publication Date
DE102015107182A1 true DE102015107182A1 (en) 2016-04-21

Family

ID=55638050

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015107182.7A Pending DE102015107182A1 (en) 2014-10-17 2015-05-08 Fin Field Effect Transistor (FinFET) device and method of making the same

Country Status (2)

Country Link
KR (3) KR20160045528A (en)
DE (1) DE102015107182A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9865595B1 (en) * 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
US10516037B2 (en) 2017-06-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming shaped source/drain epitaxial layers of a semiconductor device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4960007B2 (en) 2006-04-26 2012-06-27 株式会社東芝 Semiconductor device and manufacturing method of semiconductor device
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor

Also Published As

Publication number Publication date
KR20160061295A (en) 2016-05-31
KR101745265B1 (en) 2017-06-08
KR20160045528A (en) 2016-04-27
KR101844712B1 (en) 2018-04-02
KR20170034854A (en) 2017-03-29

Similar Documents

Publication Publication Date Title
US11158744B2 (en) Fin field effect transistor (FinFET) device and method for forming the same
DE102016100033B4 (en) FinFET device structure and method of fabrication
DE102016100035B4 (en) Fin field effect transistor (FinFET) device structure and method of forming same
DE102017118199A1 (en) FINFET DEVICE AND TRAINING PROCESS
DE112018000914B4 (en) SEMICONDUCTOR DEVICES AND METHOD OF MANUFACTURE THERE
DE102017117795A1 (en) FETS AND METHOD FOR THE PRODUCTION THEREOF
CN105390398A (en) Metal-Insensitive Epitaxy Formation
DE102012204516A1 (en) FinFET device and manufacturing method for the same
DE112017005474T5 (en) VERTICAL TRANSPORT FET UNITS USING SELECTIVE EPITAXIA AT LOW TEMPERATURE
DE102015108690A1 (en) SEMICONDUCTOR DEVICE CONTAINING FRAMEWORK AND MANUFACTURING METHOD
DE102013103470A1 (en) Structure and method for a field effect transistor
DE102017127095A1 (en) FINFET STRUCTURE WITH CONTROLLED AIR COLUMNS
DE102019204737B4 (en) Hybrid gate cut
DE112018001590T5 (en) A unit with an extremely long channel within a VFET design
DE112022000493T5 (en) NANOSHEET METAL OXIDE SEMICONDUCTOR FIELD EFFECT TRANSISTOR WITH ASYMMETRIC THRESHOLD VOLTAGE
DE102015107182A1 (en) Fin Field Effect Transistor (FinFET) device and method of making the same
DE102018103163A1 (en) STRUCTURE WITH EMBEDDED MEMORY DEVICE AND CONTACT INSULATION SCHEME
DE102022100570A1 (en) SEMICONDUCTOR DEVICES WITH PARASITIC CHANNEL STRUCTURES
DE102017124223B4 (en) Semiconductor structure with fins and isolation fins and method for their manufacture
DE102017127770A1 (en) SEMICONDUCTOR DEVICE AND METHOD
US20230361199A1 (en) Replacement sidewall spacers
DE102016101781A1 (en) Interconnect structure, manufacturing method thereof and semiconductor device using the same
DE102021116093A1 (en) UNDOPED AREA BELOW A SOURCE/DRAIN AREA AND ITS MANUFACTURING PROCESS

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R016 Response to examination communication