DE102014109352B4 - COMPOSITE CONTACT PAD STRUCTURE AND METHOD OF MANUFACTURING - Google Patents

COMPOSITE CONTACT PAD STRUCTURE AND METHOD OF MANUFACTURING Download PDF

Info

Publication number
DE102014109352B4
DE102014109352B4 DE102014109352.6A DE102014109352A DE102014109352B4 DE 102014109352 B4 DE102014109352 B4 DE 102014109352B4 DE 102014109352 A DE102014109352 A DE 102014109352A DE 102014109352 B4 DE102014109352 B4 DE 102014109352B4
Authority
DE
Germany
Prior art keywords
conductive
layer
diffusion barrier
barrier layer
contact plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014109352.6A
Other languages
German (de)
Other versions
DE102014109352A1 (en
Inventor
Yu-Hung Lin
Sheng-Hsuan Lin
Chih-Wei Chang
You-Hua Chou
Chia-Lin Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/313,111 external-priority patent/US10079174B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014109352A1 publication Critical patent/DE102014109352A1/en
Application granted granted Critical
Publication of DE102014109352B4 publication Critical patent/DE102014109352B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Kontaktstöpsel (120), der Folgendes umfasst:eine Zwei-Schichten-Struktur (110), die Folgendes umfasst:einen leitenden Kern (110a); undeine leitende Auskleideschicht (110b) auf einer Seitenwand und einer Bodenfläche des leitenden Kerns (110a), wobei die leitende Auskleideschicht (110b) Kobalt oder Ruthenium umfasst;eine Diffusionsbarriere-Schicht (108) auf einer Seitenwand und einer Bodenfläche der Zwei-Schichten-Struktur (110); und einen leitenden Film (106) auf einer Seitenwand der Diffusionsbarriere-Schicht (108) umfasst, wobei die Diffusionsbarriere-Schicht (108) zwischen dem leitenden Film (106) und der Zwei-Schichten-Struktur (110) angeordnet ist und wobei gegenüberliegende Seitenwände der Zweischichten-Struktur (110) und der Diffusionsbarrieren-Schicht (108) nicht parallel sind.A contact plug (120) comprising: a two-layered structure (110) comprising: a conductive core (110a); anda conductive liner layer (110b) on a sidewall and a bottom surface of the conductive core (110a), the conductive liner layer (110b) comprising cobalt or ruthenium; a diffusion barrier layer (108) on a sidewall and a bottom surface of the two-layer structure (110); and a conductive film (106) on a sidewall of the diffusion barrier layer (108), wherein the diffusion barrier layer (108) is disposed between the conductive film (106) and the two-layer structure (110), and opposing sidewalls the two-layer structure (110) and the diffusion barrier layer (108) are not parallel.

Description

HINTERGRUNDBACKGROUND

Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen verwendet, beispielsweise PCs, Mobiltelefonen, Digitalkameras und anderer elektronischer Ausrüstung. Im Allgemeinen umfasst eine typische Halbleitervorrichtung ein Substrat, das aktive Vorrichtungen aufweist, etwa Transistoren und Kondensatoren. Diese aktiven Vorrichtungen sind anfänglich von einander isoliert und Verbindungsstrukturen werden nachfolgend über den aktiven Vorrichtungen ausgebildet, um funktionale Schaltungen zu erzeugen. Solche Verbindungsstrukturen können Kontaktstöpsel umfassen, die mit den aktiven Vorrichtungen auf dem Substrat elektrisch verbunden sein können.Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. In general, a typical semiconductor device includes a substrate having active devices, such as transistors and capacitors. These active devices are initially isolated from each other, and interconnect structures are subsequently formed over the active devices to create functional circuits. Such connection structures may include contact plugs that may be electrically connected to the active devices on the substrate.

So zeigt die DE 11 2010 003 6519 T5 eine Interconnect-Halbleiterstruktur vom Einzel-oder Doppel-Damaszenertyp, umfassend ein dielektrisches Material mit wenigstens einer Öffnung darin. Die Seitenwände der wenigstens einen Öffnung sind mit einer optionalen Diffusionsbarrieren-Schicht, einer Metallschicht, einer leitenden Plattierungs-Keimschicht und einer leitenden Struktur bedeckt, wobei die gegenüberliegenden Seitenwände der jeweiligen Öffnung, der Metallschicht, der leitenden Plattierungs-Keimschicht und der leitenden Struktur zueinander parallel sind.That's how it shows DE 11 2010 003 6519 T5 a single or double damascene type interconnect semiconductor structure comprising a dielectric material having at least one opening therein. The sidewalls of the at least one opening are covered with an optional diffusion barrier layer, a metal layer, a conductive plating seed layer, and a conductive structure, with the opposite sidewalls of the respective opening, the metal layer, the conductive plating seed layer, and the conductive structure parallel to each other are.

Ein typischer Kontaktstöpsel kann Wolfram (W) umfassen, aufgrund seines niedrigen spezifischen Widerstands (etwa 5·4 µΩ·cm) und seiner hohen Zuverlässigkeit. Während die Abmessungen von integrierten Schaltungen in fortschrittlichen Anwendungen von Technologieknoten jedoch fortlaufend auf kleinere Sub-Mikrometer-Größen skaliert werden, wird es zu einer zunehmenden Herausforderung, den Widerstand von Kontaktstöpseln zu verringern, während die Größe der Kontaktstöpsel sinkt. Verbesserte Strukturen und Verfahren zu ihrer Herstellung werden benötigt.A typical contact plug may include tungsten (W) because of its low resistivity (about 5 x 4 μΩ · cm) and its high reliability. However, while the dimensions of integrated circuits in advanced applications of technology nodes are continually scaled to smaller sub-micron sizes, it is becoming increasingly challenging to reduce the resistance of contact plugs as the size of the contact plugs decreases. Improved structures and methods for their production are needed.

Figurenlistelist of figures

Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Figuren gelesen wird. Man beachte, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht im Maßstab gezeichnet sind. In Wirklichkeit können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.

  • 1 zeigt Schnittansichten eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen.
  • 2 bis 9 zeigen Schnittansichten von verschiedenen Zwischenschritten der Herstellung eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen.
  • 10 zeigt einen Verfahrensfluss zur Herstellung eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen.
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying drawings. Note that various features are not drawn to scale in accordance with standard industry practice. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of description.
  • 1 11 shows sectional views of a contact plug in accordance with some embodiments.
  • 2 to 9 12 show sectional views of various intermediate steps in the manufacture of a contact plug, in accordance with some embodiments.
  • 10 shows a process flow for making a contact plug, in accordance with some embodiments.

DETAILLIERTE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale des angegebenen Gegenstands zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann beispielsweise Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmals ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt sein müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und erzwingt als solche keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.The following disclosure provides many different embodiments or examples to implement various features of the claimed subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course these are just examples and should not be limiting. Forming a first feature over or on a second feature in the following description, for example, may include embodiments in which the first and second features are in direct contact, and may also include embodiments in which additional features between the first and second features Feature may be formed so that the first and the second feature need not be in direct contact. In addition, the present disclosure may repeat reference numerals and / or letters in the various examples. This repetition is for simplicity and clarity, and as such does not enforce any relationship between the various described embodiments and / or configurations.

Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und Ähnliche, hier zur Einfachheit der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals mit einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Orientierung umfassen. Die Vorrichtung kann anders orientiert sein (um 90 Grad gedreht oder in einer anderen Orientierung) und die räumlich relativen Begriffe, die hier verwendet werden, können ebenfalls demgemäß interpretiert werden.Further, spatially relative terms such as "below," "below," "lower," "above," "upper," and the like, may be used herein for simplicity of description to describe the relationship of one element or feature to one or more other elements or to describe features as shown in the figures. The spatially relative terms are intended to encompass different orientations of the device being used or operated in addition to the orientation shown in the figures. The device may be oriented differently (rotated 90 degrees or in a different orientation) and the spatially relative terms used herein may also be interpreted accordingly.

Bevor die gezeigten Ausführungsformen speziell behandelt werden, werden Aspekte der vorliegenden Offenbarung allgemein behandelt. Allgemein sehen hier beschriebene Ausführungsformen einen zusammengesetzten Kontaktstöpsel vor. Der zusammengesetzte Kontaktstöpsel umfasst zumindest eine Zwei-Schichten-Struktur, welche beispielsweise eine leitende Kobalt-(Co)- oder Ruthenium-(Ru)-Auskleideschicht auf Seitenwänden und einer Bodenfläche aus einem leitenden Wolfram-(W)-, Ru- oder Co-Kern aufweist. Es wurde herausgefunden, dass eine solche zusammengesetzte Kontaktstöpsel-Struktur herunterskaliert werden kann (z.B. für fortschrittliche Anwendungen von Technologieknoten), während ein niedriger spezifischer Widerstand immer noch beibehalten wird. Ein weiteres vorteilhaftes Merkmal von manchen Ausführungsformen sind die guten Hafteigenschaften. Ausführungsformen, die eine Diffusionsbarriere-Schicht verwenden (die z.B. Tantal (Ta) oder Tantalnitrid (TaN) umfassen), zeigen weiter einen niedrigen Widerstand und eine gute Haftung. Ein weiteres vorteilhaftes Merkmal von manchen Ausführungsformen liegt darin, dass der Zwei-Schichten-Kontaktstöpsel eine hohe Aktivierungsenergie und einen hohen Schmelzpunkt zeigt, was für einen guten Elektromigrations (EM)-Widerstand und eine gute elektrische Leistungsfähigkeit sorgt. Weiter können, indem die Winkel der Seitenwände und/oder die Dicke von verschiedenen Schichten in der Zwei-Schichten-Struktur gesteuert werden, die Belastungs-Charakteristika des Kontaktstöpsels feinabgestimmt werden, gestützt auf das Design der Vorrichtung.Before the illustrated embodiments are specifically treated, aspects of the present disclosure will be discussed in general terms. Generally, embodiments described herein provide an assembled contact plug. Of the Composite contact plugs comprise at least a two-layer structure comprising, for example, a conductive cobalt (Co) or ruthenium (Ru) lining layer on sidewalls and a bottom surface of a tungsten (W), Ru or Co conductive core having. It has been found that such a composite plug structure can be scaled down (eg, for advanced applications of technology nodes) while still maintaining low resistivity. Another advantageous feature of some embodiments is the good adhesive properties. Embodiments using a diffusion barrier layer (eg comprising tantalum (Ta) or tantalum nitride (TaN)) further exhibit low resistance and good adhesion. Another advantageous feature of some embodiments is that the two-layer contact plug exhibits high activation energy and high melting point, providing good electromigration (EM) resistance and good electrical performance. Further, by controlling the angles of the sidewalls and / or the thickness of different layers in the two-layer structure, the loading characteristics of the contact plug can be fine tuned based on the design of the device.

Für allgemein hier beschriebene Ausführungsformen können ein oder mehrere vorteilhafte Merkmale vorgesehen sein, einschließlich niedrigem Widerstand, hoher Aktivierungsenergie, hohem Schmelzpunkt, einstellbarer Belastung und guter Haftung zwischen der Zwei-Schichten-Struktur und der Diffusionsbarriere-Schicht, wie detaillierter mit Bezug auf die gezeigten Ausführungsformen beschrieben wird.For embodiments described generally herein, one or more advantageous features may be provided, including low resistance, high activation energy, high melting point, adjustable load, and good adhesion between the two-layer structure and the diffusion barrier layer, as described in more detail with respect to the illustrated embodiments is described.

Bezieht man sich jetzt auf 1, so ist eine Schnittansicht eines beispielhaften zusammengesetzten Kontaktstöpsels 120 gezeigt. Der Kontaktstöpsel 120 steht mit einem Silizidbereich 104 einer darunter liegenden Struktur elektrisch in Kontakt, etwa einem Silizid-Source/Drain-Bereich oder einer Silizid-Gate-Elektrode. In der gezeigten Ausführungsform ist der Silizid-Bereich 104 ein selbstausgerichtetes Silizid (Salizid, Akronym für self-aligned silicide), das ausgebildet wird, indem ein leitender Film 106 ausgeheilt wird. Der leitende Film kann auf Seitenwänden und einer Bodenfläche des Kontaktstöpsels 120 vor dem Ausheilen angeordnet sein und nach dem Ausheilen können Abschnitte des leitenden Films 106 auf Seitenwänden des Kontaktstöpsels 120 verbleiben. Der übrige leitende Film 106 auf Seitenwänden des Kontaktstöpsels 120 kann daher rühren, dass der leitende Film 106 weniger stark mit dem Material der dielektrischen Schicht 112 reagiert. Darüber hinaus kann in manchen Ausführungsformen ein Teil des leitenden Films 106 auf einer Bodenfläche des Kontaktstöpsels 120 verbleiben, selbst nach dem Ausheilen. In manchen Ausführungsformen kann der leitende Film 106 eine Co-, W-, Titan-(Ti)-, Nickel-(Ni)- und ähnliche leitende Auskleideschicht sein, die verwendet werden kann, um den Silizid-Bereich 104 auszubilden, der TiSix, NiSix, WSix, CoSix und Ähnliches umfasst. Die darunter liegende silizidierte Struktur (z.B. das Substrat 102) kann beispielsweise Silizium (Si), Silizium-Germanium (SiGe), Silizium-Phosphor (SiP), Siliziumkarbid (SiC), Kombinationen daraus und Ähnliches umfassen. In anderen betrachteten Ausführungsformen kann die darunter liegende Struktur auch ein Metall oder ein anderer Leiter sein.Are you referring to yourself now? 1 Figure 9 is a sectional view of an exemplary assembled contact plug 120 shown. The contact plug 120 stands with a silicide area 104 an underlying structure in electrical contact, such as a silicide source / drain region or a silicide gate electrode. In the embodiment shown, the silicide region is 104 a self-aligned silicide (salicide, acronym for self-aligned silicide), which is formed by a conductive film 106 is healed. The conductive film may be on sidewalls and a bottom surface of the contact plug 120 may be arranged before the annealing and after annealing, sections of the conductive film 106 on side walls of the contact plug 120 remain. The rest of the leading film 106 on side walls of the contact plug 120 can therefore stir that of the lead film 106 less strongly with the material of the dielectric layer 112 responding. In addition, in some embodiments, a portion of the conductive film 106 on a bottom surface of the contact plug 120 remain even after healing. In some embodiments, the conductive film may be 106 a Co, W, titanium (Ti), nickel (Ni), and similar conductive liner layer that can be used to form the silicide region 104 comprising TiSi x , NiSi x , WSi x , CoSi x and the like. The underlying silicided structure (eg the substrate 102 ) may include, for example, silicon (Si), silicon germanium (SiGe), silicon phosphorous (SiP), silicon carbide (SiC), combinations thereof, and the like. In other contemplated embodiments, the underlying structure may also be a metal or other conductor.

Wie weiter in 1 gezeigt ist, umfasst der Kontaktstöpsel 120 eine Diffusionsbarriere-Schicht 108 auf Seitenwänden und einer Bodenfläche des Kontaktstöpsels 120. Die Diffusionsbarriere-Schicht 108 kann auf dem leitenden Film 106 angeordnet sein. Der leitende Film 106 kann beispielsweise zwischen der Diffusionsbarriere-Schicht 108 und dem Substrat 102/dem Silizid-Bereich 104 angeordnet sein. In verschiedenen Ausführungsformen kann die Diffusionsbarriere-Schicht ein Material mit relativ niedrigem spezifischem Widerstand umfassen, etwa Ta oder TaN, und die Diffusionsbarriere-Schicht 108 kann auch als Haftschicht für den Kontaktstöpsel 120 dienen.As in further 1 is shown includes the contact plug 120 a diffusion barrier layer 108 on sidewalls and a bottom surface of the contact plug 120 , The diffusion barrier layer 108 can on the leading film 106 be arranged. The leading film 106 For example, between the diffusion barrier layer 108 and the substrate 102 / silicide region 104 be arranged. In various embodiments, the diffusion barrier layer may comprise a relatively low resistivity material, such as Ta or TaN, and the diffusion barrier layer 108 Can also be used as an adhesive layer for the contact plug 120 serve.

Der Kontaktstöpsel 120 umfasst weiter eine Zwei-Schichten-Struktur 110. Die Diffusionsbarriere-Schicht 108 ist auf Seitenwänden und einer Bodenfläche der Zwei-Schichten-Struktur 110 angeordnet. In verschiedenen Ausführungsformen kann die Diffusionsbarriere-Schicht 108 die Diffusion des leitenden Materials der Zwei-Schichten-Struktur 110 in die umgebenden Vorrichtungsmerkmale (z.B. die dielektrische Schicht 112) verringern oder verhindern. Die gezeigte Zwei-Schichten-Struktur 110 umfasst einen leitenden Kern 110a und eine leitende Auskleideschicht 110b, die auf Seitenwänden und einer Bodenfläche des leitenden Kerns 110a angeordnet ist. Die leitende Auskleideschicht 110b kann beispielsweise Co oder Ru umfassen und der leitende Kern 110a kann W, Co oder Ru umfassen. Das leitende Material des leitenden Kerns 110a und der leitenden Auskleideschicht 110b kann jedoch verschiedene Materialien umfassen. Verschiedene Ausführungsformen können beispielsweise eine Zwei-Schichten-Struktur 110 umfassen, die eine leitende Co- oder Ru-Auskleideschicht 110b mit einem leitenden W-Kern 110a hat, eine leitende Co-Auskleideschicht 110b mit einem leitenden Ru-Kern 110a oder eine leitende Ru-Auskleideschicht 110b mit einem leitenden Co-Kern 110a.The contact plug 120 further includes a two-layer structure 110 , The diffusion barrier layer 108 is on sidewalls and a bottom surface of the two-layer structure 110 arranged. In various embodiments, the diffusion barrier layer 108 the diffusion of the conductive material of the two-layer structure 110 into the surrounding device features (eg, the dielectric layer 112 ) reduce or prevent. The shown two-layer structure 110 includes a conductive core 110a and a conductive lining layer 110b placed on sidewalls and a bottom surface of the conductive core 110a is arranged. The conductive lining layer 110b may include, for example, Co or Ru and the conductive core 110a may include W, Co or Ru. The conductive material of the conductive core 110a and the conductive liner layer 110b however, may include various materials. For example, various embodiments may be a two-layer structure 110 include a conductive Co or Ru lining layer 110b with a conductive W-core 110a has, a senior co-dressing layer 110b with a conductive Ru core 110a or a conductive Ru lining layer 110b with a conductive co-core 110a ,

Es wurde herausgefunden, dass die obigen Kombinationen von leitenden Materialien für die Zwei-Schichten-Struktur 110 aufgrund von ähnlichen Charakteristika des spezifischen Widerstands geeignet sind. Co hat beispielsweise einen spezifischen Widerstand von 62,4 nΩ·m, W hat einen spezifischen Widerstand von 56,0 nΩ·m und Ru hat einen spezifischen Widerstand von 71,0 nΩ·m. Die Verwendung von Co oder Ru für die leitende Auskleideschicht 110a sorgt für eine gute Haftung (z.B. kann die leitende Auskleideschicht 110a als Haftschicht wirken) und verringert die Diffusion des Materials des leitenden Kerns 110a (z.B. W in manchen Ausführungsformen) in die umgebenden Vorrichtungsschichten. Somit kann Ta oder TaN, die vorteilhaft einen niedrigen spezifischen Widerstand haben, wirksam als eine zweite Diffusionsbarriere-Schicht verwendet werden, um die Diffusion der Materialien der Zwei-Schichten-Struktur 110 zu verringern.It has been found that the above combinations of conductive materials for the two-layer structure 110 due to similar characteristics of resistivity. For example, Co has a specific one Resistance of 62.4 nΩ · m, W has a resistivity of 56.0 nΩ · m, and Ru has a resistivity of 71.0 nΩ · m. The use of Co or Ru for the conductive lining layer 110a ensures good adhesion (eg, the conductive lining layer 110a act as an adhesive layer) and reduces the diffusion of the material of the conductive core 110a (eg, W in some embodiments) into the surrounding device layers. Thus, Ta or TaN, which advantageously have a low resistivity, can be effectively used as a second diffusion barrier layer to facilitate diffusion of the materials of the two-layer structure 110 to reduce.

Weiter hat in manchen Ausführungsformen die Diffusionsbarriere-Schicht 106 eine Dicke T1 von etwa 0,5 nm bis etwa 10 nm. Die leitende Auskleideschicht 110b hat eine Dicke T2 entlang dem Boden des Kontaktstöpsels 120 und eine Dicke T3 entlang Seitenwänden des Kontaktstöpsels 120. In manchen Ausführungsformen kann die Dicke T2 etwa 10 nm bis etwa 200 nm sein und die Dicke T3 kann 1,0 nm bis etwa 20 nm sein. Der leitende Kern 110a hat eine Dicke T4 (z.B. gemessen von einer oberen Fläche zu einer unteren Fläche) von etwa 10 nm bis etwa 200 nm. Die Gesamthöhe des Kontaktstöpsels 120 (gemessen von einer oberen Fläche zu einer unteren Fläche oder Dicke T1 plus Dicke T2 plus Dicke T4 in 1) ist etwa 50 nm bis etwa 200 nm in den gezeigten Ausführungsformen. Die Dicke von sowohl dem leitenden Kern 110a als auch der leitenden Auskleideschicht 110b kann größer als die Dicke der Diffusionsbarriere-Schicht 106 sein (z.B. können die Dicken T4 und T2 beide größer als die Dicke T1 sein). In den verschiedenen Ausführungsformen können die Seitenwand-Winkel von verschiedenen Schichten in dem zusammengesetzten Kontaktstöpsel 120 und/oder die Dicken T1, T2, T3 und/oder T4 so ausgewählt sein, dass angestrebte Belastungs-Charakteristika bereitgestellt werden, gestützt auf das Design der Vorrichtung. Es wurde beispielsweise beobachtet, das die Beweglichkeit von Elektronenlöchern und/oder Strom des Silizid-Bereichs 104 beeinflusst werden kann, gestützt auf die Belastungs-Charakteristika des Kontaktstöpsels 120, und dass solche Belastungs-Charakteristika feinabgestimmt werden können, indem geeignete Seitenwand-Winkel und/oder relative Dicken für verschiedene Schichten (z.B. die Diffusionsbarriere-Schicht 106, die leitende Auskleideschicht 110b und/oder den leitenden Kern 110a) in dem Kontaktstöpsel 120 ausgewählt werden.Further, in some embodiments, the diffusion barrier layer 106 a thickness T1 from about 0.5 nm to about 10 nm. The conductive lining layer 110b has a thickness T2 along the bottom of the contact plug 120 and a thickness T3 along side walls of the contact plug 120 , In some embodiments, the thickness T2 about 10 nm to about 200 nm and the thickness T3 may be from 1.0 nm to about 20 nm. The guiding core 110a has a thickness T4 (eg, measured from an upper surface to a lower surface) from about 10 nm to about 200 nm. The overall height of the contact plug 120 (measured from an upper surface to a lower surface or thickness T1 plus thickness T2 plus thickness T4 in 1 ) is about 50 nm to about 200 nm in the embodiments shown. The thickness of both the conductive core 110a as well as the conductive lining layer 110b may be greater than the thickness of the diffusion barrier layer 106 be (eg the thicknesses T4 and T2 both larger than the thickness T1 his). In the various embodiments, the sidewall angles of different layers in the assembled contact plug 120 and / or the thicknesses T1 . T2 . T3 and or T4 be selected to provide desired load characteristics based on the design of the device. For example, it has been observed that the mobility of electron holes and / or current of the silicide region 104 can be influenced based on the load characteristics of the contact plug 120 and that such stress characteristics can be fine-tuned by having appropriate sidewall angles and / or relative thicknesses for different layers (eg, the diffusion barrier layer 106 , the conductive lining layer 110b and / or the conductive core 110a) in the contact plug 120 to be selected.

Alle hier offenbarten Abmessungen dienen nur als Beispiele und sollen nicht einschränkend wirken. Es ist vorgesehen, dass andere Strukturen und Verfahren, die Schichten und Merkmale dieser Abmessungen sowie anderer Abmessungen verwenden, einem Fachmann klar werden, sobald er die vorliegende Offenbarung liest - und dass solche anderen Strukturen, Verfahren und Abmessungen möglich sind.All dimensions disclosed herein are by way of example only and are not intended to be limiting. It is intended that other structures and methods using layers and features of these dimensions, as well as other dimensions, become apparent to those skilled in the art as soon as he reads the present disclosure - and that such other structures, methods, and dimensions are possible.

2 bis 9 zeigen Schnittansichten von verschiedenen Zwischenstufen der Herstellung eines Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen. 2 zeigt einen Die 100 mit einem Substrat 102 und einer dielektrischen Schicht 112, die über dem Substrat 102 angeordnet ist. In nachfolgenden Verfahrensschritten kann ein zusammengesetzter Kontaktstöpsel 120 in der dielektrischen Schicht 112 ausgebildet werden, um mit dem darunter liegenden Substrat 102 elektrisch verbunden zu werden. Das Substrat 102 kann beispielsweise ein Source/Drain-Bereich oder eine Gate-Elektrode einer aktiven Vorrichtung sein (z.B. eines Transistors). Das Substrat 102 kann beispielsweise ein Bulk-Siliziumsubstrat sein, dotiert oder undotiert, oder eine aktive Schicht eines Halbleiter-auf-Isolator-(SOI)-Substrats. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus Halbleitermaterial, etwa Silizium, das auf einer Isolierschicht ausgebildet ist. Die Isolierschicht kann beispielsweise eine vergrabene Oxid-(BOX)-Schicht oder eine Siliziumoxid-Schicht sein. Die Isolierschicht ist auf einem Substrat vorgesehen, etwa einem Silizium- oder Glas-Substrat. Alternativ kann das Substrat 102 einen anderen Elementhalbleiter umfassen, etwa Germanium; einen Verbindungshalbleiter einschließlich SiC, Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumphosphid (InP), Indiumarsenid (InAs) und/oder Indiumantimonid (InSb); einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen daraus. Andere Substrate, etwa Mehrschicht- oder Gradientensubstrate können auch verwendet werden. Weiter kann das Substrat 120 auch ein Polysilizium, ein Metall oder ein anderes leitendes Material umfassen. 2 to 9 12 show sectional views of various intermediate stages of making a contact plug, in accordance with some embodiments. 2 shows a die 100 with a substrate 102 and a dielectric layer 112 that over the substrate 102 is arranged. In subsequent process steps, a composite contact plug 120 in the dielectric layer 112 be trained to work with the underlying substrate 102 to be electrically connected. The substrate 102 For example, it may be a source / drain region or a gate electrode of an active device (eg, a transistor). The substrate 102 For example, it may be a bulk silicon substrate, doped or undoped, or an active layer of a semiconductor on insulator (SOI) substrate. In general, an SOI substrate comprises a layer of semiconductor material, such as silicon, formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer or a silicon oxide layer. The insulating layer is provided on a substrate, such as a silicon or glass substrate. Alternatively, the substrate 102 comprise another elemental semiconductor, such as germanium; a compound semiconductor including SiC, gallium arsenide (GaAs), gallium phosphide (GaP), indium phosphide (InP), indium arsenide (InAs) and / or indium antimonide (InSb); an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP and / or GaInAsP; or combinations thereof. Other substrates, such as multilayer or gradient substrates may also be used. Next, the substrate 120 Also include a polysilicon, a metal or other conductive material.

Eine dielektrische Schicht 112 ist über dem Substrat 102 angeordnet. In verschiedenen Ausführungsformen kann die dielektrische Schicht 112 eine erste dielektrische Zwischenschicht (ILD)/Zwischenmetallisierungs-(IMD)-Schicht sein. Die dielektrische Schicht 112 kann beispielsweise aus einem Low-k-Dielektrikum mit einem k-Wert von weniger als etwa 4,0 oder sogar etwa 2,8 ausgebildet sein. In manchen Ausführungsformen kann die dielektrische Schicht 112 Phosphorsilikatglas (PSG), Borphosphorsilikatglas (BPSG), FSG, SiOxCy, Spin-On-Glas, Spin-On-Polymere, Silizium-Kohlenstoff-Material, Verbindungen daraus, Verbundmaterialien daraus, Kombinationen daraus oder Ähnliches umfassen, das durch jedes geeignete Verfahren abgeschieden wird, etwa Rotationsbeschichtung, chemischer Gasphasenabscheidung (CVD), CVD im Plasma (PECVD). Die dielektrische Schicht 112 kann auch mehrere Schichten umfassen, etwa Isolierschichten, Haftschichten, Pufferschichten und Ähnliches.A dielectric layer 112 is above the substrate 102 arranged. In various embodiments, the dielectric layer 112 a first interlayer dielectric (ILD) / intermetallization (IMD) layer. The dielectric layer 112 For example, it may be formed of a low-k dielectric having a k-value of less than about 4.0 or even about 2.8. In some embodiments, the dielectric layer 112 Phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), FSG, SiOxCy, spin on glass, spin on polymers, silicon carbon material, compounds thereof, composites thereof, combinations thereof or the like deposited by any suitable method such as spin coating, chemical vapor deposition (CVD), plasma CVD (PECVD). The dielectric layer 112 may also include multiple layers, such as insulating layers, subbing layers, buffer layers, and the like.

Wie weiter in 2 gezeigt ist, ist ein strukturiertes Photoresist 114 über der dielektrischen Schicht 112 angeordnet. Das Photoresist 114 kann als homogene Schicht über der dielektrischen Schicht 112 mittels eines Spin-On-Verfahrens, eines Laminierverfahrens oder Ähnlichem abgeschieden werden. Als nächstes können Abschnitte des Photoresist 114 mittels einer Photomaske (nicht gezeigt) belichtet werden. Belichtete oder unbelichtete Abschnitte des Photoresist 114 werden dann entfernt, abhängig davon, ob ein negativer oder ein positiver Resist verwendet wird, was Öffnungen 116 erzeugt, die sich durch das Photoresist 114 erstrecken. As in further 2 is shown is a patterned photoresist 114 over the dielectric layer 112 arranged. The photoresist 114 can as a homogeneous layer over the dielectric layer 112 deposited by a spin-on method, a lamination method or the like. Next, sections of the photoresist 114 be exposed by means of a photomask (not shown). Exposed or unexposed portions of the photoresist 114 are then removed, depending on whether a negative or a positive resist is used, which openings 116 generated by the photoresist 114 extend.

Wie in 3 gezeigt ist, kann die dielektrische Schicht 112 mittels des Photoresist 114 als Strukturiermaske strukturiert werden. Trocken- und/oder Nassätztechniken können beispielsweise verwendet werden, um Abschnitte der dielektrischen Schicht 112 zu ätzen, die durch die Öffnung 116 freigelegt sind. Das Ätzen erweitert die Öffnung 116 durch die dielektrische Schicht 112. Die Öffnung 116 kann einen Bereich des darunter liegenden Substrats 102 freilegen, etwa einen Source/Drain-Bereich, eine Gate-Elektrode und Ähnliches. Nachfolgend wird das Photoresist 114 beispielsweise durch Plasmaätz- (engl. „ashing“) und/oder nasse Abziehverfahren („wet strip“) entfernt. Obwohl nur eine Öffnung 116 gezeigt ist, kann jede Anzahl von Öffnungen in der dielektrischen Schicht 112 strukturiert sein (z.B. unter Verwendung einer Kombination aus Photolithographie und Ätzen), abhängig von dem Design der Vorrichtung.As in 3 is shown, the dielectric layer 112 by means of the photoresist 114 be structured as Strukturiermaske. For example, dry and / or wet etching techniques may be used to form portions of the dielectric layer 112 to etch through the opening 116 are exposed. The etching widens the opening 116 through the dielectric layer 112 , The opening 116 may be an area of the underlying substrate 102 expose, such as a source / drain region, a gate electrode and the like. Subsequently, the photoresist 114 For example, by plasma etching ("ashing") and / or wet stripping method ("wet strip") removed. Although only one opening 116 can be any number of openings in the dielectric layer 112 structured (eg using a combination of photolithography and etching), depending on the design of the device.

In manchen Ausführungsformen können andere Schichten in dem Strukturierverfahren verwendet werden. Eine oder mehrere Hartmasken (nicht gezeigt) können beispielsweise auf der dielektrischen Schicht 112 vor dem Ausbilden des Photoresist 114 ausgebildet werden; in diesen Ausführungsformen wird die Struktur von dem Photoresist 114 zuerst der einen oder mehreren Hartmasken aufgeprägt und die strukturierten Hartmasken werden beim Strukturieren der dielektrischen Schicht 112 verwendet. Im Allgemeinen können eine oder mehrere Hartmaskenschichten in Ausführungsformen nützlich sein, in denen das Ätzverfahren eine Maskierung erfordert, zusätzlich zu der Maskierung, die von dem Photoresist-Material bereitgestellt wird. Während eines nachfolgenden Ätzverfahrens, um die dielektrische Schicht 112 zu strukturieren, wird die strukturierte Photoresistmaske auch geätzt, obwohl die Ätzrate des Photoresist-Materials nicht so hoch sein muss wie die Ätzrate der dielektrischen Schicht 112. Wenn das Ätzverfahren so vorgesehen ist, dass die strukturierte Photoresistmaske verbraucht werden kann, bevor das Ätzverfahren auf der dielektrischen Schicht 112 beendet ist, kann eine zusätzliche Hartmaske verwendet werden. Das Material der Hartmaskenschicht oder -schichten ist so ausgewählt, dass die eine oder mehreren Hartmaskenschichten eine niedrigere Ätzrate zeigen als die darunter liegenden Materialien, etwa die Materialien der dielektrischen Schicht 112.In some embodiments, other layers may be used in the patterning process. One or more hard masks (not shown) may be on the dielectric layer, for example 112 before forming the photoresist 114 be formed; In these embodiments, the structure of the photoresist 114 first impressed on the one or more hard masks and the patterned hard masks are used in patterning the dielectric layer 112 used. In general, one or more hardmask layers may be useful in embodiments in which the etching process requires masking in addition to the masking provided by the photoresist material. During a subsequent etching process, around the dielectric layer 112 To pattern, the patterned photoresist mask is also etched, although the etch rate of the photoresist material need not be as high as the etch rate of the dielectric layer 112 , When the etching process is provided so that the patterned photoresist mask can be consumed before the etching process on the dielectric layer 112 is finished, an additional hardmask can be used. The material of the hard mask layer or layers is selected such that the one or more hard mask layers exhibit a lower etch rate than the underlying materials, such as the materials of the dielectric layer 112 ,

4 zeigt das Ausbilden eines leitenden Films 106 auf Seitenwänden und einer Bodenfläche der Öffnung 116. Der leitende Film 106 kann weiter über der dielektrischen Schicht 112 angeordnet sein. Der leitende Film 106 kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Der leitende Film 106 kann ein geeignetes leitendes Material mit einer geeigneten Dicke umfassen, um einen Silizid-Bereich auf oberen Abschnitten des Substrats 102 (z.B. den Silizid-Bereich 104) in nachfolgenden Verfahrensschritten auszubilden. In manchen Ausführungsformen kann der leitende Film 106 beispielsweise W, Co, Ti, Ni und Ähnliches umfassen, mit einer Dicke von etwa 3,0 bis etwa 25 nm. In manchen Ausführungsformen kann das Material des leitenden Films 106 weiter so ausgewählt sein, dass die Gesamtzahl von Prozesskammern verringert wird, die benötigt wird, um den Kontaktstöpsel 120 auszubilden. Wenn der leitende Film 106 und der leitende Kern 110a beispielsweise beide W umfassen, kann die gleiche Prozesskammer wiederverwendet werden, um unterschiedliche Abschnitte des Kontaktstöpsels 120 auszubilden. 4 shows the formation of a conductive film 106 on side walls and a bottom surface of the opening 116 , The leading film 106 can continue over the dielectric layer 112 be arranged. The leading film 106 can be deposited by any suitable method, such as a physical vapor deposition (PVD) method, a CVD method, an atomic layer deposition (ALD) method, and the like. The leading film 106 may comprise a suitable conductive material of a suitable thickness to form a silicide region on upper portions of the substrate 102 (eg the silicide area 104 ) in subsequent process steps. In some embodiments, the conductive film may be 106 For example, W, Co, Ti, Ni, and the like, having a thickness of about 3.0 to about 25 nm. In some embodiments, the material of the conductive film 106 further selected to reduce the total number of process chambers needed to make the contact plug 120 train. When the lead movie 106 and the guiding core 110a For example, both include W, the same process chamber can be reused to different sections of the contact plug 120 train.

Als nächstes wird in 5 eine Diffusionsbarriere-Schicht 108 auf dem leitenden Film 106 ausgebildet. Die Diffusionsbarriere-Schicht 108 kann auf Seitenwänden und einer Bodenfläche der Öffnung 116 angeordnet sein. Die Diffusionsbarriere-Schicht 108 kann ein Material mit niedrigem spezifischem Widerstand umfassen, etwa Ta oder TaN, und die Diffusionsbarriere-Schicht 108 kann eine Dicke T1 von etwa 0,5 nm bis etwa 10 nm haben. Die Diffusionsbarriere-Schicht 108 kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Die Diffusionsbarriere-Schicht 108 kann beispielsweise durch ein ALD-Verfahren unter geeigneten Prozessbedingungen (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 350°C) mittels Pentakis(dimethylamino)tantal (PDMAT) als chemischem Vorprodukt ausgebildet werden.Next will be in 5 a diffusion barrier layer 108 on the leading film 106 educated. The diffusion barrier layer 108 can on sidewalls and one Bottom surface of the opening 116 be arranged. The diffusion barrier layer 108 may comprise a low resistivity material, such as Ta or TaN, and the diffusion barrier layer 108 can be a thickness T1 from about 0.5 nm to about 10 nm. The diffusion barrier layer 108 can be deposited by any suitable method, such as a physical vapor deposition (PVD) method, a CVD method, an atomic layer deposition (ALD) method, and the like. The diffusion barrier layer 108 For example, by an ALD process under suitable process conditions (eg at a process temperature of about 100 ° C to about 350 ° C) using pentakis (dimethylamino) tantalum (PDMAT) can be formed as a chemical precursor.

6 und 7 zeigen das Ausbilden einer Zwei-Schichten-Struktur 110 in dem Kontaktstöpsel 120, in Übereinstimmung mit manchen Ausführungsformen. Bezieht man sich zuerst auf 6, so wird eine leitende Auskleideschicht 110b der Zwei-Schichten-Struktur 110 auf der Diffusionsbarriere-Schicht 108 ausgebildet. Die leitende Auskleideschicht 110b kann auf Seitenwänden und einer Bodenfläche der Öffnung 116 angeordnet sein. In manchen Ausführungsformen kann die leitende Auskleideschicht 110b Co oder Ru umfassen. Die leitende Auskleideschicht 110b kann eine Dicke T2 auf einer Bodenfläche der Öffnung 116 und eine Dicke T3 auf Seitenwänden der Öffnung 116 aufweisen. In manchen Ausführungsformen kann die Dicke T2 etwa 10 nm bis etwa 200 nm betragen und die Dicke T3 kann etwa 1,0 nm bis etwa 20 nm betragen. Die leitende Auskleideschicht 110b kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Die speziellen verwendeten Prozessbedingungen können variieren, abhängig von dem Material der leitenden Auskleideschicht 110b. Wenn die leitende Auskleideschicht 110b beispielsweise Co umfasst, kann ein ALD- oder CVD-Verfahren mittels C12H10O6Co2 (z.B. bei einer Prozesstemperatur von etwa 90°C bis etwa 350°C), Bis-Cyclopentadienyl-Co (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 500°C) oder Cyclopentadienyl-Dicarbonyl-Kobalt (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 500°C) als chemischen Vorprodukten verwendet werden. Als weiteres Beispiel kann, wenn die leitende Auskleideschicht 110b Ru umfasst, die leitende Auskleideschicht 110b durch eine ALD- oder CVD-Verfahren unter geeigneten Prozessbedingungen (z.B. bei einer Prozesstemperatur von etwa 100°C bis etwa 500°C) mittels Ru(2-Pentanedionat oder 4-Pentanedionat)3, RU3CO12 oder Ru(C5H5)2 als chemischen Vorprodukten ausgebildet werden. 6 and 7 show the formation of a two-layer structure 110 in the contact plug 120 in accordance with some embodiments. First refer to 6 so becomes a conductive lining layer 110b the two-layer structure 110 on the diffusion barrier layer 108 educated. The conductive lining layer 110b Can be on sidewalls and a bottom surface of the opening 116 be arranged. In some embodiments, the conductive liner layer 110b Co or Ru include. The conductive lining layer 110b can be a thickness T2 on a bottom surface of the opening 116 and a thickness T3 on sidewalls of the opening 116 respectively. In some embodiments, the thickness T2 about 10 nm to about 200 nm and the thickness T3 may be about 1.0 nm to about 20 nm. The conductive lining layer 110b can be deposited by any suitable method, such as a physical vapor deposition (PVD) method, a CVD method, an atomic layer deposition (ALD) method, and the like. The particular process conditions used may vary depending on the material of the conductive lining layer 110b , When the conductive lining layer 110b For example, Co may include an ALD or CVD method using C 12 H 10 O 6 Co 2 (eg at a process temperature of about 90 ° C to about 350 ° C), bis-cyclopentadienyl-Co (eg at a process temperature of about 100 ° C to about 500 ° C) or cyclopentadienyl dicarbonyl cobalt (eg at a process temperature of about 100 ° C to about 500 ° C) are used as chemical precursors. As another example, if the conductive lining layer 110b Ru covers, the conductive lining layer 110b by an ALD or CVD process under suitable process conditions (eg at a process temperature of about 100 ° C to about 500 ° C) using Ru (2-pentanedionate or 4-pentanedionate) 3 , RU 3 CO 12 or Ru (C 5 H 5 ) 2 are formed as chemical precursors.

Als nächstes kann, in 7, der leitende Kern 110a der Zwei-Schichten-Struktur 110 angeordnet werden, um verbleibende Abschnitte der Öffnung 116 zu füllen. Der leitende Kern 110a kann weiter die Öffnung 116 überfüllen und eine obere Fläche der leitenden Auskleideschicht 110b bedecken. In manchen Ausführungsformen kann der leitende Kern 110a Co, Ru oder W umfassen. Die Materialien der leitenden Auskleideschicht 110b und des leitenden Kerns 110a können sich unterscheiden. Verschiedene Ausführungsformen der Zwei-Schichten-Struktur 110 können beispielsweise eine leitende Co-Auskleideschicht 110b mit einem leitenden W-Kern 110a, eine leitende Ru-Auskleideschicht 110b mit einem leitenden W-Kern 110a, eine leitende Ru-Auskleideschicht 110b mit einem leitenden Co-Kern 110a oder eine leitende Co-Auskleideschicht 110b mit einem leitenden Ru-Kern 110a umfassen. Der leitende Kern 110a kann mittels jedes geeigneten Verfahrens abgeschieden werden, etwa eines physikalischen Gasphasenabscheidungs-(PVD)-Verfahrens, eines CVD-Verfahrens, eines Atomlagenabscheidungs-(ALD)-Verfahrens und Ähnlichem. Es wurde herausgefunden, dass die obigen Kombinationen von leitenden Materialien für die Zwei-Schichten-Struktur 110 aufgrund der ähnlichen Charakteristika des spezifischen Widerstands von Co, Ru und W geeignet sind. Des Weiteren stellt die Verwendung von Co oder Ru für die leitende Auskleideschicht 110b eine gute Haftung bereit (z.B. kann die leitende Auskleideschicht 110b als Haftschicht wirken) und verringert die Diffusion von Material des leitenden Kerns 110a (z.B. kann die leitende Auskleideschicht 110b auch als Diffusionsbarriere-Schicht dienen). Somit kann ein Material mit niedrigem spezifischem Widerstand (z.B. Ta oder TaN) für die Diffusionsbarriere-Schicht 108 verwendet werden, was die Diffusion der Materialien der Zwei-Schichten-Struktur 110 in die umgebenden Vorrichtungsschichten verringert. Somit ist die Zwei-Schichten-Struktur 110 in der dielektrischen Schicht 112 ausgebildet.Next, in, can 7 , the conductive core 110a the two-layer structure 110 be arranged to remaining portions of the opening 116 to fill. The guiding core 110a can continue the opening 116 overfill and an upper surface of the conductive lining layer 110b cover. In some embodiments, the conductive core 110a Co, Ru or W include. The materials of the conductive lining layer 110b and the leading core 110a can differ. Various embodiments of the two-layer structure 110 For example, a conductive co-coating layer 110b with a conductive W-core 110a , a senior Ru lining layer 110b with a conductive W-core 110a , a senior Ru lining layer 110b with a conductive co-core 110a or a conductive co-coating layer 110b with a conductive Ru core 110a include. The guiding core 110a can be deposited by any suitable method, such as a physical vapor deposition (PVD) method, a CVD method, an atomic layer deposition (ALD) method, and the like. It has been found that the above combinations of conductive materials for the two-layer structure 110 due to the similar characteristics of resistivity of Co, Ru and W. Furthermore, the use of Co or Ru represents the conductive lining layer 110b good adhesion ready (eg, the conductive lining layer 110b act as an adhesive layer) and reduces the diffusion of material of the conductive core 110a (eg, the conductive lining layer 110b also serve as a diffusion barrier layer). Thus, a low resistivity material (eg, Ta or TaN) may be used for the diffusion barrier layer 108 used, which is the diffusion of the materials of the two-layer structure 110 reduced in the surrounding device layers. Thus, the two-layer structure 110 in the dielectric layer 112 educated.

Bezieht man sich als nächstes auf 8, wird ein Silizid-Bereich 104 auf einem oberen Abschnitt des Substrats 102 (z.B. ein Abschnitt des Substrats 102 in physischem Kontakt mit dem leitenden Film 106) ausgebildet. Der Silizid-Bereich 104 kann ausgebildet werden, indem das leitende Material des leitenden Films 106 in obere Abschnitt des Substrats 102 diffundiert wird. Ein Ausheilverfahren kann beispielsweise bei einer Temperatur von etwa 100°C bis etwa 900°C mittels Argon (Ar) oder Stickstoff (N2) als Prozessgas unter einem Atmosphärendruck von etwa 770 Torr bis etwa 850 Torr (1,00 Torr = 1,33 mbar) ausgeführt werden. Nach dem Ausheilen können untere Abschnitte des leitenden Films 106 in das Substrat 102 diffundiert werden, während Abschnitte des leitenden Films 106 auf Seitenwänden des Kontaktstöpsels 120 verbleiben können. In manchen Ausführungsformen kann ein Teil des leitenden Films 106 auf einer Bodenfläche des Kontaktstöpsels 120 verbleiben (z.B. müssen Bodenabschnitte des leitenden Films 106 nicht vollständig in obere Abschnitte des Substrats 102 diffundieren). Alternativ kann das Material des Substrats 102 (z.B. Silizium) in den leitenden Film 106 diffundieren, um den Silizid-Bereich 104 auszubilden. Das Diffundieren des leitenden Materials des leitenden Films 106 kann die Leitfähigkeit der betroffenen Bereiche des Substrats 102 erhöhen, wodurch ein geeigneterer Kontaktbereich (d.h. der Silizid-Bereich 104) ausgebildet wird, damit der Kontaktstöpsel 120 mit ihm elektrisch verbunden werden kann.Refer to next 8th , becomes a silicide area 104 on an upper portion of the substrate 102 (eg a section of the substrate 102 in physical contact with the leading film 106 ) educated. The silicide area 104 can be formed by the conductive material of the conductive film 106 in upper portion of the substrate 102 is diffused. An annealing process can be carried out, for example, at a temperature of about 100 ° C. to about 900 ° C. by means of argon (Ar) or nitrogen ( N2 ) as a process gas under an atmospheric pressure of about 770 Torr to about 850 Torr ( 1 , 00 Torr = 1.33 mbar). After curing, lower portions of the conductive film 106 in the substrate 102 be diffused while portions of the conductive film 106 on side walls of the contact plug 120 can remain. In some embodiments, a portion of the conductive film 106 on a bottom surface of the contact plug 120 remain (eg bottom sections of the conductive film 106 not completely into upper sections of the substrate 102 diffuse). Alternatively, the material of the substrate 102 (eg silicon) in the conductive film 106 diffuse to the silicide area 104 train. Diffusing the conductive material of the conductive film 106 Can the conductivity of the affected areas of the substrate 102 increase, thereby providing a more suitable contact area (ie the silicide area 104 ) is formed so that the contact plug 120 can be electrically connected to him.

Nachfolgend kann ein Planarisierungsverfahren (z.B. ein chemisch-mechanisches Polieren (CMP) oder Schleifen) ausgeführt werden, um überschüssiges Material (z.B. den leitenden Film 106, die Diffusionsbarriere-Schicht 108 und die Zwei-Schichten-Struktur 110) von einer oberen Fläche der dielektrischen Schicht 112 zu entfernen. Andere Zurückätztechniken können auch angewendet werden. Somit ist ein zusammengesetzter Kontaktstöpsel 120 in der dielektrischen Schicht 112 ausgebildet. Der zusammengesetzte Kontaktstöpsel kann einen leitenden Film 106, eine Diffusionsbarriere-Schicht 108 und eine Zwei-Schichten-Struktur 110 umfassen. Die Zwei-Schichten-Struktur 110 umfasst einen leitenden Kern 110a (der z.B. Co, Ru oder W umfasst) und eine leitende Auskleideschicht 110b (die z.B. Co oder Ru umfasst) auf Seitenwänden und einer Bodenfläche des leitenden Kerns 110a.Subsequently, a planarization process (eg, chemical mechanical polishing (CMP) or grinding) can be performed to remove excess material (eg, the conductive film 106 , the diffusion barrier layer 108 and the two-layer structure 110 ) from an upper surface of the dielectric layer 112 to remove. Other back etch techniques can also be used. Thus, a composite contact plug 120 in the dielectric layer 112 educated. The composite contact plug may be a conductive film 106 , a diffusion barrier layer 108 and a two-layer structure 110 include. The two-layer structure 110 includes a conductive core 110a (which includes, for example, Co, Ru or W) and a conductive liner layer 110b (which includes, for example, Co or Ru) on sidewalls and a bottom surface of the conductive core 110a ,

10 zeigt einen Verfahrensfluss 200 zum Ausbilden eines zusammengesetzten Kontaktstöpsels, in Übereinstimmung mit manchen Ausführungsformen. Beginnt man bei Schritt 202, wird eine Öffnung in einer dielektrischen Schicht (z.B. der dielektrischen Schicht 112) beispielsweise mittels einer Kombination von Photolithographie und Ätzen strukturiert. Die Öffnung kann einen darunter liegenden Substratbereich (z.B. das Substrat 102) für eine elektrische Verbindung freilegen, etwa einen Source/Drain-Bereich oder eine Gate-Elektrode. Als nächstes wird in Schritt 204 ein leitender Film (z.B. der leitende Film 106, der Co, W, Ti, Ni und Ähnliches umfasst) auf Seitenwänden und einer Bodenfläche der Öffnung abgeschieden. Der leitende Film kann in einem nachfolgenden Verfahrensschritt (z.B. Schritt 210) verwendet werden, um einen Silizid-Bereich auszubilden; daher kann in manchen Ausführungsformen der leitende Film den freiliegenden Abschnitt des darunter liegenden Substrats berühren. 10 shows a process flow 200 for forming a composite contact plug, in accordance with some embodiments. Begins at step 202 , an opening in a dielectric layer (eg, the dielectric layer 112 ) structured, for example, by means of a combination of photolithography and etching. The opening may include an underlying substrate area (eg, the substrate 102 ) for an electrical connection, such as a source / drain region or a gate electrode. Next will be in step 204 a lead film (eg the lead film 106 comprising Co, W, Ti, Ni and the like) deposited on sidewalls and a bottom surface of the opening. The conductive film may be used in a subsequent process step (eg, step 210 ) can be used to form a silicide region; therefore, in some embodiments, the conductive film may contact the exposed portion of the underlying substrate.

In Schritt 206 kann eine Diffusionsbarriere-Schicht (z.B. die Diffusionsbarriere-Schicht 108) auf dem leitenden Film auf Seitenwänden und einer Bodenfläche der Öffnung ausgebildet werden. Der leitende Film ist beispielsweise zwischen der Diffusionsbarriere-Schicht und dem darunter liegenden Substrat angeordnet. Somit muss die Diffusionsbarriere-Schicht nicht das Ausbilden eines Silizid-Bereichs in einem oberen Abschnitt des darunter liegenden Substrats in nachfolgenden Verfahrensschritten (z.B. Schritt 210) behindern. Die Diffusionsbarriere-Schicht kann ein Material mit niedrigem spezifischem Widerstand umfassen, etwa Ta oder TaN, und in manchen Ausführungsformen kann die Diffusionsbarriere-Schicht weiter gute Hafteigenschaften haben und als Haftschicht verwendet werden. In Schritt 208 wird eine Zwei-Schichten-Struktur (z.B. die Zwei-Schichten-Struktur 110) ausgebildet, um verbleibende Abschnitte der Öffnung zu füllen. Die Diffusionsbarriere-Schicht kann auf Seitenwänden und einer Bodenfläche der Zwei-Schichten-Struktur angeordnet sein, um Diffusion des Materials der Zwei-Schichten-Struktur in umgebende Vorrichtungsschichten (z.B. die dielektrische Schicht) zu verhindern oder zu verringern.In step 206 For example, a diffusion barrier layer (eg, the diffusion barrier layer 108 ) are formed on the conductive film on sidewalls and a bottom surface of the opening. The conductive film is disposed, for example, between the diffusion barrier layer and the underlying substrate. Thus, the diffusion barrier layer does not need to form a silicide region in an upper portion of the underlying substrate in subsequent process steps (eg, steps 210 ). The diffusion barrier layer may comprise a low resistivity material, such as Ta or TaN, and in some embodiments, the diffusion barrier layer may further have good adhesion properties and be used as an adhesive layer. In step 208 becomes a two-layer structure (eg the two-layer structure 110 ) to fill remaining portions of the opening. The diffusion barrier layer may be disposed on sidewalls and a bottom surface of the two-layer structure to prevent or reduce diffusion of the material of the two-layer structure into surrounding device layers (eg, the dielectric layer).

Das Ausbilden der Zwei-Schichten-Struktur kann es umfassen, zuerst eine Co oder Ru umfassende leitende Auskleideschicht (z.B. die leitende Auskleideschicht 110b) auf der Diffusionsbarriere-Schicht auf Seitenwänden und einer Bodenfläche der Öffnung abzuscheiden. Als nächstes wird ein Co, Ru oder W umfassender leitender Kern (z.B. der leitende Kern 110a) abgeschieden, um verbleibende Abschnitte der Öffnung zu füllen. Der leitende Kern und die leitende Auskleideschicht können unterschiedliche Materialien mit ähnlichen Eigenschaften des spezifischen Widerstands umfassen. Verschiedene Ausführungsformen können eine leitende Co- oder Ru-Auskleideschicht mit einem leitenden W-Kern, eine leitende Co-Auskleideschicht mit einem leitenden Ru-Kern oder eine leitende Ru-Auskleideschicht mit einem leitenden Co-Kern umfassen. Die leitende Auskleideschicht kann Diffusion verringern und Haftung verbessern, um die Verwendung eines Materials mit niedrigem spezifischem Widerstand für die Diffusionsbarriere-Schicht zu erleichtern. Weiter können Seitenwand-Winkel und/oder relative Abmessungen (z.B. Dicken, Höhen und Ähnliches) der Diffusionsbarriere-Schicht, der leitenden Auskleideschicht und/oder des leitenden Kerns so ausgewählt werden, dass ein angestrebtes Belastungs-Charakteristikum für den Kontaktstöpsel erreicht wird, das feinabgestimmt werden kann, gestützt auf das Design der Vorrichtung.Forming the two-layer structure may include first forming a conductive lining layer comprising Co or Ru (eg, the conductive liner layer 110b ) on the diffusion barrier layer on sidewalls and a bottom surface of the opening. Next, a Co, Ru or W comprehensive conductive core (eg, the conductive core 110a ) to fill remaining portions of the opening. The conductive core and the conductive liner layer may comprise different materials with similar resistivity properties. Various embodiments may include a conductive Co or Ru liner layer having a conductive W-core, a conductive Co-cladding layer having a Ru conductive core, or a Ru conductive liner layer having a conductive Co core. The conductive liner layer can reduce diffusion and improve adhesion to facilitate the use of a low resistivity material for the diffusion barrier layer. Further, sidewall angles and / or relative dimensions (eg, thicknesses, heights, and the like) of the diffusion barrier layer, conductive liner layer, and / or conductive core may be selected to achieve a desired load characteristic for the contact patch that is fine tuned based on the design of the device.

Nachdem die Öffnung mit den verschiedenen Schichten des Kontaktstöpsels gefüllt wurde, wird ein Silizid-Bereich (z.B. der Silizid-Bereich 104) in einem oberen Abschnitt des darunter liegenden Substrats ausgebildet. Ein Ausheilverfahren kann beispielsweise ausgeführt werden, um das Material des leitenden Films in das darunter liegende Substrat zu diffundieren, um den Silizid-Bereich auszubilden. Der Kontaktstöpsel kann mit dem Silizid-Bereich elektrisch verbunden sein. Schließlich wird, in Schritt 212, eine obere Fläche der dielektrischen Schicht freigelegt, indem überschüssige Materialien von der oberen Fläche mittels eines geeigneten Planarisierungsverfahrens entfernt werden, etwa eines CMP-Verfahrens, eines Schleifverfahrens oder einer weiteren Zurückätztechnik. Somit wird ein zusammengesetzter Kontaktstöpsel (z.B. der Kontaktstöpsel 120), der elektrisch mit einem silizidierten Bereich eines darunter liegenden Substrats verbunden ist, in einer dielektrischen Schicht ausgebildet. In nachfolgenden Verfahrensschritten können verschiedene zusätzliche Verbindungsstrukturen (z.B. Metallisierungsschichten mit leitenden Verbindungen und/oder Durchkontaktierungen) über der dielektrischen Schicht ausgebildet werden. Solche Verbindungsstrukturen verbinden den Kontaktstöpsel elektrisch mit anderen Kontaktstöpseln und/oder aktiven Vorrichtungen, um funktionale Schaltungen auszubilden. Zusätzliche Vorrichtungsmerkmale, etwa Passivierungsschichten, Eingabe/Ausgabe-Strukturen und Ähnliches können auch ausgebildet werden.After the opening has been filled with the various layers of the contact plug, a silicide area (eg, the silicide area 104 ) is formed in an upper portion of the underlying substrate. An annealing process may be performed, for example, to diffuse the material of the conductive film into the underlying substrate to form the silicide region. The contact plug may be electrically connected to the silicide region. Finally, in step 212 exposing an upper surface of the dielectric layer by removing excess materials from the upper surface by a suitable planarization process, such as a CMP process, a grinding process, or another etch-back technique. Thus, a composite contact plug (eg the contact plug 120 ) electrically connected to a silicided area of an underlying substrate is formed in a dielectric layer. In subsequent process steps, various additional interconnect structures (eg, metallization layers with conductive interconnects and / or vias) may be formed over the dielectric layer. Such connection structures electrically connect the contact plug to other contact plugs and / or active devices to form functional circuits. Additional device features such as passivation layers, input / output structures and the like may also be formed.

Verschiedene Ausführungsformen sehen einen zusammengesetzten Kontaktstöpsel vor. Der zusammengesetzte Kontaktstöpsel kann eine Zwei-Schichten-Struktur umfassen, die beispielsweise eine leitende Co- oder Ru-Auskleideschicht auf Seitenwänden und einer Bodenfläche eines leitenden W-, Ru- oder Co-Kerns aufweist. Die leitende Auskleideschicht und der leitende Kern können unterschiedliche leitende Materialien mit ähnlichen Eigenschaften des spezifischen Widerstands aufweisen. Eine Diffusionsbarriere-Schicht, die ein Material mit niedrigem spezifischem Widerstand (z.B. Ta oder TaN) umfasst, kann weiter auf Seitenwänden und einer Bodenfläche des zusammengesetzten Kontaktstöpsels angeordnet sein. Es wurde herausgefunden, dass eine solche zusammengesetzte Kontaktstöpsel-Struktur herunterskaliert werden kann (z.B. für fortschrittliche Anwendungen von Technologieknoten), während niedrige spezifische Widerstands- und gute Haftungseigenschaften beibehalten werden. Ein anderes vorteilhaftes Merkmal mancher Ausführungsformen liegt darin, dass der Zwei-Schichten-Kontaktstöpsel eine hohe Aktivierungsenergie und einen hohen Schmelzpunkt zeigt, was für einen guten Elektromigrations (EM)-Widerstand und eine gute elektrische Leistungsfähigkeit sorgt. Des Weiteren können, indem die Seitenwand-Winkel und/oder die Dicke-Verhältnisse der verschiedenen Schichten in der Zwei-Schichten-Struktur gesteuert werden, die Belastungs-Charakteristika des Kontaktstöpsels feinabgestimmt werden, gestützt auf das Design der Vorrichtung.Various embodiments provide an assembled contact plug. The composite contact plug may comprise a two-layer structure including, for example, a conductive Co or Ru liner layer on sidewalls and a bottom surface of a conductive W, Ru, or Co core. The conductive liner layer and the conductive core may include different conductive materials with similar resistivity characteristics. A diffusion barrier layer comprising a low resistivity material (e.g., Ta or TaN) may be further disposed on sidewalls and a bottom surface of the assembled contact plug. It has been found that such a composite plug structure can be scaled down (e.g., for advanced applications of technology nodes) while maintaining low resistivity and good adhesion properties. Another advantageous feature of some embodiments is that the two-layer contact plug exhibits high activation energy and high melting point, providing good electromigration (EM) resistance and good electrical performance. Furthermore, by controlling the sidewall angles and / or the thickness ratios of the various layers in the two-layer structure, the loading characteristics of the contact plug can be fine tuned based on the design of the device.

In Übereinstimmung mit einer Ausführungsform umfasst ein Kontaktstöpsel eine Zwei-Schichten-Struktur und eine Diffusionsbarriere-Schicht auf einer Seitenwand und einer Bodenfläche der Zwei-Schichten-Struktur. Die Zwei-Schichten-Struktur umfasst einen leitenden Kern und eine leitende Auskleideschicht auf einer Seitenwand und einer Bodenfläche des leitenden Kerns. In einer Ausführungsform des Kontaktstöpsels umfasst die leitende Auskleideschicht Kobalt oder Ruthenium.In accordance with one embodiment, a contact plug comprises a two-layer structure and a diffusion barrier layer on a sidewall and a bottom surface of the two-layer structure. The two-layer structure includes a conductive core and a conductive liner layer on a sidewall and a bottom surface of the conductive core. In one embodiment of the contact plug, the conductive lining layer comprises cobalt or ruthenium.

In Übereinstimmung mit einer anderen Ausführungsform umfasst eine Halbleitervorrichtung eine dielektrische Schicht und einen Kontaktstöpsel, der sich durch die dielektrische Schicht erstreckt. Der Kontaktstöpsel umfasst einen leitenden Kern, eine leitende Auskleideschicht auf Seitenwänden und einer Bodenfläche des leitenden Kerns und eine Diffusionsbarriere-Schicht auf Seitenwänden und einer Bodenfläche der leitenden Auskleideschicht. Die leitende Auskleideschicht umfasst Kobalt oder Ruthenium und die leitende Auskleideschicht ist zwischen der Diffusionsbarriere-Schicht und dem leitenden Kern angeordnet. Die Halbleitervorrichtung umfasst weiter einen Silizid-Bereich unter der dielektrischen Schicht, wobei der Kontaktstöpsel mit dem Silizid-Bereich elektrisch verbunden ist.In accordance with another embodiment, a semiconductor device includes a dielectric layer and a contact plug extending through the dielectric layer. The contact plug includes a conductive core, a conductive liner layer on sidewalls and a bottom surface of the conductive core, and a diffusion barrier layer on sidewalls and a bottom surface of the conductive liner layer. The conductive liner layer comprises cobalt or ruthenium and the conductive liner layer is disposed between the diffusion barrier layer and the conductive core. The semiconductor device further comprises a silicide region under the dielectric layer, wherein the contact plug is electrically connected to the silicide region.

In Übereinstimmung mit noch einer anderen Ausführungsform umfasst ein Verfahren zum Ausbilden eines Kontaktstöpsels das Ausbilden einer dielektrischen Schicht über einem Substrat und das Strukturieren einer Öffnung in der dielektrischen Schicht, die das Substrat freilegt. Das Verfahren umfasst weiter das Ausbilden einer Diffusionsbarriere-Schicht in der Öffnung und das Ausbilden einer leitenden Auskleideschicht auf Seitenwänden und einer Bodenfläche der Diffusionsbarriere-Schicht. Die leitenden Auskleideschicht umfasst Kobalt oder Ruthenium. Ein leitender Kern wird in der Öffnung ausgebildet. Der leitende Kern und die leitende Auskleideschicht umfassen unterschiedliche leitende Materialien und die leitende Auskleideschicht ist zwischen dem leitenden Kern und der Diffusionsbarriere-Schicht angeordnet.In accordance with yet another embodiment, a method of forming a contact plug includes forming a dielectric layer over a substrate and patterning an opening in the dielectric layer that exposes the substrate. The method further includes forming a diffusion barrier layer in the opening and forming a conductive liner layer on sidewalls and a bottom surface of the diffusion barrier layer. The conductive lining layer comprises cobalt or ruthenium. A conductive core is formed in the opening. The conductive core and the conductive liner layer comprise different conductive materials and the conductive liner layer is disposed between the conductive core and the diffusion barrier layer.

Claims (17)

Kontaktstöpsel (120), der Folgendes umfasst: eine Zwei-Schichten-Struktur (110), die Folgendes umfasst: einen leitenden Kern (110a); und eine leitende Auskleideschicht (110b) auf einer Seitenwand und einer Bodenfläche des leitenden Kerns (110a), wobei die leitende Auskleideschicht (110b) Kobalt oder Ruthenium umfasst; eine Diffusionsbarriere-Schicht (108) auf einer Seitenwand und einer Bodenfläche der Zwei-Schichten-Struktur (110); und einen leitenden Film (106) auf einer Seitenwand der Diffusionsbarriere-Schicht (108) umfasst, wobei die Diffusionsbarriere-Schicht (108) zwischen dem leitenden Film (106) und der Zwei-Schichten-Struktur (110) angeordnet ist und wobei gegenüberliegende Seitenwände der Zweischichten-Struktur (110) und der Diffusionsbarrieren-Schicht (108) nicht parallel sind.A contact plug (120) comprising: a two-layered structure (110) comprising: a conductive core (110a); and a conductive liner layer (110b) on a sidewall and a bottom surface of the conductive core (110a), the conductive liner layer (110b) comprising cobalt or ruthenium; a diffusion barrier layer (108) on a sidewall and a bottom surface of the two-layer structure (110); and a conductive film (106) on a sidewall of the diffusion barrier layer (108), wherein the diffusion barrier layer (108) is disposed between the conductive film (106) and the two-layer structure (110), and opposing sidewalls the two-layer structure (110) and the diffusion barrier layer (108) are not parallel. Kontaktstöpsel (120) nach Anspruch 1, wobei der leitende Film (106) Titan, Kobalt, Nickel oder Wolfram umfasst.Contact plug (120) after Claim 1 wherein the conductive film (106) comprises titanium, cobalt, nickel or tungsten. Kontaktstöpsel (120) nach einem der vorangegangenen Ansprüche, wobei die Diffusionsbarriere-Schicht (108) Tantal oder Tantalnitrid umfasst.The contact plug (120) of any one of the preceding claims, wherein the diffusion barrier layer (108) comprises tantalum or tantalum nitride. Kontaktstöpsel (120) nach einem der vorangegangenen Ansprüche, wobei der leitende Kern (110a) Wolfram umfasst.The contact plug (120) of any one of the preceding claims, wherein the conductive core (110a) comprises tungsten. Kontaktstöpsel (120) nach einem der Ansprüche 1 bis 3, wobei die leitende Auskleideschicht (110b) Ruthenium umfasst und der leitende Kern (110a) Kobalt umfasst.Contact plug (120) according to one of Claims 1 to 3 wherein the conductive liner layer (110b) comprises ruthenium and the conductive core (110a) comprises cobalt. Kontaktstöpsel (120) nach einem der Ansprüche 1 bis 3, wobei die leitende Auskleideschicht (110b) Kobalt und der leitende Kern (110a) Ruthenium umfasst.Contact plug (120) according to one of Claims 1 to 3 wherein the conductive liner layer (110b) comprises cobalt and the conductive core (110a) comprises ruthenium. Halbleitervorrichtung, die Folgendes umfasst: eine dielektrische Schicht (112); einen Kontaktstöpsel (120), der sich durch die dielektrische Schicht (112) erstreckt, wobei der Kontaktstöpsel (120) Folgendes umfasst: einen leitenden Kern (110a); eine leitende Auskleideschicht (110b) auf Seitenwänden und einer Bodenfläche des leitenden Kerns (110a), wobei die leitende Auskleideschicht (110b) Kobalt oder Ruthenium umfasst; und eine Diffusionsbarriere-Schicht (108) auf Seitenwänden und einer Bodenfläche der leitenden Auskleideschicht (110b), wobei die leitende Auskleideschicht (110b) zwischen der Diffusionsbarriere-Schicht (108) und dem leitenden Kern (110a) angeordnet liegt; einen Silizid-Bereich (104) unter der dielektrischen Schicht (112), wobei der Kontaktstöpsel (120) den Silizid-Bereich (104) kontaktiert; und einen leitenden Film (106) umfasst, der auf Seitenwänden der Diffusionsbarriere-Schicht (108) angeordnet ist, wobei der leitende Film (106) zwischen der Diffusionsbarriere-Schicht (108) und der dielektrischen Schicht (112) angeordnet ist, und wobei gegenüberliegende Seitenwände des leitenden Kerns (110a), der leitenden Auskleidung (110b) und der Diffusionsbarrieren-Schicht (108) nicht parallel sindA semiconductor device comprising: a dielectric layer (112); a contact plug (120) extending through the dielectric layer (112), the contact plug (120) comprising: a conductive core (110a); a conductive liner layer (110b) on sidewalls and a bottom surface of the conductive core (110a), the conductive liner layer (110b) comprising cobalt or ruthenium; and a diffusion barrier layer (108) on sidewalls and a bottom surface of the conductive liner layer (110b), the conductive liner layer (110b) disposed between the diffusion barrier layer (108) and the conductive core (110a); a silicide region (104) under the dielectric layer (112), the contact plug (120) contacting the silicide region (104); and a conductive film (106) disposed on sidewalls of the diffusion barrier layer (108), wherein the conductive film (106) is disposed between the diffusion barrier layer (108) and the dielectric layer (112), and opposing ones Side walls of the conductive core (110a), the conductive liner (110b) and the diffusion barrier layer (108) are not parallel Halbleitervorrichtung nach Anspruch 7, wobei der leitende Film (106) Titan, Kobalt, Nickel oder Wolfram umfasst.Semiconductor device according to Claim 7 wherein the conductive film (106) comprises titanium, cobalt, nickel or tungsten. Halbleitervorrichtung nach einem der Ansprüche 7 und 8, wobei der Silizid-Bereich (104) eine Kombination aus Silizium und einem leitenden Material des leitenden Films (106) umfasst.Semiconductor device according to one of Claims 7 and 8th wherein the silicide region (104) comprises a combination of silicon and a conductive material of the conductive film (106). Halbleitervorrichtung nach einem der Ansprüche 7 bis 9, wobei der leitende Kern (110a) Wolfram, Ruthenium oder Kobalt umfasst und wobei der leitende Kern (110a) und die leitende Auskleideschicht (110b) verschiedene leitende Materialien umfassen.Semiconductor device according to one of Claims 7 to 9 wherein the conductive core (110a) comprises tungsten, ruthenium or cobalt and wherein the conductive core (110a) and the conductive liner layer (110b) comprise various conductive materials. Halbleitervorrichtung nach einem der Ansprüche 7 bis 10, wobei die Diffusionsbarriere-Schicht (108) Tantal oder Tantalnitrid umfasst.Semiconductor device according to one of Claims 7 to 10 wherein the diffusion barrier layer (108) comprises tantalum or tantalum nitride. Verfahren zum Ausbilden eines Kontaktstöpsels (120), das Folgendes umfasst: Ausbilden einer dielektrischen Schicht (112) über einem Substrat (102); Strukturieren einer Öffnung in der dielektrischen Schicht, die das Substrat (202) freilegt; Ausbildung eines leitenden Films (106) auf Seitenwänden und einer Bodenfläche der Öffnung, wobei der leitende Film (106) das Substrat (202) kontaktiert; Ausbilden einer Diffusionsbarriere-Schicht in der Öffnung (206); Ausbilden einer leitenden Auskleideschicht auf Seitenwänden und einer Bodenfläche der Diffusionsbarriere-Schicht, wobei die leitende Auskleideschicht Kobalt oder Ruthenium (208) umfasst; und Ausbilden eines leitenden Kerns in der Öffnung, wobei der leitende Kern und die leitende Auskleideschicht unterschiedliche leitende Materialien (208) umfassen wobei die leitende Auskleideschicht (110b) zwischen dem leitenden Kern (110a) und der Diffusionsbarriere-Schicht (108) angeordnet ist; und wobei gegenüberliegende Seitenwände der Diffusionsbarrieren-Schicht (108) und der Öffnung in der dielektrischen Schicht nicht parallel sind.A method of forming a contact plug (120) comprising: Forming a dielectric layer (112) over a substrate (102); Patterning an opening in the dielectric layer exposing the substrate (202); Forming a conductive film (106) on sidewalls and a bottom surface of the opening, the conductive film (106) contacting the substrate (202); Forming a diffusion barrier layer in the opening (206); Forming a conductive liner layer on sidewalls and a bottom surface of the diffusion barrier layer, the conductive liner layer comprising cobalt or ruthenium (208); and Forming a conductive core in the opening, the conductive core and the conductive liner layer comprising different conductive materials (208), wherein the conductive liner layer (110b) is disposed between the conductive core (110a) and the diffusion barrier layer (108); and wherein opposite sidewalls of the diffusion barrier layer (108) and the opening in the dielectric layer are not parallel. Verfahren nach Anspruch 12, wobei das Ausbilden der Diffusionsbarriere-Schicht (206) das Ausbilden einer Diffusionsbarriere-Schicht umfasst, die Tantal oder Tantalnitrid umfasst.Method according to Claim 12 wherein forming the diffusion barrier layer (206) comprises forming a diffusion barrier layer comprising tantalum or tantalum nitride. Verfahren nach Anspruch 12, das weiter nach dem Ausbilden des leitenden Kerns (208) das Ausbilden eines Silizid-Bereichs in einem oberen Abschnitt des Substrats (210) umfasst.Method according to Claim 12 further comprising forming a silicide region in an upper portion of the substrate (210) after forming the conductive core (208). Verfahren nach Anspruch 14, wobei das Ausbilden des Silizid-Bereichs (210) ein Ausheilverfahren umfasst und wobei das Ausheilverfahren zumindest einen Teils des leitenden Films (106) in den oberen Abschnitt des Substrats (102) diffundiert.Method according to Claim 14 wherein forming the silicide region (210) comprises an annealing process and wherein the annealing process diffuses at least a portion of the conductive film (106) into the top portion of the substrate (102). Verfahren nach Anspruch 14, wobei das Ausbilden des leitenden Kerns (208) das Ausbilden eines leitenden Kerns (110a) umfasst, der Wolfram, Kobalt oder Ruthenium umfasst.Method according to Claim 14 wherein forming the conductive core (208) comprises forming a conductive core (110a) comprising tungsten, cobalt or ruthenium. Verfahren nach Anspruch 14, das weiter nach dem Ausbilden des leitenden Kerns (208) das Freilegen einer oberen Fläche der dielektrischen Schicht (112) umfasst.Method according to Claim 14 further comprising, after forming the conductive core (208), exposing an upper surface of the dielectric layer (112).
DE102014109352.6A 2014-04-30 2014-07-04 COMPOSITE CONTACT PAD STRUCTURE AND METHOD OF MANUFACTURING Active DE102014109352B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461986740P 2014-04-30 2014-04-30
US61/986,740 2014-04-30
US14/313,111 2014-06-24
US14/313,111 US10079174B2 (en) 2014-04-30 2014-06-24 Composite contact plug structure and method of making same

Publications (2)

Publication Number Publication Date
DE102014109352A1 DE102014109352A1 (en) 2015-11-05
DE102014109352B4 true DE102014109352B4 (en) 2019-12-05

Family

ID=54325990

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014109352.6A Active DE102014109352B4 (en) 2014-04-30 2014-07-04 COMPOSITE CONTACT PAD STRUCTURE AND METHOD OF MANUFACTURING

Country Status (1)

Country Link
DE (1) DE102014109352B4 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021100639A1 (en) 2020-09-11 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. CIRCUIT STRUCTURE OF A SEMICONDUCTOR DEVICE

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202341349A (en) 2017-11-30 2023-10-16 美商英特爾股份有限公司 Heterogeneous metal line compositions for advanced integrated circuit structure fabrication
US11239208B2 (en) 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
US11417767B2 (en) 2020-05-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same
DE102020122828B4 (en) 2020-05-27 2022-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICES HAVING BACK VIA THRU-PHONES AND METHOD OF FORMING SAME

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1094504A2 (en) * 1999-10-18 2001-04-25 Applied Materials, Inc. PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
DE102010028458A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Semiconductor device having contact elements and Metallsilizidgebieten, which are made in a common process sequence
US20120187460A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Method for forming metal semiconductor alloys in contact holes and trenches
DE112010003659T5 (en) 2009-09-16 2012-10-31 International Business Machines Corporation Conductive structure for narrow connection openings

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1094504A2 (en) * 1999-10-18 2001-04-25 Applied Materials, Inc. PVD-IMP tungsten and tungsten nitride as a liner, barrier, and/or seed layer
DE112010003659T5 (en) 2009-09-16 2012-10-31 International Business Machines Corporation Conductive structure for narrow connection openings
DE102010028458A1 (en) * 2010-04-30 2011-11-03 Globalfoundries Dresden Module One Llc & Co. Kg Semiconductor device having contact elements and Metallsilizidgebieten, which are made in a common process sequence
US20120187460A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Method for forming metal semiconductor alloys in contact holes and trenches

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102021100639A1 (en) 2020-09-11 2022-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. CIRCUIT STRUCTURE OF A SEMICONDUCTOR DEVICE

Also Published As

Publication number Publication date
DE102014109352A1 (en) 2015-11-05

Similar Documents

Publication Publication Date Title
US10504778B2 (en) Composite contact plug structure and method of making same
DE102014115934B4 (en) Two-step formation of metallizations
DE102014117338B4 (en) METHOD FOR FORMING A CONNECTING STRUCTURE FOR A SEMICONDUCTOR DEVICE
DE102016114705B4 (en) Etch stop layer for semiconductor components
DE102014110645B4 (en) Hybrid copper structure for use in advanced compounds
DE102005052000B3 (en) Semiconductor device having a contact structure based on copper and tungsten
DE102008016424B4 (en) A method of forming a contactless opening and a trench in a low-k dielectric layer
DE102009023377B4 (en) Method for producing a microstructure component having a metallization structure with self-aligned air gap
DE102014111780B4 (en) Method for forming conductive structures in recesses
DE102016114870A1 (en) Semiconductor structure and method for its production
DE102014109352B4 (en) COMPOSITE CONTACT PAD STRUCTURE AND METHOD OF MANUFACTURING
DE102010002451B4 (en) Method for producing contact elements of semiconductor devices
DE102010064288B4 (en) Semiconductor device having contact elements with silicided sidewall regions
DE102008006960B4 (en) Semiconductor device with self-aligned contact structure and method of manufacture
DE102011002769B4 (en) A semiconductor device and method of making a hybrid contact structure having small aspect ratio contacts in a semiconductor device
DE112005001489T5 (en) Atomic layer deposited tantalum containing adhesive layer
DE102010063780A1 (en) Semiconductor device having a contact structure with a lower parasitic capacitance
DE102020119184A1 (en) DIFFUSION BARRIER FOR SEMICONDUCTOR DEVICE AND PROCESS
DE102010063294A1 (en) Metallization systems of semiconductor devices having a copper / silicon compound as a barrier material
DE102009055433B4 (en) Contact elements of semiconductor devices, which are made on the basis of a partially applied activation layer, and corresponding manufacturing methods
DE102021104817A1 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102020127319A1 (en) TWO-LAYER LINING FOR METALIZATION
DE102005052053B4 (en) A method of making an etch stop layer for a metallization layer having improved etch selectivity and entrapment behavior
DE102021111910A1 (en) INTERCONNECT STRUCTURE AND ITS MANUFACTURING PROCESS
DE102021100639A1 (en) CIRCUIT STRUCTURE OF A SEMICONDUCTOR DEVICE

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final