DE102013210624A1 - Method for producing a semiconductor structure with an implantation of ions in a channel region - Google Patents

Method for producing a semiconductor structure with an implantation of ions in a channel region Download PDF

Info

Publication number
DE102013210624A1
DE102013210624A1 DE201310210624 DE102013210624A DE102013210624A1 DE 102013210624 A1 DE102013210624 A1 DE 102013210624A1 DE 201310210624 DE201310210624 DE 201310210624 DE 102013210624 A DE102013210624 A DE 102013210624A DE 102013210624 A1 DE102013210624 A1 DE 102013210624A1
Authority
DE
Germany
Prior art keywords
region
opening
gate
channel
active
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE201310210624
Other languages
German (de)
Other versions
DE102013210624B4 (en
Inventor
Stefan Flachowsky
Ralf Illgen
Gerd Zschätzsch
Roman Boschke
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to DE102013210624.6A priority Critical patent/DE102013210624B4/en
Publication of DE102013210624A1 publication Critical patent/DE102013210624A1/en
Application granted granted Critical
Publication of DE102013210624B4 publication Critical patent/DE102013210624B4/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Ein Verfahren zur Herstellung einer Halbleiterstruktur umfasst ein Implantieren von Ionen durch eine Öffnung in einer dielektrischen Struktur in ein Kanalgebiet eines aktiven Gebiets. Das aktive Gebiet ist in einem kristallinen Halbleitermaterial gebildet und umfasst ein Sourcegebiet, ein Draingebiet und das Kanalgebiet. Die dielektrische Struktur bedeckt das Sourcegebiet und das Draingebiet. Zumindest ein Teil des Kanalgebiets wird durch die Implantation der Ionen amorphisiert. Die Öffnung wird mit einem elastisch verspannten Material gefüllt. Das Kanalgebiet wird in Anwesenheit des elastisch verspannten Materials in der Öffnung rekristallisiert.A method for manufacturing a semiconductor structure comprises implanting ions through an opening in a dielectric structure into a channel region of an active region. The active region is formed in a crystalline semiconductor material and comprises a source region, a drain region and the channel region. The dielectric structure covers the source region and the drain region. At least part of the channel region is amorphized by the implantation of the ions. The opening is filled with an elastically tensioned material. The channel region is recrystallized in the presence of the elastically strained material in the opening.

Description

Die vorliegende Erfindung bezieht sich allgemein auf die Herstellung integrierter Schaltkreise, insbesondere auf integrierte Schaltkreise, die Transistoren mit einem elastisch verspannten Kanalgebiet umfassen.The present invention relates generally to the fabrication of integrated circuits, and more particularly to integrated circuits comprising transistors having a resiliently strained channel region.

Integrierte Schaltkreise enthalten eine große Anzahl von Schaltkreiselementen, die insbesondere Feldeffekttransistoren umfassen. Ein Feldeffekttransistor enthält mindestens eine Gateelektrode, die durch eine Gateisolierschicht von einem Kanalgebiet getrennt und von diesem elektrisch isoliert ist. Neben dem Kanalgebiet befinden sich ein Sourcegebiet und ein Draingebiet. Das Kanalgebiet, das Sourcegebiet und das Draingebiet sind in einem Halbleitermaterial gebildet, wobei sich die Dotierung des Kanalgebiets von der Dotierung des Sourcegebiets und des Draingebiets unterscheidet. Zwischen dem Sourcegebiet und dem Kanalgebiet sowie zwischen dem Kanalgebiet und dem Draingebiet kann sich jeweils ein pn-Übergang befinden.Integrated circuits include a large number of circuit elements, particularly including field effect transistors. A field effect transistor includes at least one gate electrode separated from and electrically isolated from a channel region by a gate insulating layer. Next to the canal area there is a source area and a drain area. The channel region, the source region and the drain region are formed in a semiconductor material, wherein the doping of the channel region differs from the doping of the source region and the drain region. Between the source region and the channel region as well as between the channel region and the drain region, there can be a pn junction in each case.

Durch eine an die Gateelektrode angelegte elektrische Spannung kann der Transistor zwischen einem eingeschalteten Zustand, in dem eine relativ hohe elektrische Leitfähigkeit zwischen dem Sourcegebiet und dem Draingebiet vorhanden ist, und einem ausgeschalteten Zustand, in dem zwischen dem Sourcegebiet und dem Draingebiet eine relativ geringe elektrische Leitfähigkeit vorhanden ist, geschaltet werden.By an electric voltage applied to the gate electrode, the transistor between a switched-on state in which a relatively high electrical conductivity between the source region and the drain region is present, and a switched-off state in which between the source region and the drain region, a relatively low electrical conductivity exists, be switched.

Zur Verbesserung der elektrischen Leitfähigkeit zwischen dem Sourcegebiet und dem Draingebiet im eingeschalteten Zustand und zur Erhöhung der Schaltgeschwindigkeit eines Transistors kann das Halbleitermaterial im Kanalgebiet elastisch verspannt werden. Durch die elastische Verspannung kann die Beweglichkeit der Ladungsträger im Kanalgebiet beeinflusst werden. Eine elastische Druckspannung kann die Beweglichkeit von Löchern in Silizium verbessern, während eine elastische Zugspannung die Beweglichkeit von Elektronen in Silizium verbessern kann. Deshalb ist für p-Kanaltransistoren, in denen die Leitfähigkeit des Kanalgebiets im eingeschalteten Zustand von der Beweglichkeit der Löcher abhängt, eine elastische Druckspannung im Kanalgebiet von Vorteil, während für n-Kanaltransistoren, in denen die Leitfähigkeit des Kanalgebiets im eingeschalteten Zustand von der Beweglichkeit der Elektronen abhängt, eine elastische Zugspannung vorteilhaft ist.To improve the electrical conductivity between the source region and the drain region in the on state and to increase the switching speed of a transistor, the semiconductor material in the channel region can be elastically clamped. Due to the elastic strain, the mobility of the charge carriers in the channel region can be influenced. An elastic compressive stress can improve the mobility of holes in silicon, while an elastic tensile stress can improve the mobility of electrons in silicon. Therefore, for p-channel transistors in which the conductivity of the channel region in the on state depends on the mobility of the holes, an elastic compressive stress in the channel region is advantageous, while for n-channel transistors in which the conductivity of the channel region in the on state of the mobility of the Electrons depends, an elastic tensile stress is beneficial.

Es gibt verschiedene Techniken, um die elastische Verspannung des Kanalgebiets zu erreichen. Beispielsweise kann über einem Transistor eine Schicht aus einem elastisch verspannten dielektrischen Material, beispielsweise eine Schicht aus elastisch verspanntem Siliziumnitrid, abgeschieden werden, oder Teile eines Transistors, beispielsweise neben der Gateelektrode angeordnete Seitenwandabstandshalter, können aus einem elastisch verspannten dielektrischen Material hergestellt werden. Die Anwesenheit des elastisch verspannten dielektrischen Materials kann sich auf das Hableitermaterial des Kanalgebiets auswirken, so dass es unter einer elastischen Spannung steht.There are several techniques to achieve the elastic strain of the channel region. For example, a layer of an elastically strained dielectric material, such as a layer of elastically strained silicon nitride, may be deposited over a transistor, or portions of a transistor, such as sidewall spacers disposed adjacent the gate electrode, may be fabricated from an elastically strained dielectric material. The presence of the elastically strained dielectric material may affect the conductor material of the channel region to be under an elastic strain.

Weitere Techniken zum Erreichen einer elastischen Verspannung verwenden das Spannungsgedächtnis von Materialien wie beispielsweise Silizium. Solche Techniken werden oft auch mit dem englischsprachigen Begriff „Stress Memorization” bezeichnet. Dabei wird das zu verspannende Material, bei dem es sich um Silizium in den Source- und Draingebieten des Transistors handeln kann, amorphisiert und in Anwesenheit einer elastisch verspannten Materialschicht, die typischerweise eine über dem Transistor abgeschiedene Schicht aus einem dielektrischen Material wie beispielsweise Siliziumnitrid ist, rekristallisiert. Bei der Rekristallisation wird die Kristallstruktur des rekristallisierten Materials durch die elastisch verspannte Materialschicht derart beeinflusst, dass man eine intrinsische elastische Verspannung erhält, die auch nach dem Entfernen der elastisch verspannten Materialschicht zumindest teilweise bestehen bleiben kann.Other techniques for achieving elastic strain use the stress memory of materials such as silicon. Such techniques are often referred to by the English term "stress memorization". In this case, the material to be clamped, which may be silicon in the source and drain regions of the transistor, is amorphized and in the presence of an elastically strained material layer, which is typically a layer deposited over the transistor of a dielectric material such as silicon nitride, recrystallized. During the recrystallization, the crystal structure of the recrystallized material is influenced by the elastically strained material layer in such a way that an intrinsic elastic strain is obtained, which can at least partially persist even after the removal of the elastically strained material layer.

Im 45-Nanometer-Technologieknoten und darunter wird oft die sogenannte High-k+Metall-Gate-Technik (HKMG-Technik) verwendet. Bei dieser Technik wird die Gateisolierschicht ganz oder teilweise aus einem Material hergestellt, das eine größere Dielektrizitätskonstante k als Siliziumdioxid hat, beispielsweise aus Hafniumoxid und/oder Hafniumsiliziumoxynitrid. Die Gateelektrode wird aus einem Metall hergestellt, wobei für p-Kanaltransistoren und n-Kanaltransistoren unterschiedliche Metalle verwendet werden können, um die Austrittsarbeit der Gateelektrode an die jeweilige Dotierung des Kanalgebiets anzupassen.In the 45 nanometer technology node and below, the so-called high-k + metal gate technique (HKMG technique) is often used. In this technique, the gate insulating layer is wholly or partly made of a material having a larger dielectric constant k than silicon dioxide, for example, hafnium oxide and / or hafnium silicon oxynitride. The gate electrode is made of a metal, wherein different metals can be used for p-channel transistors and n-channel transistors in order to adapt the work function of the gate electrode to the respective doping of the channel region.

In Transistoren, die mit der HKMG-Technik hergestellt werden, kann das Metall der Gateelektrode mit einer elastischen Verspannung hergestellt werden. Der elastische Spannungszustand der Gateelektrode kann die elastische Spannung des Kanalgebiets des Transistors beeinflussen. Die elastische Verspannung des Metalls der Gateelektrode kann durch die Verwendung geeigneter Parameter eines Abscheidungsprozesses, der bei der Abscheidung des Metalls der Gateelektrode verwendet wird, erreicht werden. Die Verwendung elastisch verspannter Gateelektroden aus einem Metall kann bei stark miniaturisierten Feldeffekttransistoren gemäß dem 45-Nanometer-Technologieknoten und darunter von Vorteil sein, da andere Techniken zur Erzeugung einer elastischen Verspannung des Kanalgebiets wie beispielsweise elastisch verspannte Schichten, die über dem Transistor gebildet werden, oder Spannungsgedächtnistechniken bei sehr kleinen Strukturgrößen an Wirksamkeit verlieren können.In transistors made by the HKMG technique, the metal of the gate electrode can be made with an elastic strain. The elastic voltage state of the gate electrode may affect the elastic voltage of the channel region of the transistor. The elastic strain of the metal of the gate electrode can be achieved by the use of suitable parameters of a deposition process used in the deposition of the metal of the gate electrode. The use of elastically strained metal gate electrodes may be advantageous in highly miniaturized field effect transistors according to the 45 nanometer technology node and below, as other techniques for creating elastic strain on the channel region, such as For example, elastically strained layers that are formed over the transistor or can lose voltage memory techniques at very small feature sizes.

Verfahren zum Bereitstellen elastisch verspannter Kanalgebiete von Feldeffekttransistoren nach dem Stand der Technik sind beispielsweise in C. Y. Kang, et. al., „A Novel Electrode-Induced Strain Engineering for High Performance SOI FinFET utilizing Si (110) Channel for Both N and PMOSFETs”, Proceedings of the Electron Devices Meeting, 2006, IEDM '06 International, S. 1–4 ; C. Y. Kang et. al, „Effects of Film Stress Modulation Using TiN Metal Gate an Stress Engineering and Its Impact an Device Characteristics in Metal Gate/High-k Dielectric SOI FinFETs”, IEEE Electron Devices Letters, Vol. 29, No. 5, Mai 2008, S. 487–490 ; und K.-M. Tan, „Diamond-Like Carbon (DLC) Liner: A New Stressor for P-Channel Multiple-Gate Field-Effect Transistors”, IEEE Electron Device Letters, Vol. 29, No. 7, Juli 2008, S. 750–752 beschrieben.Methods for providing elastically strained channel regions of field-effect transistors according to the prior art are, for example, in CY Kang, et. al., "A Novel Electrode-Induced Strain Engineering for High Performance SOI FinFETs utilizing Si (110) Channel for Both N and PMOSFETs", Proceedings of the Electron Devices Meeting, 2006, IEDM '06 International, pp. 1-4 ; CY Kang et. al., "Effects of Film Stress Modulation Using TiN Metal Gate on Stress Engineering and Its Impact on Device Characteristics in Metal Gate / High-k Dielectric SOI FinFETs", IEEE Electron Devices Letters, Vol. 5, May 2008, pp. 487-490 ; and K.-M. Tan, "Diamond-Like Carbon (DLC) Liner: A New Stressor for P-Channel Multiple-Gate Field-Effect Transistor", IEEE Electron Device Letters, Vol. 7, July 2008, pp. 750-752 described.

Ein Nachteil der bekannten Techniken zur Erzeugung elastisch verspannter Kanalgebiete von Transistoren mit Hilfe elastisch verspannter Gateelektroden aus Metall ist, dass die Stärke der elastischen Verspannung, die erreicht werden kann, begrenzt ist.A disadvantage of the known techniques for producing elastically strained channel regions of transistors by means of elastically strained metal gate electrodes is that the strength of the elastic strain that can be achieved is limited.

Eine Aufgabe der vorliegenden Erfindung ist, eine Technik bereitzustellen, mit der eine stärkere elastische Verspannung des Kanalgebiets erreicht wird.An object of the present invention is to provide a technique with which a stronger elastic strain of the channel region is achieved.

Ein erfindungsgemäßes Verfahren zur Herstellung einer Halbleiterstruktur umfasst ein Implantieren von Ionen durch eine Öffnung in einer dielektrischen Struktur in ein Kanalgebiet eines aktiven Gebiets. Das aktive Gebiet ist in einem kristallinen Halbleitermaterial gebildet und umfasst ein Sourcegebiet, ein Draingebiet und das Kanalgebiet. Die dielektrische Struktur bedeckt das Sourcegebiet und das Draingebiet. Zumindest ein Teil des Kanalgebiets wird durch die Implantation der Ionen amorphisiert. Die Öffnung wird mit einem elastisch verspannten Material gefüllt und das Kanalgebiet wird in Anwesenheit des elastisch verspannten Materials in der Öffnung rekristallisiert.A method of fabricating a semiconductor structure according to the invention comprises implanting ions through an opening in a dielectric structure into a channel region of an active region. The active region is formed in a crystalline semiconductor material and includes a source region, a drain region, and the channel region. The dielectric structure covers the source region and the drain region. At least part of the channel region is amorphized by the implantation of the ions. The opening is filled with an elastically strained material and the channel region is recrystallized in the presence of the elastically strained material in the opening.

Durch die bei der Implantation der Ionen durch die Öffnung in der dielektrischen Struktur stattfindende Amorphisierung des Kanalgebiets geht die kristalline Ordnung des Halbleitermaterials im Kanalgebiet verloren. Bei der Rekristallisation des Kanalgebiets wird die kristalline Ordnung des Halbleitermaterials im Kanalgebiet wiederhergestellt. Dabei wirkt jedoch die elastische Spannung des elastisch verspannten Materials in der Öffnung, die sich über dem Kanalgebiet befindet, auf das Halbleitermaterial ein. Dadurch kann eine intrinsische elastische Verspannung des Halbleitermaterials im Kanalgebiet bewirkt werden. Die so erreichte elastische Verspannung kann stärker sein als die elastische Verspannung, die erreicht werden kann, wenn lediglich, wie oben beschrieben, eine elastisch verspannte Gateelektrode über dem Kanalgebiet angeordnet wird, ohne dass eine Amorphisierung und eine Rekristallisation des Kanalgebiets durchgeführt werden.Due to the amorphization of the channel region occurring during the implantation of the ions through the opening in the dielectric structure, the crystalline order of the semiconductor material in the channel region is lost. Upon recrystallization of the channel region, the crystalline order of the semiconductor material in the channel region is restored. However, the elastic stress of the elastically strained material in the opening, which is located above the channel region, acts on the semiconductor material. As a result, an intrinsic elastic strain of the semiconductor material in the channel region can be effected. The elastic strain achieved in this way can be stronger than the elastic strain that can be achieved if, as described above, only one elastically strained gate electrode is arranged above the channel region without amorphization and recrystallization of the channel region being carried out.

Die dielektrische Struktur kann dafür ausgelegt sein, eine Implantation von Ionen in das Sourcegebiet und das Draingebiet zumindest soweit zu verringern, dass das Sourcegebiet und das Draingebiet unmittelbar nach der Implantation der Ionen noch eine kristalline Ordnung aufweisen. Dadurch können die Amorphisierung und die Rekristallisation selektiv im Kanalgebiet durchgeführt werden, so dass die elastische Verspannung gezielt im Kanalgebiet bereitgestellt werden kann.The dielectric structure may be configured to reduce at least an implantation of ions into the source region and the drain region such that the source region and the drain region still have a crystalline order immediately after the implantation of the ions. As a result, the amorphization and the recrystallization can be carried out selectively in the channel region, so that the elastic strain can be provided specifically in the channel region.

Die dielektrische Struktur kann einen Seitenwandabstandshalter neben der Öffnung und ein Zwischenschichtdielektrikum umfassen. Dadurch kann das Verfahren gut in einen Prozess zur Herstellung einer Halbleiterstruktur, bei dem eine Gateelektrode, die ein Metall enthält, mit einem Austauschgateverfahren hergestellt wird, integriert werden. Das elastisch verspannte Material kann ein Metall enthalten.The dielectric structure may include a sidewall spacer adjacent the opening and an interlayer dielectric. Thereby, the method can be well integrated into a process for manufacturing a semiconductor structure in which a gate electrode containing a metal is manufactured by an exchange gate method. The elastically strained material may contain a metal.

In manchen Ausführungsformen bildet das elastisch verspannte Material in der Öffnung eine Gateelektrode. Dadurch kann das Füllen der Öffnung mit dem elastisch verspannten Material bei der Herstellung einer Gateelektrode mit einem Austauschgateverfahren durchgeführt werden, so dass zum Füllen der Öffnung mit dem elastisch verspannten Material keine zusätzlichen Materialabscheidungsprozesse erforderlich sind. Vor dem Füllen der Öffnung mit dem elastisch verspannten Material kann zumindest am Boden der Öffnung eine Gateisolierschicht aus einem Material mit hoher Dielektrizitätskonstante gebildet werden.In some embodiments, the elastically strained material forms a gate electrode in the opening. Thereby, the filling of the opening with the elastically strained material in the manufacture of a gate electrode can be performed with an exchange gate method, so that no additional material deposition processes are required to fill the opening with the elastically strained material. Prior to filling the opening with the elastically strained material, a gate insulating layer of a high dielectric constant material may be formed at least at the bottom of the opening.

In anderen Ausführungsformen kann das elastisch verspannte Material nach der Rekristallisation des Kanalgebiets aus der Öffnung entfernt werden und es kann eine Gateelektrode in der Öffnung gebildet werden. Das Bilden der Gateelektrode kann ein Füllen der Öffnung mit einem Gateelektrodenmaterial nach dem Entfernen des elastisch verspannten Materials aus der Öffnung umfassen. Dadurch kann zur Erzeugung der elastischen Verspannung des Kanalgebiets, die bei der Rekristallisation des Kanalgebiets erfolgt, ein erstes Material verwendet werden, das besonders gut zur Erzeugung der elastischen Verspannung des Kanalgebiets geeignet ist, beispielsweise da es eine passende Stärke der Verspannung und/oder die richtige Art von Verspannung (Druckspannung bzw. Zugspannung) für den herzustellenden Transistortyp bereitstellt. Die Gateelektrode kann aus einem anderen Material gebildet werden, das besonders vorteilhafte Eigenschaften in Hinblick auf die Steuerung des Stromflusses durch den Transistor hat, beispielsweise eine gut passende Austrittsarbeit.In other embodiments, after recrystallization of the channel region, the elastically strained material may be removed from the opening and a gate electrode may be formed in the opening. Forming the gate electrode may include filling the opening with a gate electrode material after removing the elastically strained material from the opening. This can be used to generate the elastic strain of the channel region, which takes place in the recrystallization of the channel region, a first material which is particularly well suited for generating the elastic strain of the channel region, for example because it has a suitable strength of the strain and / or the right Type of tension (compressive stress or tensile stress) for the provides the type of transistor to be manufactured. The gate electrode may be formed of another material that has particularly advantageous properties in terms of controlling the current flow through the transistor, for example, a well-fitting work function.

Vor dem Füllen der Öffnung mit dem elastisch verspannten Material kann in solchen Ausführungsformen zumindest am Boden der Öffnung eine Gateisolierschicht gebildet werden, wobei die Gateisolierschicht beim Entfernen des elastisch verspannten Materials zumindest teilweise am Boden der Öffnung verbleibt und die Gateelektrode über der Gateisolierschicht gebildet wird. Die Gateisolierschicht kann ein Material mit hoher Dielektrizitätskonstante enthalten und das Gateelektrodenmaterial kann ein Metall enthalten.Prior to filling the opening with the elastically strained material, in such embodiments, a gate insulating layer may be formed at least at the bottom of the opening, the gate insulating layer at least partially remaining at the bottom of the opening upon removal of the elastically strained material and the gate electrode formed over the gate insulating layer. The gate insulating layer may include a high-dielectric-constant material, and the gate-electrode material may include a metal.

Die Rekristallisation des Kanalgebiets kann ein Durchführen einer Wärmebehandlung umfassen. Die Wärmebehandlung kann eine Bestrahlung der Halbleiterstruktur mit elektromagnetischer Strahlung umfassen. Eine Dauer der Wärmebehandlung kann kürzer als 100 ms sein und/oder die Wärmebehandlung kann bei einer Temperatur von 1000°C oder mehr durchgeführt werden. Durch eine kurzzeitige Wärmebehandlung bei relativ hoher Temperatur kann eine unerwünschte Diffusion von Dotierstoffen, insbesondere von Dotierstoffen im Sourcegebiet und im Draingebiet des aktiven Gebiets, im Wesentlichen vermieden oder zumindest verringert werden. Dadurch kann eine Veränderung von Dotierprofilen, insbesondere im Übergangsbereich zwischen dem Sourcegebiet und dem Kanalgebiet und im Übergangsbereich zwischen dem Draingebiet und dem Kanalgebiet, die Auswirkungen auf die elektrischen Eigenschaften des Transistors haben könnte, im Wesentlichen vermieden oder zumindest verringert werden.The recrystallization of the channel region may include performing a heat treatment. The heat treatment may include irradiation of the semiconductor structure with electromagnetic radiation. A duration of the heat treatment may be shorter than 100 ms and / or the heat treatment may be performed at a temperature of 1000 ° C or more. By a short-term heat treatment at a relatively high temperature, undesired diffusion of dopants, in particular dopants in the source region and in the drain region of the active region, can be substantially avoided or at least reduced. As a result, a change in doping profiles, in particular in the transition region between the source region and the channel region and in the transition region between the drain region and the channel region, which could have effects on the electrical properties of the transistor, can be substantially avoided or at least reduced.

In das Kanalgebiet können durch die Öffnung in der dielektrischen Struktur Ionen eines Halbleitermaterials, insbesondere Siliziumionen, oder Ionen eines Edelgases, insbesondere Xenonionen, implantiert werden. Durch die Implantation derartiger Ionen kann eine effektive Amorphisierung des Kanalgebiets erreicht werden, ohne dass die elektrischen Eigenschaften des Kanalgebiets, insbesondere seine Dotierung, wesentlich verändert werden.In the channel region, ions of a semiconductor material, in particular silicon ions, or ions of a noble gas, in particular xenon ions, can be implanted through the opening in the dielectric structure. By implanting such ions, an effective amorphization of the channel region can be achieved without substantially changing the electrical properties of the channel region, in particular its doping.

Das Verfahren kann ein Bilden einer Sourcekontaktstruktur, die das Sourcegebiet elektrisch kontaktiert, einer Drainkontaktstruktur, die das Draingebiet elektrisch kontaktiert und einer Gatekontaktstruktur, die die Gateelektrode elektrisch kontaktiert, umfassen. Dadurch kann der Transistor, der bei dem Verfahren hergestellt wird, mit anderen Schaltkreiselementen verbunden werden.The method may include forming a source contact structure that electrically contacts the source region, a drain contact structure that electrically contacts the drain region, and a gate contact structure that electrically contacts the gate electrode. Thereby, the transistor produced in the process can be connected to other circuit elements.

Ein erfindungsgemäßes Verfahren zur Herstellung einer Halbleiterstruktur kann ein Bereitstellen einer Halbleiterstruktur mit einem ersten aktiven Gebiet, einem zweiten aktiven Gebiet und einer dielektrischen Struktur umfassen. Die aktiven Gebiete sind in einem Halbleitersubstrat gebildet und weisen eine kristalline Ordnung auf. Jedes der aktiven Gebiete umfasst ein Sourcegebiet, ein Kanalgebiet und ein Draingebiet. Das Sourcegebiet und das Draingebiet des ersten aktiven Gebiets sind p-dotiert und das Sourcegebiet und das Draingebiet des zweiten aktiven Gebiets sind n-dotiert. Die dielektrische Struktur weist eine erste Öffnung über dem Kanalgebiet des ersten aktiven Gebiets und eine zweite Öffnung über dem Kanalgebiet des zweiten aktiven Gebiets auf. An dem ersten aktiven Gebiet und an dem zweiten aktiven Gebiet wird ein Verfahren mit einigen oder allen der oben beschriebenen Merkmale durchgeführt. Dadurch können geeignete elastische Verspannungen des Kanalgebiets in einem p-Kanaltransistor, der auf Grundlage des ersten Kanalgebiets gebildet wird, und in einem n-Kanaltransistor, der auf Grundlage des zweiten Kanalgebiets gebildet wird, bereitgestellt werden.A method of fabricating a semiconductor structure according to the present invention may include providing a semiconductor structure having a first active region, a second active region, and a dielectric structure. The active regions are formed in a semiconductor substrate and have a crystalline order. Each of the active regions includes a source region, a channel region, and a drain region. The source region and the drain region of the first active region are p-doped, and the source region and the drain region of the second active region are n-doped. The dielectric structure has a first opening over the channel region of the first active region and a second opening over the channel region of the second active region. At the first active area and at the second active area, a method is performed with some or all of the features described above. Thereby, suitable elastic strains of the channel region can be provided in a p-channel transistor formed on the basis of the first channel region and in an n-channel transistor formed on the basis of the second channel region.

Die Implantation von Ionen durch die erste Öffnung und die Implantation von Ionen durch die zweite Öffnung können bei einem gemeinsamen Ionenimplantationsprozess durchgeführt werden. Somit ist nur ein Ionenimplantationsprozess zur Amorphisierung der Kanalgebiete des ersten aktiven Gebiets und des zweiten aktiven Gebiets erforderlich, der insbesondere eine Bestrahlung der gesamten Halbleitestruktur mit Ionen umfassen kann.The implantation of ions through the first opening and the implantation of ions through the second opening may be performed in a common ion implantation process. Thus, only one ion implantation process is required for amorphizing the channel regions of the first active region and the second active region, which may in particular comprise irradiation of the entire semiconductor structure with ions.

Die Rekristallisation des Kanalgebiets des ersten aktiven Gebiets und die Rekristallisation des Kanalgebiets des zweiten aktiven Gebiets können bei einer gemeinsamen Wärmebehandlung durchgeführt werden, so dass zur Rekristallisation des Kanalgebiets des ersten aktiven Gebiets und des Kanalgebiets des zweiten aktiven Gebiets nur eine Wärmebehandlung erforderlich ist, die insbesondere eine Wärmebehandlung der gesamten Halbleiterstruktur sein kann.The recrystallization of the channel region of the first active region and the recrystallization of the channel region of the second active region can be carried out in a common heat treatment, so that only one heat treatment is required for recrystallization of the channel region of the first active region and the channel region of the second active region, in particular may be a heat treatment of the entire semiconductor structure.

Das elastisch verspannte Material, mit dem die erste Öffnung gefüllt wird, kann eine elastische Zugspannung aufweisen und das elastisch verspannte Material, mit dem die zweite Öffnung gefüllt wird, kann eine elastische Druckspannung aufweisen. Durch die elastische Zugspannung des Materials, mit dem die erste Öffnung gefüllt wird, kann eine elastische Druckspannung im Kanalgebiet des ersten aktiven Gebiets erreicht werden, und durch die elastische Druckspannung des elastisch verspannten Materials in der zweiten Öffnung kann eine elastische Zugspannung im Kanalgebiet des zweiten aktiven Gebiets erreicht werden. Somit kann für einen p-Kanaltransistor, der auf Grundlage des ersten aktiven Gebiets hergestellt wird, und für einen n-Kanaltransistor, der auf Grundlage des zweiten aktiven Gebiets hergestellt wird, jeweils eine zur Verbesserung der Beweglichkeit der jeweiligen Majoritätsladungsträger im Kanalgebiet geeignete elastische Verspannung bereitgestellt werden.The elastically strained material with which the first opening is filled may have an elastic tensile stress, and the elastically strained material with which the second opening is filled may have an elastic compressive stress. Due to the elastic tensile stress of the material with which the first opening is filled, an elastic compressive stress in the channel region of the first active region can be achieved, and by the elastic compressive stress of the elastically strained material in the second opening, an elastic tensile stress in the channel region of the second active Area can be achieved. Thus, for a p-channel transistor based on the first for an n-channel transistor which is fabricated based on the second active region, one respective elastic strain suitable for improving the mobility of the respective majority carriers in the channel region is provided.

Das Bereitstellen der Halbleiterstruktur kann ein Bilden einer ersten Gatestruktur über dem ersten aktiven Gebiet und einer zweiten Gatestruktur über dem zweiten aktiven Gebiet umfassen. Jede der Gatestrukturen kann eine Dummy-Gateelektrode und einen Seitenwandabstandshalter, der sich neben der Dummy-Gateelektrode befindet, umfassen. Das Sourcegebiet und das Draingebiet des ersten aktiven Gebiets werden neben der ersten Gatestruktur gebildet und das Sourcegebiet und das Draingebiet des zweiten aktiven Gebiets werden neben der zweiten Gatestruktur gebildet. Ein Zwischenschichtdielektrikum wird abgeschieden und ein Polierprozess wird durchgeführt. Bei dem Polierprozess wird eine Oberfläche des Zwischenschichtdielektrikums planarisiert und die Dummy-Gateelektroden der ersten und der zweiten Gatestruktur werden freigelegt. Die erste und die zweite Öffnung werden durch Entfernen der freigelegten Dummy-Gateelektroden gebildet.Providing the semiconductor structure may include forming a first gate structure over the first active region and a second gate structure over the second active region. Each of the gate structures may include a dummy gate electrode and a sidewall spacer located adjacent to the dummy gate electrode. The source region and the drain region of the first active region are formed adjacent to the first gate structure, and the source region and the drain region of the second active region are formed adjacent to the second gate structure. An interlayer dielectric is deposited and a polishing process is performed. In the polishing process, a surface of the interlayer dielectric is planarized and the dummy gate electrodes of the first and second gate structures are exposed. The first and second openings are formed by removing the exposed dummy gate electrodes.

Ausführungsformen der Erfindung werden mit Bezug auf die Figuren beschrieben. Es zeigen:Embodiments of the invention will be described with reference to the figures. Show it:

1 bis 4 schematische Querschnittsansichten einer Halbleiterstruktur in Stadien eines Herstellungsprozesses gemäß einer Ausführungsform; und 1 to 4 schematic cross-sectional views of a semiconductor structure in stages of a manufacturing process according to an embodiment; and

5 und 6 schematische Querschnittsansichten einer Halbleiterstruktur in Stadien eines Herstellungsprozesses gemäß einer anderen Ausführungsform. 5 and 6 schematic cross-sectional views of a semiconductor structure in stages of a manufacturing process according to another embodiment.

1 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 100 in einem ersten Stadium eines erfindungsgemäßen Verfahrens zur Herstellung einer Halbleiterstruktur gemäß der vorliegenden Erfindung. 1 shows a schematic cross-sectional view of a semiconductor structure 100 in a first stage of a method according to the invention for producing a semiconductor structure according to the present invention.

Die Halbleiterstruktur 100 umfasst ein Substrat 101. In manchen Ausführungsformen kann das Substrat 101 ein massives Halbleitersubstrat sein, beispielsweise ein Wafer oder Plättchen aus einem Halbleitermaterial wie beispielsweise Silizium. In anderen Ausführungsformen kann das Substrat 101 ein Halbleiter-Auf-Isolator-Substrat (oft auch mit dem englischsprachigen Begriff „Semiconductor-on-Insulator Substrat” bezeichnet) sein, das eine Schicht aus einem Halbleitermaterial wie beispielsweise Silizium umfasst, die über einem Trägersubstrat angeordnet und von diesem durch eine elektrisch isolierende Schicht aus einem dielektrischen Material, beispielsweise Siliziumdioxid, getrennt ist. Das Trägersubstrat kann ein Wafer oder Plättchen aus einem Halbleitermaterial, beispielsweise Silizium, sein.The semiconductor structure 100 includes a substrate 101 , In some embodiments, the substrate may be 101 a solid semiconductor substrate, for example, a wafer or chip of a semiconductor material such as silicon. In other embodiments, the substrate 101 a semiconductor on insulator substrate (often also referred to with the term "semiconductor-on-insulator substrate"), which comprises a layer of a semiconductor material, such as silicon, which is arranged above a carrier substrate and from this by an electrically insulating Layer of a dielectric material, such as silicon dioxide, is separated. The carrier substrate may be a wafer or chip made of a semiconductor material, for example silicon.

Das Substrat 101 umfasst ein erstes aktives Gebiet 102 und ein zweites aktives Gebiet 103. Die aktiven Gebiete 102, 103 sind durch eine Isoliergrabenstruktur 104 voneinander und von anderen Schaltkreiselementen (nicht gezeigt) der Halbleiterstruktur 100, die sich außerhalb des in 1 dargestellten Teils der Halbleiterstruktur 100 befinden können, elektrisch isoliert. Die Isoliergrabenstruktur 104 kann eine Flachgrabenisolierung sein, die Gräben in dem Substrat 101 umfasst, die mit einem elektrisch isolierenden Material wie beispielsweise Siliziumdioxid gefüllt sind.The substrate 101 includes a first active area 102 and a second active area 103 , The active areas 102 . 103 are through an isolation trench structure 104 from each other and from other circuit elements (not shown) of the semiconductor structure 100 outside the in 1 represented part of the semiconductor structure 100 can be located, electrically isolated. The isolation trench structure 104 may be a shallow trench isolation, the trenches in the substrate 101 which are filled with an electrically insulating material such as silicon dioxide.

Das erste aktive Gebiet 102 umfasst ein Sourcegebiet 105, ein Kanalgebiet 106 und ein Draingebiet 107, wobei sich das Kanalgebiet 106 zwischen dem Sourcegebiet 105 und dem Draingebiet 107 befindet. Das Sourcegebiet 105 und das Draingebiet 107 können, wie in 1 gezeigt, eine Sourceerweiterung bzw. eine Drainerweiterung umfassen, die sich unter den Seitenwandabstandshalter 123 erstrecken und eine geringere Tiefe haben können als der Rest des Sourcegebiets 105 und des Draingebiets 107. Das Sourcegebiet 105 und das Draingebiet 107 können außerdem Silizidgebiete 111, 112 umfassen.The first active area 102 includes a source region 105 , a canal area 106 and a drainage area 107 , where the channel area 106 between the source area 105 and the drainage area 107 located. The source area 105 and the drainage area 107 can, as in 1 shown include a source extension and a drain extension, respectively, extending under the sidewall spacers 123 extend and may have a smaller depth than the rest of the source region 105 and the drainage area 107 , The source area 105 and the drainage area 107 can also silicide areas 111 . 112 include.

Über dem aktiven Gebiet 102 befindet sich eine Gatestruktur 115. Die Gatestruktur 115 umfasst eine Dummy-Gateelektrode 117, die beispielsweise Polysilizium enthalten kann. Die Dummy-Gateelektrode 117 ist über dem Kanalgebiet 106 des aktiven Gebiets 102 angeordnet und von diesem durch eine Dummy-Gateisolierschicht 119, die beispielsweise Siliziumdioxid enthalten kann, getrennt. Über der Dummy-Gateelektrode 117 kann sich eine Deckschicht 126 befinden, die beispielsweise Siliziumnitrid enthalten kann. Neben der Dummy-Gateelektrode 117 kann sich ein Seitenwandabstandshalter 123 befinden, der beispielsweise Siliziumnitrid enthalten kann. Zwischen der Dummy-Gateelektrode 117 und dem Seitenwandabstandshalter 123 kann sich eine Zwischenschicht 121 befinden, die ein anderes Material als das Material des Seitenwandabstandshalters 123 enthält, beispielsweise Siliziumdioxid.Over the active area 102 there is a gate structure 115 , The gate structure 115 includes a dummy gate electrode 117 , which may contain polysilicon, for example. The dummy gate electrode 117 is over the canal area 106 of the active area 102 and from this through a dummy gate insulating layer 119 , which may contain, for example, silica, separated. Above the dummy gate electrode 117 can be a topcoat 126 may contain, for example, silicon nitride. Next to the dummy gate electrode 117 can be a sidewall spacer 123 located, which may contain, for example, silicon nitride. Between the dummy gate electrode 117 and the sidewall spacer 123 can become an intermediate layer 121 are using a different material than the material of the sidewall spacer 123 contains, for example, silicon dioxide.

Ähnlich wie das aktive Gebiet 102 umfasst das aktive Gebiet 103 ein Sourcegebiet 108, ein Kanalgebiet 109 und ein Draingebiet 110, wobei sich das Kanalgebiet 109 zwischen dem Sourcegebiet 108 und dem Draingebiet 110 befindet. Das Sourcegebiet 108 und das Draingebiet 110 können eine Sourceerweiterung bzw. eine Drainerweiterung umfassen, wie in 1 dargestellt.Similar to the active area 102 includes the active area 103 a source area 108 , a canal area 109 and a drainage area 110 , where the channel area 109 between the source area 108 and the drainage area 110 located. The source area 108 and the drainage area 110 may include a source extension or a drain extension, as in FIG 1 shown.

Über dem Kanalgebiet 109 befindet sich eine Gatestruktur 116. Die Gatestruktur 116 umfasst eine Dummy-Gateisolierschicht 120, eine Dummy-Gateelektrode 118, eine Deckschicht 127, einen Seitenwandabstandshalter 124 und eine Zwischenschicht 122. Die Teile der Gatestruktur 116 können im Wesentlichen die gleichen Materialien enthalten wie die entsprechenden Teile der Gatestruktur 115. Im Sourcegebiet 108 und im Draingebiet 110 können sich Silizidgebiete 113, 114 befinden.Above the canal area 109 there is a gate structure 116 , The gate structure 116 includes a dummy gate insulating layer 120 , a dummy gate electrode 118 , a topcoat 127 a sidewall spacer 124 and an intermediate layer 122 , The parts of the gate structure 116 may contain substantially the same materials as the corresponding parts of the gate structure 115 , In the source area 108 and in the drainage area 110 can silicic areas 113 . 114 are located.

Über den aktiven Gebieten 102, 103 und den Gatestrukturen 115, 116 befindet sich ein Zwischenschichtdielektrikum 125, das ein dielektrisches Material, beispielsweise Siliziumdioxid, enthält.About the active areas 102 . 103 and the gate structures 115 . 116 there is an interlayer dielectric 125 containing a dielectric material, for example silicon dioxide.

In dem in 1 gezeigten Stadium des Herstellungsprozesses können die aktiven Gebiete 102, 103 ein kristallines Halbleitermaterial enthalten. Insbesondere können die Sourcegebiete 105, 108, die Kanalgebiete 106, 109 und die Draingebiete 107, 110 eine kristalline Ordnung aufweisen. Bei einer kristallinen Ordnung weist die Anordnung der Atome des Materials eine Fernordnung auf, wobei Abweichungen von einer idealen Fernordnung wie beispielsweise Gitterfehler und/oder Korngrenzen vorhanden sein können. In manchen Ausführungsformen kann das Substrat 101 oder zumindest der Teil des Substrats 101, in dem sich die aktiven Gebiete 102, 103 befinden (z. B. die Halbleiterschicht einer Halbleiter-Auf-Isolator-Struktur in Ausführungsformen, in denen das Substrat 101 ein Halbleiter-Auf-Isolator-Substrat ist) im Wesentlichen eine einkristalline Struktur mit einem durchgehenden, einheitlichen und/oder homogen Kristallgitter haben.In the in 1 shown stage of the manufacturing process, the active areas 102 . 103 contain a crystalline semiconductor material. In particular, the source regions 105 . 108 , the channel areas 106 . 109 and the drainage areas 107 . 110 have a crystalline order. In a crystalline order, the arrangement of the atoms of the material has a long-range order, wherein deviations from an ideal long-range order such as lattice defects and / or grain boundaries may be present. In some embodiments, the substrate may be 101 or at least the part of the substrate 101 in which are the active areas 102 . 103 (For example, the semiconductor layer of a semiconductor on insulator structure in embodiments in which the substrate 101 a semiconductor on insulator substrate) is substantially a single crystalline structure having a continuous, uniform and / or homogeneous crystal lattice.

Die aktiven Gebiete 102, 103 können dotiert sein, wobei sich die Dotierung der Sourcegebiete 105, 108 und der Draingebiete 107, 110 von der Dotierung des Kanalgebiets 106, 109 des jeweiligen aktiven Gebiets unterscheidet.The active areas 102 . 103 may be doped, with the doping of the source regions 105 . 108 and the drainage areas 107 . 110 from the doping of the channel region 106 . 109 of the respective active area.

Im aktiven Gebiet 102 können das Sourcegebiet 105 und das Draingebiet 107 p-dotiert sein und das Kanalgebiet 106 kann n-dotiert sein, entsprechend der Dotierung der Source-, Drain- und Kanalgebiete in einem p-Kanaltransistor. Im aktiven Gebiet 103 können das Sourcegebiet 108 und das Draingebiet 110 n-dotiert sein, und das Kanalgebiet 109 kann p-dotiert sein, entsprechend der Dotierung der Source-, Drain- und Kanalgebiete in einem n-Kanaltransistor. Die Dotierstoffkonzentrationen in den Sourcegebieten 105, 108, den Draingebieten 107, 110 und den Kanalgebieten 106, 109 können üblichen Werten von Dotierstoffkonzentrationen in aktiven Gebieten von Feldeffekttransistoren entsprechen.In the active area 102 can the source area 105 and the drainage area 107 be p-doped and the channel region 106 may be n-doped, corresponding to the doping of the source, drain and channel regions in a p-channel transistor. In the active area 103 can the source area 108 and the drainage area 110 be n-doped, and the channel region 109 may be p-doped, corresponding to the doping of the source, drain and channel regions in an n-channel transistor. The dopant concentrations in the source regions 105 . 108 , the drainage areas 107 . 110 and the channel areas 106 . 109 may correspond to common values of dopant concentrations in active regions of field effect transistors.

Die in 1 dargestellte Halbleiterstruktur 100 kann folgendermaßen hergestellt werden.In the 1 illustrated semiconductor structure 100 can be prepared as follows.

Das Substrat 101 kann in Form eines massiven Siliziumsubstrats oder eines Halbleiter-Auf-Isolator-Substrats bereitgestellt werden. In dem Substrat 101 kann die Isoliergrabenstruktur 104 mit Hilfe von Verfahren zur Herstellung einer Flachgrabenisolierung gebildet werden, die insbesondere Fotolithographieprozesse, Ätzprozesse, Oxidationsprozesse und Abscheidungsprozesse umfassen können. Anschließend können die aktiven Gebiete 102, 103 mit Hilfe von Ionenimplantationsprozessen dotiert werden, wobei die in diesen Ionenimplantationsprozessen erzeugte Dotierung der aktiven Gebiete 102, 103 im Wesentlichen der gewünschten Dotierung der Kanalgebiete 106, 109 entspricht. Bei der Dotierung des aktiven Gebiets 102 kann das aktive Gebiet 103 mit einer Maske, beispielsweise einer Fotolackmaske (nicht gezeigt) abgedeckt werden, und bei der Dotierung des aktiven Gebiets 103 kann das aktive Gebiet 102 mit einer Maske abgedeckt werden.The substrate 101 may be provided in the form of a bulk silicon substrate or a semiconductor on insulator substrate. In the substrate 101 can the isolation trench structure 104 be formed by methods for producing a shallow trench isolation, which may include in particular photolithography processes, etching processes, oxidation processes and deposition processes. Subsequently, the active areas 102 . 103 doped with the aid of ion implantation processes, wherein the doping of the active regions produced in these ion implantation processes 102 . 103 essentially the desired doping of the channel regions 106 . 109 equivalent. In the doping of the active area 102 can be the active area 103 covered with a mask, for example a photoresist mask (not shown), and in the doping of the active region 103 can be the active area 102 covered with a mask.

Danach können die Gatestrukturen 115, 116 gebildet werden. Zu diesem Zweck können Schichten aus den Materialien der Dummy-Gateisolierschichten 119, 120, der Dummy-Gateelektroden 117, 118 und der Deckschichten 126, 127 abgeschieden und mit Hilfe von Fotolithographieprozessen und Ätzprozessen strukturiert werden. Danach können Schichten aus Materialien der Zwischenschichten 121, 122 und der Seitenwandabstandshalter 123, 124 abgeschieden werden.After that, the gate structures can 115 . 116 be formed. For this purpose, layers of the materials of the dummy gate insulating layers 119 . 120 , the dummy gate electrodes 117 . 118 and the cover layers 126 . 127 deposited and patterned using photolithography processes and etching processes. Thereafter, layers of materials of the intermediate layers 121 . 122 and the sidewall spacer 123 . 124 be deposited.

Die Seitenwandabstandshalter 123, 124 können mit Hilfe eines anisotropen Ätzprozesses, beispielsweise eines Trockenätzprozesses, gebildet werden, wobei die Schicht aus dem Material der Zwischenschichten 121, 122 als eine Ätzstoppschicht verwendet werden kann. Der anisotrope Ätzprozess kann beendet werden, sobald die Teile der Schicht aus dem Material der Seitenwandabstandshalter 123, 124 über im Wesentlichen horizontalen Teilen der Halbleiterstruktur 100, wie beispielsweise den Oberflächen der Sourcegebiete 105, 108, der Draingebiete 107, 110 und der Deckschichten 126, 127 entfernt sind, während Teile der Schicht an den Seitenwänden der Dummy-Gateelektroden 117, 118 stehenbleiben und die Seitenwandabstandshalter 123, 124 bilden. Danach können die nicht von den Seitenwandabstandshaltern 123, 124 bedeckten Teile der Schicht aus dem Material der Zwischenschichten 121, 122 durch einen Ätzprozess entfernt werden.The sidewall spacers 123 . 124 can be formed by means of an anisotropic etching process, for example, a dry etching process, wherein the layer of the material of the intermediate layers 121 . 122 can be used as an etch stop layer. The anisotropic etch process may be terminated as soon as the portions of the sidewall spacer material layer 123 . 124 over substantially horizontal parts of the semiconductor structure 100 , such as the surfaces of the source regions 105 . 108 , the drainage area 107 . 110 and the cover layers 126 . 127 while portions of the layer are on the sidewalls of the dummy gate electrodes 117 . 118 stand still and the sidewall spacers 123 . 124 form. After that, those can not be removed from the sidewall spacers 123 . 124 covered parts of the layer of the material of the intermediate layers 121 . 122 be removed by an etching process.

Danach können Ionenimplantationsprozesse zum Dotieren der Sourcegebiete 105, 108 und der Draingebiete 107, 110 durchgeführt werden. Bei der Implantation von Dotierstoffen in das Sourcegebiet 105 und das Draingebiet 107 des aktiven Gebiets 102 kann das aktive Gebiet 103 mit einer Maske, beispielsweise einer Fotolackmaske, abgedeckt werden, und das aktive Gebiet 102 kann während der Implantation von Ionen in das Sourcegebiet 108 und das Draingebiet 110 des aktiven Gebiets 103 mit einer Maske abgedeckt werden, um die Source- und Draingebiete des aktiven Gebiets 102 und des aktiven Gebiets 103 unterschiedlich zu dotieren.Thereafter, ion implantation processes for doping the source regions 105 . 108 and the drainage areas 107 . 110 be performed. In the implantation of dopants in the source region 105 and the drainage area 107 of the active area 102 can be the active area 103 covered with a mask, such as a photoresist mask, and the active area 102 may be during the implantation of ions into the source region 108 and the drainage area 110 of the active area 103 covered with a mask to the source and Drain areas of the active area 102 and the active area 103 to dope differently.

In Ausführungsformen, in denen sich, wie oben beschrieben, unter den Seitenwandabstandshaltern 123, 124 Source- und Drainerweiterungen mit einer der Dotierung der jeweils benachbarten Source- und Draingebiete entsprechenden Dotierung befinden, können diese durch zusätzliche Ionenimplantationsprozesse, die nach der Bildung der Dummy-Gateelektroden 117, 118 und vor der Bildung der Seitenwandabstandshalter 123, 124 durchgeführt werden, gebildet werden.In embodiments where, as described above, under the sidewall spacers 123 . 124 Source and drain extensions are located with a doping corresponding to the doping of the respective adjacent source and drain regions, they can by additional ion implantation processes, after the formation of the dummy gate electrodes 117 . 118 and before the formation of the sidewall spacers 123 . 124 be formed.

Nach der Implantation von Dotierstoffen in die Sourcegebiete 105, 108 und die Draingebiete 107, 110 kann eine Wärmebehandlung durchgeführt werden, um die eingebrachten Dotierstoffe zu aktivieren.After implantation of dopants in the source regions 105 . 108 and the drainage areas 107 . 110 For example, a heat treatment may be performed to activate the introduced dopants.

Danach können die Silizidgebiete 111, 112, 113, 114 gebildet werden, indem eine Schicht aus einem Metall wie beispielsweise Wolfram, Nickel und/oder Titan über der Halbleiterstruktur 100 abgeschieden und eine Wärmebehandlung durchgeführt wird, um eine chemische Reaktion zwischen dem Metall und dem Halbleitermaterial der Sourcegebiete 105, 108 und der Draingebiete 107, 110 auszulösen. Überschüssiges Metall, das nicht mit dem Halbleitermaterial reagiert hat, kann durch einen Ätzprozess entfernt werden. Eine Bildung von Silizid in den Dummy-Gateelektroden 117, 118 die, wie oben ausgeführt, Polysilizium enthalten können, kann durch die Deckschichten 126, 127, die Zwischenschichten 121, 122 und die Seitenwandabstandshalter 123, 124 verhindert werden, die einen Kontakt zwischen dem Metall und den Dummy-Gateelektroden 117, 118 verhindern.Thereafter, the silicide areas 111 . 112 . 113 . 114 by forming a layer of a metal such as tungsten, nickel and / or titanium over the semiconductor structure 100 deposited and a heat treatment is performed to a chemical reaction between the metal and the semiconductor material of the source regions 105 . 108 and the drainage areas 107 . 110 trigger. Excess metal that has not reacted with the semiconductor material can be removed by an etching process. Formation of silicide in the dummy gate electrodes 117 . 118 which, as stated above, may contain polysilicon, may pass through the cover layers 126 . 127 , the intermediate layers 121 . 122 and the sidewall spacers 123 . 124 prevents contact between the metal and the dummy gate electrodes 117 . 118 prevent.

In manchen Ausführungsformen können die Aktivierung der Dotierstoffe in den Sourcegebieten 105, 108 und den Draingebieten 107, 110 und die Bildung der Silizidgebiete 111, 112, 113, 114 mit einer Wärmebehandlung durchgeführt werden, während in anderen Ausführungsformen nacheinander zwei Wärmebehandlungen durchgeführt werden können.In some embodiments, activation of the dopants in the source regions 105 . 108 and the drainage areas 107 . 110 and the formation of silicide areas 111 . 112 . 113 . 114 be performed with a heat treatment, while in other embodiments, two successive heat treatments can be performed.

Nach der Bildung der Silizidgebiete 111, 112, 113, 114 kann das Zwischenschichtdielektrikum 125 abgeschieden werden. In manchen Ausführungsformen, in denen das Zwischenschichtdielektrikum 125 Siliziumdioxid enthält, kann hierfür ein chemisches Dampfabscheidungsverfahren (CVD) oder ein plasmaverstärktes chemisches Dampfabscheidungsverfahren (PECVD) durchgeführt werden, bei dem ein Reaktionsgas verwendet wird, das Tetraethylorthosilikat (TEOS) enthält.After the formation of silicide areas 111 . 112 . 113 . 114 may be the interlayer dielectric 125 be deposited. In some embodiments, where the interlayer dielectric 125 For this purpose, a chemical vapor deposition (CVD) or a plasma enhanced chemical vapor deposition (PECVD) process can be carried out using a reaction gas containing tetraethyl orthosilicate (TEOS).

2 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsprozesses. 2 shows a schematic cross-sectional view of the semiconductor structure 100 at a later stage of the manufacturing process.

Nach der Abscheidung des Zwischenschichtdielektrikums 125 kann ein Polierprozess durchgeführt werden. Der Polierprozess kann ein chemisch-mechanischer Polierprozess sein, bei dem die Halbleiterstruktur 100 relativ zu einer Oberfläche eines Polierkissens bewegt wird, und einer Grenzfläche zwischen der Halbleiterstruktur 100 und dem Polierkissen ein Poliermittel zugeführt wird. Das Poliermittel kann Chemikalien, die mit den Materialien an der Oberfläche der Halbleiterstruktur 100 reagieren, enthalten. Produkte solcher chemischer Reaktionen können durch die Reibung zwischen der Halbleiterstruktur 100 und dem Polierkissen und/oder durch Schleifstoffe, die in dem Poliermittel enthalten sind, abgetragen werden.After the deposition of the interlayer dielectric 125 a polishing process can be carried out. The polishing process may be a chemical-mechanical polishing process in which the semiconductor structure 100 is moved relative to a surface of a polishing pad, and an interface between the semiconductor structure 100 and a polishing agent is supplied to the polishing pad. The polishing agent may be chemicals that interfere with the materials on the surface of the semiconductor structure 100 react, contain. Products of such chemical reactions may be due to the friction between the semiconductor structure 100 and the polishing pad and / or abrasives contained in the polishing agent are removed.

Bei dem Polierprozess kann eine Oberfläche des Zwischenschichtdielektrikums 125 planarisiert werden, so dass beispielsweise die in 1 dargestellten Hügel des Zwischenschichtdielektrikums 125, die sich bei der Abscheidung des Zwischenschichtdielektrikums 125 über den Gatestrukturen 115, 116 bilden können, entfernt werden und man eine im Wesentlichen ebene Oberfläche der Halbleiterstruktur 100 erhält. Außerdem können bei dem Polierprozess die Deckschichten 126, 127 auf den Dummy-Gateelektroden 117, 118 sowie Teile der Seitenwandabstandshalter 123, 124 und der Zwischenschichten 121, 122, die sich oberhalb der Dummy-Gateelektroden 117, 118 und neben den Deckschichten 126, 127 befinden, entfernt werden. Dadurch liegen nach dem Polierprozess die Dummy-Gateelektroden 117, 118 an der Oberfläche der Halbleiterstruktur 100 frei. Die nach dem Polierprozess in der Halbleiterstruktur 100 verbliebenen Teile des Zwischenschichtdielektrikums 125, der Seitenwandabstandshalter 123, 124 und der Zwischenschichten 121, 122 bilden eine dielektrische Struktur 203, die die freigelegten Dummy-Gateelektroden 117, 118 jeweils ringförmig umschließt.In the polishing process, a surface of the interlayer dielectric 125 be planarized, so that, for example, the in 1 shown mound of the interlayer dielectric 125 , which are involved in the deposition of the interlayer dielectric 125 over the gate structures 115 . 116 can be removed, and one has a substantially planar surface of the semiconductor structure 100 receives. In addition, in the polishing process, the outer layers 126 . 127 on the dummy gate electrodes 117 . 118 and parts of the sidewall spacers 123 . 124 and the intermediate layers 121 . 122 located above the dummy gate electrodes 117 . 118 and next to the cover layers 126 . 127 be removed. As a result, the dummy gate electrodes are after the polishing process 117 . 118 at the surface of the semiconductor structure 100 free. The after the polishing process in the semiconductor structure 100 remaining parts of the interlayer dielectric 125 , the side wall spacer 123 . 124 and the intermediate layers 121 . 122 form a dielectric structure 203 containing the exposed dummy gate electrodes 117 . 118 encloses annularly in each case.

Nach dem Polierprozess können die freigelegten Dummy-Gateelektroden 117, 118 entfernt werden. Zu diesem Zweck kann ein Ätzprozess durchgeführt werden, der dafür ausgelegt ist, das Material der Dummy-Gateelektroden 117, 118 relativ zu den Materialien der dielektrischen Struktur 203, d. h. den Materialien des Zwischenschichtdielektrikums 125, der Zwischenschichten 121, 122 und der Seitenwandabstandshalter 123, 124 selektiv zu entfernen. In Ausführungsformen, in denen die Zwischenschichten 121, 122 und das Zwischenschichtdielektrikum 125 Siliziumdioxid enthalten, die Seitenwandabstandshalter 123, 124 Siliziumnitrid enthalten und die Dummy-Gateelektroden 117, 118 Polysilizium enthalten, kann zum Entfernen der Dummy-Gateelektroden 117, 118 ein Ätzprozess verwendet werden, der dafür ausgelegt ist, Polysilizium relativ zu Siliziumdioxid und Siliziumnitrid selektiv zu ätzen. Der Ätzprozess kann ein Nassätzprozess oder ein Trockenätzprozess sein. Bei dem Ätzprozess können die Dummy-Gateisolierschichten 119, 120 als Ätzstoppschichten dienen, wodurch Beschädigungen der Kanalgebiete 106, 109 der aktiven Gebiete 102, 103 durch beim Ätzprozess verwendete Chemikalien vermieden oder zumindest in ihren Auswirkungen verringert werden können.After the polishing process, the exposed dummy gate electrodes 117 . 118 be removed. For this purpose, an etching process adapted to the material of the dummy gate electrodes may be performed 117 . 118 relative to the materials of the dielectric structure 203 ie, the materials of the interlayer dielectric 125 , the intermediate layer 121 . 122 and the sidewall spacer 123 . 124 selectively remove. In embodiments in which the intermediate layers 121 . 122 and the interlayer dielectric 125 Containing silica, the sidewall spacers 123 . 124 Silicon nitride and the dummy gate electrodes 117 . 118 Contain polysilicon, can be used to remove the dummy gate electrodes 117 . 118 an etching process adapted to selectively etch polysilicon relative to silicon dioxide and silicon nitride may be used. The etching process may be a wet etching process or a Be dry etching process. In the etching process, the dummy gate insulating films 119 . 120 serve as Ätzstoppschichten, causing damage to the channel areas 106 . 109 active areas 102 . 103 avoided by chemicals used in the etching process, or at least reduced in their effects.

Durch das Entfernen der Dummy-Gateelektroden 117, 118 werden Öffnungen 201, 202 in der dielektrischen Struktur 203 gebildet, die sich an den gleichen Stellen der Halbleiterstruktur 100 befinden wie zuvor die Dummy-Gateelektroden 117, 118. Somit befindet sich die Öffnung 201 der dielektrischen Struktur 203 über dem Kanalgebiet 106 des aktiven Gebiets 102, und die Öffnung 202 der dielektrischen Struktur 203 befindet sich über dem Kanalgebiet 109 des aktiven Gebiets 103.By removing the dummy gate electrodes 117 . 118 be openings 201 . 202 in the dielectric structure 203 formed in the same places of the semiconductor structure 100 are as before the dummy gate electrodes 117 . 118 , Thus, the opening is 201 the dielectric structure 203 over the canal area 106 of the active area 102 , and the opening 202 the dielectric structure 203 is located above the canal area 109 of the active area 103 ,

Nach dem Entfernen der Dummy-Gateelektroden 117, 118 können die Dummy-Gateisolierschichten 119, 120 entfernt werden, was durch einen weiteren Ätzprozess, der ein Nass- oder Trockenätzprozess sein kann, geschehen kann. In anderen Ausführungsformen können die Dummy-Gateisolierschichten 119, 120 zunächst am Boden der Öffnungen 201, 202 verbleiben und erst nach dem Ionenimplantationsprozess 204 und vor der Bildung endgültiger Gateisolierschichten 301, 302 (siehe 3), die unten genauer beschrieben werden, entfernt werden.After removing the dummy gate electrodes 117 . 118 may be the dummy gate insulating layers 119 . 120 can be removed, which can be done by another etching process, which may be a wet or dry etching process. In other embodiments, the dummy gate insulating layers 119 . 120 first at the bottom of the openings 201 . 202 remain and only after the ion implantation process 204 and before the formation of final gate insulating layers 301 . 302 (please refer 3 ), which are described in more detail below, are removed.

Durch die Öffnungen 201, 202 der dielektrischen Struktur 203 können Ionen in die Kanalgebiete 106, 109 der aktiven Gebiete 102, 103 implantiert werden. Zu diesem Zweck kann ein Ionenimplantationsprozess durchgeführt werden, der in 2 schematisch durch Pfeile 204 dargestellt ist. Bei dem Ionenimplantationsprozess 204 können gleichzeitig Ionen durch die Öffnung 201 und durch die Öffnung 202 implantiert werden. Somit ist der Ionenimplantationsprozess 204 ein gemeinsamer Ionenimplantationsprozess, bei dem eine Implantation von Ionen durch die Öffnung 201 und eine Implantation von Ionen durch die Öffnung 202 durchgeführt werden.Through the openings 201 . 202 the dielectric structure 203 can ions in the channel areas 106 . 109 active areas 102 . 103 be implanted. For this purpose, an ion implantation process may be carried out in 2 schematically by arrows 204 is shown. In the ion implantation process 204 can simultaneously ions through the opening 201 and through the opening 202 be implanted. Thus, the ion implantation process 204 a common ion implantation process, in which an implantation of ions through the opening 201 and implantation of ions through the opening 202 be performed.

Bei dem Ionenimplantationsprozess 204 kann die Halbleiterstruktur mit Ionen eines Elements bestrahlt werden, das, wenn es sich in dem Halbleitermaterial der aktiven Gebiete 102, 103 befindet, im Wesentlichen keine Dotierung des Halbleitermaterials bewirkt. In manchen Ausführungsformen kann die Halbleiterstruktur 100 bei dem Ionenimplantationsprozess 204 mit Siliziumionen bestrahlt werden. In anderen Ausführungsformen können Ionen eines anderen Halbleitermaterials als Silizium, beispielsweise Germaniumionen, verwendet werden. In weiteren Ausführungsformen können Ionen eines Edelgases, beispielsweise Xenonionen verwendet werden.In the ion implantation process 204 For example, the semiconductor structure may be irradiated with ions of an element which, when present in the semiconductor material of the active regions 102 . 103 is substantially no doping of the semiconductor material causes. In some embodiments, the semiconductor structure 100 in the ion implantation process 204 be irradiated with silicon ions. In other embodiments, ions of semiconductor material other than silicon, such as germanium ions, may be used. In other embodiments, ions of a noble gas, such as xenon ions, may be used.

Die Energie der beim Ionenimplantationsprozess 204 verwendeten Ionen kann sich im Bereich von ungefähr 5 keV bis ungefähr 30 keV befinden, und die Dosis der Ionen kann sich im Bereich von ungefähr 5·1013 Atome/cm2 bis ungefähr 2·1015 Atome/cm2 befinden.The energy of the ion implantation process 204 The ions used may be in the range of about 5 keV to about 30 keV, and the dose of ions may be in the range of about 5 x 10 13 atoms / cm 2 to about 2 x 10 15 atoms / cm 2 .

Ionen, die durch die Öffnungen 201, 202 implantiert werden, können in die Kanalgebiete 106, 109, die sich am Boden der Öffnungen 201, 202 befinden, eindringen und mit Atomen der Kanalgebiete 106, 109, wechselwirken. Dadurch können Atome des Halbleitermaterials der Kanalgebiete 106, 109 von ihren Plätzen im Kristallgitter entfernt werden, wodurch die ursprünglich vorhandene kristalline Ordnung des Halbleitermaterials zerstört werden kann. Die bei dem Ionenimplantationsprozess 204 angewendete Ionendosis kann derart gewählt sein, dass zumindest in Teilen der Kanalgebiete 106, 109 die kristalline Ordnung der Atome des Halbleitermaterials im Wesentlichen verloren geht, und das Halbleitermaterial amorphisiert wird. Dadurch bilden sich amorphe Gebiete 205, 206, in denen im Wesentlichen keine Fernordnung der Atome des Halbleitermaterials mehr vorhanden ist, aber noch eine gewisse Nahordnung der Atome vorhanden sein kann.Ions passing through the openings 201 . 202 can be implanted in the canal areas 106 . 109 that are at the bottom of the openings 201 . 202 are located, penetrate and with atoms of the channel areas 106 . 109 , interact. As a result, atoms of the semiconductor material of the channel regions 106 . 109 be removed from their places in the crystal lattice, whereby the originally existing crystalline order of the semiconductor material can be destroyed. The in the ion implantation process 204 applied ion dose can be chosen such that at least in parts of the channel regions 106 . 109 the crystalline order of the atoms of the semiconductor material is substantially lost, and the semiconductor material is amorphized. This forms amorphous areas 205 . 206 in which essentially no remote ordering of the atoms of the semiconductor material is no longer present, but a certain order of proximity of the atoms may still be present.

In manchen Ausführungsformen kann das amorphe Gebiet 205 das gesamte Kanalgebiet 106 des aktiven Gebiets 102 enthalten und das amorphe Gebiet 206 kann das gesamte Kanalgebiet 109 des aktiven Gebiets 103 enthalten. In anderen Ausführungsformen können nur Teile der Kanalgebiete 106, 109 amorphisiert werden, beispielsweise Teile der Kanalgebiete 106, 109 in der Nähe der am Boden der Öffnungen 201, 202 befindlichen Oberflächen der Kanalgebiete 106, 109. In weiteren Ausführungsformen können die amorphen Gebiete 205, 206 neben den Kanalgebieten 106, 109 auch andere Teile der aktiven Gebiete 102, 103 als die Kanalgebiete 106, 109 umfassen. Beispielsweise kann das amorphe Gebiet 205 Teile des Sourcegebiets 105 und des Draingebiets 107 enthalten, und das amorphe Gebiet 206 kann Teile des Sourcegebiets 108 und des Draingebiets 110 des aktiven Gebiets 103 enthalten. Das kann erreicht werden, indem die Ionen bei dem Ionenimplantationsprozess 204 schräg auf die Halbleiterstruktur 100 gerichtet werden, so dass Ionen in Bereiche der aktiven Gebiete 102, 103 unter den Seitenwandabstandshaltern 123, 124 eindringen können.In some embodiments, the amorphous region 205 the entire canal area 106 of the active area 102 included and the amorphous area 206 can the entire channel area 109 of the active area 103 contain. In other embodiments, only parts of the channel regions 106 . 109 be amorphized, for example, parts of the channel areas 106 . 109 near the bottom of the openings 201 . 202 located surfaces of the channel areas 106 . 109 , In other embodiments, the amorphous regions 205 . 206 next to the channel areas 106 . 109 also other parts of the active areas 102 . 103 as the channel areas 106 . 109 include. For example, the amorphous region 205 Parts of the source area 105 and the drainage area 107 included, and the amorphous area 206 can be parts of the source area 108 and the drainage area 110 of the active area 103 contain. This can be achieved by the ions in the ion implantation process 204 obliquely on the semiconductor structure 100 be directed so that ions in areas of active areas 102 . 103 under the sidewall spacers 123 . 124 can penetrate.

Ionen, die bei dem Ionenimplantationsprozess 204 auf die dielektrische Struktur 203 auftreffen, können von der dielektrischen Struktur 203 absorbiert werden. Dadurch können die Sourcegebiete 105, 108 und die Draingebiete 107, 110, oder zumindest Teile der Sourcegebiete 107, 108 und der Draingebiete 107, 110 in einem Abstand zu den Öffnungen 201, 201 vor einer Bestrahlung mit Ionen geschützt werden, oder die Dosis der Ionen kann zumindest soweit verringert werden, dass keine Amorphisierung der betreffenden Teile der Sourcegebiete 105, 108 und der Draingebiete 107, 110 stattfindet und die kristalline Ordnung des Halbleitermaterials darin im Wesentlichen erhalten bleibt.Ions that are involved in the ion implantation process 204 on the dielectric structure 203 can impinge on the dielectric structure 203 be absorbed. This allows the source areas 105 . 108 and the drainage areas 107 . 110 , or at least parts of the source areas 107 . 108 and the drainage areas 107 . 110 at a distance to the openings 201 . 201 be protected from ion irradiation, or at least the dose of the ions be reduced so far that no amorphization of the relevant parts of the source regions 105 . 108 and the drainage areas 107 . 110 takes place and the crystalline order of the semiconductor material is substantially retained therein.

3 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsprozesses. 3 shows a schematic cross-sectional view of the semiconductor structure 100 at a later stage of the manufacturing process.

Nach dem Ionenimplantationsprozess 204 können in der Öffnung 201 der dielektrischen Struktur 203 eine Gateisolierschicht 301 und eine Gateelektrode 303 gebildet werden. In der Öffnung 202 der dielektrischen Struktur 203 können eine Gateisolierschicht 302 und eine Gateelektrode 304 gebildet werden.After the ion implantation process 204 can in the opening 201 the dielectric structure 203 a gate insulating layer 301 and a gate electrode 303 be formed. In the opening 202 the dielectric structure 203 may be a gate insulating layer 302 and a gate electrode 304 be formed.

Die Gateisolierschichten 301, 302 können im Wesentlichen die gleiche Zusammensetzung haben. In Ausführungsformen können die Gateisolierschichten 301, 302 ein Material mit einer hohen Dielektrizitätskonstante, insbesondere einer größeren Dielektrizitätskonstante als der von Siliziumdioxid enthalten. Die Dielektrizitätskonstante der Gateisolierschichten 301, 302 kann größer als 4 sein. In manchen Ausführungsformen können die Gateisolierschichten 301, 302 ein oder mehrere der Materialien Hafniumoxid, Zirkoniumoxid, Aluminiumoxid, Tantaloxid, Hafniumsiliziumoxynitrid und Zirkoniumsiliziumoxynitrid enthalten, die eine relativ große Dielektrizitätskonstante haben. In manchen Ausführungsformen können die Gateisolierschichten 301, 302 eine Schicht aus Siliziumdioxid umfassen, die sich direkt auf dem Halbleitermaterial der Kanalgebiete 106, 109 befindet, und eine Schicht aus einem Material mit hoher Dielektrizitätskonstante, die sich auf der Schicht aus Siliziumdioxid befindet. In weiteren Ausführungsformen können die Gateisolierschichten 301, 302 auch mehr als zwei Teilschichten aus verschiedenen Materialien oder eine einzige im Wesentlichen homogene Materialschicht enthalten.The gate insulating layers 301 . 302 can essentially have the same composition. In embodiments, the gate insulating layers 301 . 302 a material with a high dielectric constant, in particular a larger dielectric constant than that of silicon dioxide. The dielectric constant of the gate insulating layers 301 . 302 can be greater than 4 In some embodiments, the gate insulating layers 301 . 302 one or more of the materials include hafnium oxide, zirconia, alumina, tantalum oxide, hafnium silicon oxynitride, and zirconium silicon oxynitride, which have a relatively high dielectric constant. In some embodiments, the gate insulating layers 301 . 302 comprise a layer of silicon dioxide directly on the semiconductor material of the channel regions 106 . 109 and a layer of high-dielectric-constant material located on the layer of silicon dioxide. In further embodiments, the gate insulating layers 301 . 302 also contain more than two partial layers of different materials or a single substantially homogeneous material layer.

Die Gateelektroden 303, 304 können verschiedene Materialien enthalten. Insbesondere kann die Gateelektrode 303 ein Metall mit einer zur Verwendung als Gateelektrodenmaterial in einem p-Kanaltransistor geeigneten Austrittsarbeit enthalten. Das Metall kann beispielsweise Aluminium, Aluminiumnitrid und/oder Titannitrid sein.The gate electrodes 303 . 304 can contain different materials. In particular, the gate electrode 303 a metal having a workfunction suitable for use as a gate electrode material in a p-channel transistor. The metal may be, for example, aluminum, aluminum nitride and / or titanium nitride.

Die Gateelektrode 304 kann ein Metall mit einer für eine Gateelektrode eines n-Kanaltransistors geeigneten Austrittsarbeit enthalten. Insbesondere kann die Gateelektrode 304 Lanthan, Lanthannitrid und/oder Titannitrid enthalten.The gate electrode 304 may include a metal having a work function suitable for a gate electrode of an n-channel transistor. In particular, the gate electrode 304 Lanthanum, lanthanum nitride and / or titanium nitride.

Die Metalle der Gateelektroden 303, 304 können jeweils eine elastische Verspannung aufweisen, wobei sich die elastischen Spannungen der Materialien der Gateelektroden 303, 304 voneinander unterscheiden können. Insbesondere kann das Metall der Gateelektrode 303 eine intrinsische elastische Zugspannung aufweisen, und das Metall der Gateelektrode 304 kann eine intrinsische elastische Druckspannung aufweisen. In anderen Ausführungsformen können die Metalle der Gateelektroden 303, 304 eine elastische Spannung gleichen Typs, aber unterschiedlicher Stärke aufweisen. Beispielsweise können die Metalle der Gateelektroden 303, 304 jeweils eine Druckspannung aufweisen, wobei das Metall der Gateelektrode 303 schwächer verspannt ist als das Metall der Gateelektrode 304. Alternativ können die Metalle der Gateelektroden 303, 304 jeweils eine Zugspannung aufweisen, wobei das Metall der Gateelektrode 303 stärker verspannt ist als das der Gateelektrode 304. In weiteren Ausführungsformen kann das Metall von einer der beiden Gateelektroden 303, 304 im Wesentlichen unverspannt sein und das Metall der anderen Gateelektrode kann eine Zugspannung oder Druckspannung aufweisen.The metals of the gate electrodes 303 . 304 can each have an elastic strain, wherein the elastic stresses of the materials of the gate electrodes 303 . 304 can differ from each other. In particular, the metal of the gate electrode 303 have an intrinsic elastic tensile stress, and the metal of the gate electrode 304 may have an intrinsic elastic compressive stress. In other embodiments, the metals of the gate electrodes 303 . 304 have an elastic tension of the same type but different thickness. For example, the metals of the gate electrodes 303 . 304 each having a compressive stress, wherein the metal of the gate electrode 303 is weaker than the metal of the gate electrode 304 , Alternatively, the metals of the gate electrodes 303 . 304 each having a tensile stress, wherein the metal of the gate electrode 303 is more strained than that of the gate electrode 304 , In further embodiments, the metal may be from one of the two gate electrodes 303 . 304 be substantially unstressed and the metal of the other gate electrode may have a tensile stress or compressive stress.

Zur Herstellung der Gateisolierschichten 301, 302 können Techniken zur Abscheidung von Materialien wie beispielsweise die chemische Dampfabscheidung, die plasmaverstärkte chemische Dampfabscheidung oder Techniken der physikalischen Dampfabscheidung, wie beispielsweise Sputtern, verwendet werden.For the preparation of the gate insulating layers 301 . 302 For example, techniques for depositing materials such as chemical vapor deposition, plasma enhanced chemical vapor deposition or physical vapor deposition techniques such as sputtering may be used.

Nach der Abscheidung einer Schicht aus dem Material der Gateisolierschichten 301, 302, die zunächst im Wesentlichen die gesamte Oberfläche der Halbleiterstruktur 100 bedecken kann, kann eine Schicht aus dem Metall von einer der Gateelektroden 303, 304 abgeschieden werden, beispielsweise eine Schicht aus dem Metall der Gateelektrode 303. Zu diesem Zweck können Verfahren der chemischen Dampfabscheidung, plasmaverstärkten chemischen Dampfabscheidung, physikalischen Dampfabscheidung und/oder Atomlagenabscheidung (ALD, die englische Abkürzung steht für „Atomic Layer Deposition”) verwendet werden.After deposition of a layer of the material of the gate insulating layers 301 . 302 that initially essentially covers the entire surface of the semiconductor structure 100 can cover a layer of the metal of one of the gate electrodes 303 . 304 are deposited, for example, a layer of the metal of the gate electrode 303 , For this purpose, methods of chemical vapor deposition, plasma-enhanced chemical vapor deposition, physical vapor deposition and / or atomic layer deposition (ALD, the abbreviation stands for "Atomic Layer Deposition") can be used.

Die elastische Verspannung des Metalls der Gateelektrode 303 kann durch Anpassung der Parameter des verwendeten Abscheidungsprozesses gesteuert werden. Beispielsweise kann bei der Abscheidung eines Metalls durch Sputtern die elastische Verspannung des Metalls durch die Stärke der an das Sputtertarget angelegten Gleichspannung und/oder der Dichte und/oder der Temperatur und/oder der Zusammensetzung des zur Erzeugung der Sputterionen verwendeten Plasmas beeinflusst werden.The elastic strain of the metal of the gate electrode 303 can be controlled by adjusting the parameters of the deposition process used. For example, in the deposition of a metal by sputtering, the elastic strain of the metal may be affected by the magnitude of the DC voltage applied to the sputtering target and / or the density and / or temperature and / or composition of the plasma used to produce the sputtering ions.

Bei einer Abscheidung durch ein chemisches Dampfabscheidungsverfahren kann die elastische Verspannung des Metalls durch Anpassung der Zusammensetzung des Reaktionsgases, sowie weiterer Parameter des Reaktionsgases, beispielsweise Druck und Temperatur, gesteuert werden. Bei einer Abscheidung mithilfe eines plasmaverstärkten chemischen Dampfabscheidungsverfahrens können neben den zuvor in Zusammenhang mit der chemischen Dampfabscheidung genannten Parametern Parameter wie beispielsweise die Stärke einer angelegten Gleichspannung sowie einer Wechselspannung mit Radiofrequenz, durch die das Plasma erzeugt wird, gesteuert werden.In a deposition by a chemical vapor deposition method, the elastic strain of the metal by adjusting the composition of the reaction gas, as well as other parameters of the reaction gas, for example Pressure and temperature, to be controlled. In a plasma enhanced chemical vapor deposition process deposition, parameters such as the magnitude of an applied DC voltage and a radio frequency AC voltage through which the plasma is generated may be controlled in addition to the parameters previously associated with chemical vapor deposition.

Bei einer Abscheidung mit Hilfe der Atomlagenabscheidung können Parameter des Abscheidungsprozesses wie Gasflüsse, Drücke, Temperatur und Dauer der Phasen des Abscheidungsprozesses gesteuert werden, um die elastische Verspannung des Metalls einzustellen.In deposition by atomic layer deposition, parameters of the deposition process such as gas flows, pressures, temperature and duration of the phases of the deposition process can be controlled to adjust the elastic strain of the metal.

In weiteren Ausführungsformen kann die elastische Verspannung des Metalls durch Steuern der Dicke der abgeschiedenen Metallschicht beeinflusst werden. Wenn die Dicke der Metallschicht kleiner als die Tiefe der Vertiefung 201 ist, kann über der Metallschicht eine Schicht aus einem anderen Material, beispielsweise amorphem Silizium oder polykristallinem Silizium, abgeschieden werden, um die Vertiefung 201 vollständig zu füllen.In other embodiments, the elastic strain of the metal may be affected by controlling the thickness of the deposited metal layer. When the thickness of the metal layer is smaller than the depth of the recess 201 For example, a layer of another material, such as amorphous silicon or polycrystalline silicon, may be deposited over the metal layer around the recess 201 to fill completely.

Nach der Abscheidung des Metalls der Gateelektrode 303 kann das aktive Gebiet 102 durch eine Maske, beispielsweise eine Fotolackmaske oder eine Hartmaske, abgedeckt werden und es kann ein Ätzprozess durchgeführt werden, um Teile der Schicht aus dem Material der Gateelektrode 303 über dem aktiven Gebiet 103 sowie, falls vorhanden, der darüber gebildeten Schicht aus dem anderen Material, zu entfernen. Danach kann die Maske entfernt werden und es kann eine Schicht aus dem Metall der Gateelektrode 304 über der Halbleiterstruktur 100 abgeschieden werden. Ähnlich wie bei der Abscheidung der Schicht aus dem Metall der Gateelektrode 303 kann die elastische Verspannung der Schicht aus dem Metall der Gateelektrode 304 durch eine Anpassung der Parameter des Abscheidungsprozesses und/oder der Dicke der Schicht gesteuert werden. In Ausführungsformen, in denen die Dicke der Schicht geringer als die Tiefe der Vertiefung 202 ist, kann über der Schicht aus dem Metall der Gateelektrode 304 eine Schicht aus einem anderen Material, beispielsweise amorphem oder polykristallinem Silizium, abgeschieden werden, um die Vertiefung 202 vollständig zu füllen.After the deposition of the metal of the gate electrode 303 can be the active area 102 by a mask, such as a photoresist mask or a hard mask, and an etching process may be performed to remove portions of the layer of the material of the gate electrode 303 over the active area 103 and, if present, the layer of the other material formed above it. Thereafter, the mask can be removed and it can be a layer of the metal of the gate electrode 304 over the semiconductor structure 100 be deposited. Similar to the deposition of the layer of the metal of the gate electrode 303 can the elastic strain of the layer of the metal of the gate electrode 304 be controlled by adjusting the parameters of the deposition process and / or the thickness of the layer. In embodiments in which the thickness of the layer is less than the depth of the recess 202 is, can over the layer of the metal of the gate electrode 304 a layer of another material, such as amorphous or polycrystalline silicon, are deposited around the recess 202 to fill completely.

Danach können Teile der Schicht aus dem Metall der Gateelektrode 303 und der Schicht aus dem Metall der Gateelektrode 304, sowie Teile der Schicht aus dem Material der Gateisolierschichten 301, 302, und, falls vorhanden, weiterer Materialschichten über den Metallschichten, die außerhalb der Öffnungen 201, 202 der dielektrischen Struktur 203 abgeschieden wurden, durch einen Polierprozess, beispielsweise einen chemisch-mechanischen Polierprozess, entfernt werden.Thereafter, portions of the layer of the metal of the gate electrode 303 and the layer of the metal of the gate electrode 304 , as well as parts of the layer of the material of the gate insulating layers 301 . 302 , and, if present, additional layers of material over the metal layers outside the openings 201 . 202 the dielectric structure 203 are removed by a polishing process, such as a chemical-mechanical polishing process removed.

In anderen Ausführungsformen kann zuerst eine Schicht aus dem Metall der Gateelektrode 304 abgeschieden werden, dann können Teile der Schicht aus dem Material der Gateelektrode 304 über dem aktiven Gebiet 102 entfernt werden und es kann eine Schicht aus dem Metall der Gateelektrode 303 abgeschieden werden. Danach können Teile der Schichten aus den Metallen der Gateelektroden 303, 304 und der Schicht aus dem Material der Gateisolierschichten 301, 302, die außerhalb der Öffnungen 201, 202 abgeschieden wurden, durch einen Polierprozess entfernt werden.In other embodiments, first, a layer of the metal of the gate electrode 304 can be deposited, then parts of the layer of the material of the gate electrode 304 over the active area 102 can be removed and it can be a layer of the metal of the gate electrode 303 be deposited. Thereafter, portions of the layers of the metals of the gate electrodes 303 . 304 and the layer of the material of the gate insulating layers 301 . 302 that are outside the openings 201 . 202 were removed, removed by a polishing process.

In manchen Ausführungsformen können die Schicht aus dem Metall der Gateelektrode 303 und die Schicht aus dem Metall der Gateelektrode 304 Titannitrid (TiN) enthalten. Eine TiN-Schicht mit einer intrinsischen elastischen Druckspannung kann beispielsweise durch Abscheidung mit Hilfe einer Atomlagenabscheidung erhalten werden, wobei die Stärke der elastischen Spannung unter anderem durch die Wahl der Dicke der Titannitridschicht beeinflusst werden kann. Beispielsweise kann eine Titannitridschicht mit einer relativ geringen Dicke von ungefähr 3 nm eine stärkere Druckspannung aufweisen als eine Titannitridschicht mit einer etwas größeren Dicke von ungefähr 20 nm. Durch eine Herstellung mit Hilfe der Atomlagenabscheidung kann vorteilhafterweise auch eine besonders gute Konformität der Schicht erreicht werden. Bei einer Abscheidung einer Titannitridschicht durch eine chemische Dampfabscheidung oder eine plasmaverstärkte chemische Dampfabscheidung kann die intrinsische elastische Spannung der Schicht je nach Wahl der Parameter des Abscheidungsprozesses eine Druckspannung oder eine Zugspannung sein.In some embodiments, the layer of metal may be the gate electrode 303 and the layer of the metal of the gate electrode 304 Titanium nitride (TiN) included. A TiN layer with an intrinsic elastic compressive stress can be obtained, for example, by deposition by means of atomic layer deposition, wherein the strength of the elastic stress can be influenced inter alia by the choice of the thickness of the titanium nitride layer. For example, a titanium nitride layer having a relatively small thickness of about 3 nm may have a greater compressive stress than a titanium nitride layer having a somewhat larger thickness of about 20 nm. By manufacturing by atomic layer deposition, particularly good conformability of the layer may be advantageously achieved. In a deposition of a titanium nitride layer by a chemical vapor deposition or a plasma enhanced chemical vapor deposition, the intrinsic elastic stress of the layer may be a compressive stress or a tensile stress, depending on the parameters of the deposition process.

Nach dem Bilden der Gateelektroden 303, 304 kann eine Rekristallisation der Kanalgebiete 106, 109 der aktiven Gebiete 102, 103 durchgeführt werden. Dabei sind die elastisch verspannten Materialien der Gateelektroden 303, 304 in der Öffnung 201 bzw. in der Öffnung 202 anwesend und können eine elastische Verspannung der Kanalgebiete 106, 109 bewirken.After forming the gate electrodes 303 . 304 may be a recrystallization of the channel areas 106 . 109 active areas 102 . 103 be performed. In this case, the elastically strained materials of the gate electrodes 303 . 304 in the opening 201 or in the opening 202 present and can be an elastic strain of the channel areas 106 . 109 cause.

Die Rekristallisation der Kanalgebiete 106, 109 kann ein Durchführen einer Wärmebehandlung umfassen, was in 3 schematisch durch Pfeile 305 dargestellt ist. Bei der Wärmebehandlung 305 können die Kanalgebiete 106, 109 rekristallisiert werden, so dass die Wärmebehandlung 305 eine gemeinsame Wärmebehandlung für die Rekristallisation des Kanalgebiets 106 und die Rekristallisation des Kanalgebiets 109 ist.The recrystallization of the channel areas 106 . 109 may include performing a heat treatment, what in 3 schematically by arrows 305 is shown. In the heat treatment 305 can the channel areas 106 . 109 be recrystallized, so that the heat treatment 305 a common heat treatment for the recrystallization of the channel region 106 and the recrystallization of the channel region 109 is.

Bei der Wärmebehandlung 305 kann die Halbleiterstruktur 100 während einer relativ kurzen Zeit einer relativ hohen Temperatur ausgesetzt werden. Eine Wärmebehandlung bei einer relativ hohen Temperatur und mit einer relativ kurzen Dauer kann im Vergleich zu einer Rekristallisation der Kanalgebiete 106, 109, die mit einer Wärmebehandlung bei geringerer Temperatur und mit längerer Dauer durchgeführt wird, eine geringere Diffusion der Dotierstoffe in den Sourcegebieten 105, 108 und in den Draingebieten 107, 110 bewirken. Somit kann eine Wärmebehandlung bei einer relativ hohen Temperatur und mit einer relativ kurzen Dauer dabei helfen, ein Verschmieren der Dotierprofile der Sourcegebiete 105, 108 und der Draingebiete 107, 110 im Wesentlichen zu verhindern oder zumindest zu verringern. In the heat treatment 305 can the semiconductor structure 100 be exposed to a relatively high temperature for a relatively short time. Heat treatment at a relatively high temperature and with a relatively short duration can be compared to recrystallization of the channel regions 106 . 109 which is carried out with a heat treatment at a lower temperature and with a longer duration, a lower diffusion of the dopants in the source regions 105 . 108 and in the drainage areas 107 . 110 cause. Thus, heat treatment at a relatively high temperature and with a relatively short duration may help smear the doping profiles of the source regions 105 . 108 and the drainage areas 107 . 110 essentially prevent or at least reduce it.

In manchen Ausführungsformen kann die Wärmebehandlung 305 ein Bestrahlen der Halbleiterstruktur 100 mit elektromagnetischer Strahlung, insbesondere mit Licht, wobei sich um sichtbares, ultraviolettes und/oder infrarotes Licht handeln kann, umfassen. Das Bestrahlen der Halbleiterstruktur 100 kann mithilfe eines Lasers und/oder ein oder mehreren Blitzlampen durchgeführt werden.In some embodiments, the heat treatment 305 an irradiation of the semiconductor structure 100 with electromagnetic radiation, in particular with light, which may be visible, ultraviolet and / or infrared light include. The irradiation of the semiconductor structure 100 can be done using a laser and / or one or more flashlamps.

In manchen Ausführungsformen ist die Dauer der Wärmebehandlung, insbesondere die Länge des Zeitraums, während dessen die Halbleiterstruktur 100 mit der elektromagnetischen Strahlung bestrahlt wird, kürzer als 100 ms. Beispielsweise kann die Wärmebehandlung 305 eine Dauer im Bereich von einigen Millisekunden, insbesondere eine Dauer im Bereich von 1 ms bis 10 ms haben. Die Wärmebehandlung kann bei einer Temperatur von 1000°C oder mehr durchgeführt werden, wobei zumindest ein oberflächennaher Teil der Halbleiterstruktur 100, in dem sich die aktiven Gebiete 102, 103 befinden, die Temperatur, bei der die Wärmebehandlung durchgeführt wird, erreicht. In manchen Ausführungsformen kann die Wärmebehandlung bei einer Temperatur im Bereich von 900°C bis ungefähr 1250°C, beispielsweise einer Temperatur von 1200°C durchgeführt werden.In some embodiments, the duration of the heat treatment, in particular the length of the period during which the semiconductor structure is 100 is irradiated with the electromagnetic radiation, shorter than 100 ms. For example, the heat treatment 305 have a duration in the range of a few milliseconds, in particular a duration in the range of 1 ms to 10 ms. The heat treatment may be performed at a temperature of 1000 ° C or more, wherein at least a near-surface portion of the semiconductor structure 100 in which are the active areas 102 . 103 be reached, the temperature at which the heat treatment is performed reaches. In some embodiments, the heat treatment may be performed at a temperature in the range of 900 ° C to about 1250 ° C, for example, a temperature of 1200 ° C.

Bei der Rekristallisation der Kanalgebiete 106, 109 kann das Halbleitermaterial in den amorphen Gebieten 205, 206, die durch den Ionenimplantationsprozess 204 gebildet wurden, wieder eine kristalline Ordnung annehmen, so dass die Atome des Halbleitermaterials in den Kanalgebieten 106, 109 wieder eine Fernordnung aufweisen.In the recrystallization of the channel areas 106 . 109 can the semiconductor material in the amorphous areas 205 . 206 caused by the ion implantation process 204 again assume a crystalline order, so that the atoms of the semiconductor material in the channel areas 106 . 109 again have a long distance order.

Während der Rekristallisation wird das Halbleitermaterial des Kanalgebiets 106 durch die elastische Verspannung des Materials der Gateelektrode 303 beeinflusst, so dass sich die Atome des Halbleitermaterials des Kanalgebiets 106 in Abständen voneinander anordnen können, die sich von der natürlichen Gitterkonstanten des Halbleitermaterials unterscheiden, was einer intrinsischen elastischen Verspannung des Materials des Kanalgebiets 106 entspricht. Insbesondere kann bei einer intrinsischen elastischen Zugspannung des Materials der Gateelektrode 303 eine intrinsische elastische Druckspannung des Halbleitermaterials des Kanalgebiets 106 erhalten werden. Nach der Rekristallisation des Kanalgebiets 106 kann die intrinsische elastische Spannung des Halbleitermaterials im Kanalgebiet 106 ”eingefroren” sein, d. h. sie würde zumindest teilweise weiter bestehen bleiben, wenn die Gateelektrode 303 entfernt würde.During recrystallization, the semiconductor material of the channel region becomes 106 by the elastic strain of the material of the gate electrode 303 influenced, so that the atoms of the semiconductor material of the channel region 106 at intervals that are different from the natural lattice constants of the semiconductor material, indicating an intrinsic elastic strain of the material of the channel region 106 equivalent. In particular, with an intrinsic elastic tensile stress of the material of the gate electrode 303 an intrinsic elastic compressive stress of the semiconductor material of the channel region 106 to be obtained. After recrystallization of the channel region 106 can the intrinsic elastic stress of the semiconductor material in the channel region 106 "Frozen", ie it would at least partially continue to exist when the gate electrode 303 would be removed.

Entsprechend wird das Kanalgebiet 109 bei seiner Rekristallisation durch die intrinsische elastische Spannung des Materials der Gateelektrode 304 beeinflusst. Bei einer intrinsischen elastischen Druckspannung des Materials der Gateelektrode 304 kann in dem Kanalgebiet 109 eine intrinsische elastische Zugspannung erhalten werden.Accordingly, the channel area becomes 109 at its recrystallization by the intrinsic elastic stress of the material of the gate electrode 304 affected. At an intrinsic elastic compressive stress of the material of the gate electrode 304 can in the channel area 109 an intrinsic elastic tensile stress can be obtained.

Das aktive Gebiet 102 und die Gateelektrode 303 können einen p-Kanaltransistor mit einer intrinsischen elastischen Druckspannung im Kanalgebiet 106 bilden, und das aktive Gebiet 103 und die Gateelektrode 304 können einen n-Kanaltransistor mit einer intrinsischen elastischen Zugspannung im Kanalgebiet 109 bilden. Durch die elastische Zugspannung im Kanalgebiet 106 kann die Beweglichkeit der Löcher im Kanalgebiet 106, von der die Leitfähigkeit zwischen dem Sourcegebiet 105 und dem Draingebiet 107 des p-Kanaltransistors im eingeschalteten Zustand abhängt, erhöht werden. Durch die intrinsische elastische Druckspannung im Kanalgebiet 109 des n-Kanaltransistors kann die Beweglichkeit der Elektronen im Kanalgebiet 109, von der die elektrische Leitfähigkeit zwischen dem Sourcegebiet 108 und dem Draingebiet 110 des n-Kanaltransistors im eingeschalteten Zustand abhängt, erhöht werden.The active area 102 and the gate electrode 303 can be a p-channel transistor with an intrinsic elastic compressive stress in the channel region 106 form, and the active area 103 and the gate electrode 304 can be an n-channel transistor with an intrinsic elastic tensile stress in the channel region 109 form. Due to the elastic tension in the channel area 106 Can the mobility of the holes in the canal area 106 , from which the conductivity between the source region 105 and the drainage area 107 of the p-channel transistor in the on state depends increased. Due to the intrinsic elastic compressive stress in the channel area 109 of the n-channel transistor, the mobility of the electrons in the channel region 109 , from which the electrical conductivity between the source region 108 and the drainage area 110 of the n-channel transistor in the on state depends increased.

4 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 100 in einem späteren Stadium des Herstellungsprozesses. 4 shows a schematic cross-sectional view of the semiconductor structure 100 at a later stage of the manufacturing process.

Nach der Rekristallisation der Kanalgebiete 106, 109, kann über der Halbleiterstruktur 100 ein Zwischenschichtdielektrikum 401 abgeschieden werden. In Ausführungsformen kann das Zwischenschichtdielektrikum 401 im Wesentlichen die gleiche Zusammensetzung wie das Zwischenschichtdielektrikum 125 haben, beispielsweise kann es Siliziumdioxid enthalten. Das Zwischenschichtdielektrikum 401 kann mit Hilfe von Techniken der chemischen Dampfabscheidung und/oder der plasmaverstärkten chemischen Dampfabscheidung gebildet werden, wobei in Ausführungsformen, in denen das Zwischenschichtdielektrikum 401 Siliziumdioxid enthält, ein Reaktionsgas, das Tetraethylorthosilikat enthält, verwendet werden kann.After recrystallization of the channel areas 106 . 109 , can over the semiconductor structure 100 an interlayer dielectric 401 be deposited. In embodiments, the interlayer dielectric 401 essentially the same composition as the interlayer dielectric 125 For example, it may contain silica. The interlayer dielectric 401 can be formed using techniques of chemical vapor deposition and / or plasma enhanced chemical vapor deposition, in embodiments where the interlayer dielectric 401 Contains silica, a reaction gas containing tetraethyl orthosilicate, can be used.

Nach der Abscheidung des Zwischenschichtdielektrikums 401 können über dem aktiven Gebiet 102 eine Sourcekontaktstruktur 402, die das Sourcegebiet 105 elektrisch kontaktiert, eine Gatekontaktstruktur 404, die die Gateelektrode 303 elektrisch kontaktiert und eine Drainkontaktstruktur 405, die das Draingebiet 107 elektrisch kontaktiert, gebildet werden. Entsprechend können über dem aktiven Gebiet 103 eine Sourcekontaktstruktur 406, eine Gatekontaktstruktur 407 und eine Drainkontaktstruktur 408 gebildet werden. Die Source-, Gate- und Drainkontaktstrukturen 402, 404, 405, 406, 407, 408 können durch Bilden von Kontaktöffnungen in den Zwischenschichtdielektrika 125, 401, das durch Techniken der Fotolithografie und des Ätzens erfolgen kann, und Füllen der Kontaktöffnungen mit einem elektrisch leitfähigen Material, beispielsweise einem Metall, gebildet werden. Zum Füllen der Kontaktöffnungen mit dem Metall kann eine Metallschicht über der Halbleiterstruktur 100 abgeschieden werden und Teile der Metallschicht außerhalb der Kontaktöffnungen können durch einen chemisch-mechanischen Polierprozess entfernt werden. After the deposition of the interlayer dielectric 401 can over the active area 102 a source contact structure 402 that the source area 105 electrically contacted, a gate contact structure 404 that the gate electrode 303 electrically contacted and a drain contact structure 405 that the drain area 107 electrically contacted, are formed. Correspondingly, over the active area 103 a source contact structure 406 , a gate contact structure 407 and a drain contact structure 408 be formed. The source, gate and drain contact structures 402 . 404 . 405 . 406 . 407 . 408 can be achieved by forming contact openings in the interlayer dielectrics 125 . 401 , which can be done by techniques of photolithography and etching, and filling the contact openings with an electrically conductive material, such as a metal, are formed. For filling the contact openings with the metal, a metal layer over the semiconductor structure 100 can be deposited and parts of the metal layer outside the contact openings can be removed by a chemical-mechanical polishing process.

Die Erfindung ist nicht auf Ausführungsformen beschränkt, in denen, wie oben beschrieben, eine elastische Verspannung der Kanalgebiete 106, 109 durch elastisch verspannte Materialien in den Öffnungen der dielektrischen Struktur 203, die die endgültigen Gateelektroden der Transistoren bilden, bewirkt wird. In anderen Ausführungsformen können in die Öffnungen 201, 202 der dielektrischen Struktur 203 vor dem Rekristallisieren der Kanalgebiete 106, 109 elastisch verspannte Materialien eingebracht werden, die nach dem Rekristallisieren der Kanalgebiete 106, 109 wieder entfernt und durch die endgültigen Gateelektroden der Transistoren ersetzt werden. Im Folgenden werden solche Ausführungsformen mit Bezug auf die 5 und 6 beschrieben.The invention is not limited to embodiments in which, as described above, an elastic strain of the channel regions 106 . 109 by elastically strained materials in the openings of the dielectric structure 203 which form the final gate electrodes of the transistors is effected. In other embodiments, in the openings 201 . 202 the dielectric structure 203 before recrystallizing the channel regions 106 . 109 elastically stressed materials are introduced after recrystallization of the channel areas 106 . 109 be removed again and replaced by the final gate electrodes of the transistors. Hereinafter, such embodiments will be described with reference to FIGS 5 and 6 described.

5 zeigt eine schematische Querschnittsansicht einer Halbleiterstruktur 500 in einem Stadium eines erfindungsgemäßen Verfahrens. Einige Merkmale der Halbleiterstruktur 500 in dem in 5 dargestellten Stadium können Merkmalen der oben beschriebenen Halbleiterstruktur 100 in dem oben mit Bezug auf 3 beschriebenen Stadium entsprechen. Der Einfachheit halber sind in den 3 und 5 einander entsprechende Elemente der Halbleiterstrukturen 100, 500 mit gleichen Bezugszeichen bezeichnet. Sofern im Folgenden nicht ausdrücklich etwas anderes angegeben wird, können Eigenschaften von Elementen der Halbleiterstruktur 500 denen von Elementen der oben mit Bezug auf die 1 bis 4 beschriebenen Halbleiterstruktur 100, die mit gleichen Bezugszeichen bezeichnet sind, entsprechen, und Elemente der Halbleiterstrukturen 100, 500, die mit gleichen Bezugszeichen bezeichnet sind, können mit gleichen oder ähnlichen Verfahren hergestellt werden. 5 shows a schematic cross-sectional view of a semiconductor structure 500 at a stage of a method according to the invention. Some features of the semiconductor structure 500 in the 5 illustrated stage, features of the semiconductor structure described above 100 in the above with reference to 3 correspond to the described stage. For the sake of simplicity are in the 3 and 5 corresponding elements of the semiconductor structures 100 . 500 denoted by the same reference numerals. Unless expressly stated otherwise below, properties of elements of the semiconductor structure 500 those of elements of the above with respect to the 1 to 4 described semiconductor structure 100 , which are denoted by like reference numerals, correspond, and elements of the semiconductor structures 100 . 500 , which are denoted by like reference numerals, can be manufactured by the same or similar methods.

Die Halbleiterstruktur 500 umfasst ein Substrat 101, in dem aktive Gebiete 102, 103 gebildet sind, die voneinander durch eine Isoliergrabenstruktur 104 getrennt und elektrisch isoliert sind. Das aktive Gebiet 102 umfasst ein Sourcegebiet 105 und ein Draingebiet 107, die p-dotiert sein können, und ein Kanalgebiet 106, das n-dotiert sein kann. Das aktive Gebiet 103 umfasst ein Sourcegebiet 108 und ein Draingebiet 110, die n-dotiert sein können und ein Kanalgebiet 109, das p-dotiert sein kann. In den Sourcegebieten 105, 108 und den Draingebieten 107, 110 können Silizidgebiete 111, 112, 113, 114 gebildet sein. Im aktiven Gebiet 102 befindet sich ein amorpher Bereich 205, der das Kanalgebiet 106 oder zumindest Teile davon enthält, und im aktiven Gebiet 103 befindet sich ein amorpher Bereich 206, der das Kanalgebiet 109 oder zumindest Teile davon enthält.The semiconductor structure 500 includes a substrate 101 in which active areas 102 . 103 formed by an insulating trench structure from each other 104 separated and electrically isolated. The active area 102 includes a source region 105 and a drainage area 107 , which may be p-doped, and a channel region 106 that can be n-doped. The active area 103 includes a source region 108 and a drainage area 110 which may be n-doped and a channel region 109 that can be p-doped. In the source areas 105 . 108 and the drainage areas 107 . 110 can silicide areas 111 . 112 . 113 . 114 be formed. In the active area 102 there is an amorphous area 205 who is the channel area 106 or at least parts of it, and in the active area 103 there is an amorphous area 206 who is the channel area 109 or at least parts of it.

Über den aktiven Gebieten 102, 103 befindet sich eine dielektrische Struktur 203 mit einer Öffnung 201 über dem Kanalgebiet 106 des aktiven Gebiets 102 und einer Öffnung 202 über dem Kanalgebiet 109 des aktiven Gebiets 103. In der Öffnung 201 befinden sich eine Gateisolierschicht 301 und ein erstes elastisch verspannten Material 501. In der Öffnung 202 befinden sich eine Gateisolierschicht 302 und ein zweites elastisch verspanntes Material 502. Neben der Öffnung 201 befinden sich ein Seitenwandabstandshalter 123 und eine Zwischenschicht 121. Neben der Öffnung 202 befinden sich ein Seitenwandabstandshalter 124 und eine Zwischenschicht 122. Außer den Seitenwandabstandshaltern 123, 124 und den Zwischenschichten 121, 122 umfasst die dielektrische Struktur 203 ein Zwischenschichtdielektrikum 125.About the active areas 102 . 103 there is a dielectric structure 203 with an opening 201 over the canal area 106 of the active area 102 and an opening 202 over the canal area 109 of the active area 103 , In the opening 201 There is a gate insulation layer 301 and a first elastically strained material 501 , In the opening 202 There is a gate insulation layer 302 and a second elastically strained material 502 , Next to the opening 201 There is a sidewall spacer 123 and an intermediate layer 121 , Next to the opening 202 There is a sidewall spacer 124 and an intermediate layer 122 , Except the sidewall spacers 123 . 124 and the intermediate layers 121 . 122 includes the dielectric structure 203 an interlayer dielectric 125 ,

Die elastisch verspannten Materialien 501, 502 in den Öffnungen 201, 202 der dielektrischen Struktur 203 können verschiedene intrinsische elastische Spannungen aufweisen. Insbesondere kann das elastisch verspannte Material 501 eine intrinsische elastische Zugspannung aufweisen und das elastisch verspannte Material 502 kann eine intrinsische elastische Druckspannung aufweisen. In manchen Ausführungsformen können die elastisch verspannten Materialien 501, 502 jeweils ein Metall enthalten, wobei die elastisch verspannten Materialien 501, 502 in manchen Ausführungsformen das gleiche Metall enthalten können und in anderen Ausführungsformen verschiedene Metalle enthalten können. In anderen Ausführungsformen können die elastisch verspannten Materialien 501, 502 auch nicht metallische Materialien und/oder elektrisch nichtleitende Materialien enthalten.The elastically strained materials 501 . 502 in the openings 201 . 202 the dielectric structure 203 can have different intrinsic elastic stresses. In particular, the elastically tensioned material 501 have an intrinsic elastic tensile stress and the elastically strained material 502 may have an intrinsic elastic compressive stress. In some embodiments, the elastically strained materials 501 . 502 each containing a metal, wherein the elastically strained materials 501 . 502 In some embodiments, they may contain the same metal and, in other embodiments, may contain different metals. In other embodiments, the elastically strained materials 501 . 502 also contain non-metallic materials and / or electrically non-conductive materials.

Beispielsweise können in manchen Ausführungsformen eines oder beide der elastisch verspannten Materialien 501, 502 verspanntes Silizium, insbesondere Polysilizium enthalten. In manchen Ausführungsformen können eines oder beide der elastisch verspannten Materialien diamantähnlichen Kohlenstoff (DLC, die englische Abkürzung steht für „Diamond Like Carbon”) enthalten. Diamantähnlicher Kohlenstoff kann eine hohe intrinsische Druckspannung aufweisen, und kann beispielsweise mit den im oben genannten Artikel von Tan et. al. und den darin zitierten Artikeln beschriebenen Techniken abgeschieden werden. In weiteren Ausführungsformen können eines oder beide der elastisch verspannten Materialien 501, 502 elastisch verspanntes Siliziumdioxid und/oder elastisch verspanntes Siliziumnitrid enthalten. In solchen Ausführungsformen kann vor der Abscheidung des jeweiligen elastisch verspannten Materials eine dünne Ätzstoppschicht aus einem anderen Material, relativ zu dem das elastisch verspannte Material selektiv ätzbar ist, abgeschieden werden, um Beschädigungen der dielektrischen Struktur 203 bei einem späteren Entfernen des elastisch verspannten Materials zu vermeiden. Bei einer Abscheidung von Siliziumnitrid mit Hilfe einer plasmaverstärkten chemischen Dampfabscheidung kann die intrinsische elastische Spannung durch Anpassung der Parameter des Abscheidungsprozesses in einem weiten Bereich von Zug- und Druckspannungen eingestellt werden. In manchen Ausführungsformen können zur Herstellung elastisch verspannter Siliziumnitridschichten ähnliche Abscheidungsprozesse wie bei der eingangs beschriebenen Herstellung elastisch verspannter dielektrischer Materialschichten über Transistoren gemäß dem Stand der Technik eingesetzt werden.For example, in some embodiments, one or both of these may be elastic strained materials 501 . 502 strained silicon, in particular polysilicon included. In some embodiments, one or both of the elastically strained materials may include diamond-like carbon (DLC, which stands for Diamond Like Carbon). Diamond-like carbon can have a high intrinsic compressive stress, and can be obtained, for example, with the products of Tan et. al. and the techniques described therein. In other embodiments, one or both of the elastically strained materials 501 . 502 elastically strained silicon dioxide and / or elastically strained silicon nitride. In such embodiments, prior to depositing the respective elastically strained material, a thin etch stop layer of another material, relative to which the elastically strained material is selectively etchable, may be deposited to damage the dielectric structure 203 to avoid at a later removal of the elastically strained material. In depositing silicon nitride using plasma enhanced chemical vapor deposition, the intrinsic elastic strain can be adjusted by adjusting the parameters of the deposition process over a wide range of tensile and compressive stresses. In some embodiments similar deposition processes may be used to fabricate elastically strained silicon nitride layers as in the initially described fabrication of elastically strained dielectric material layers via prior art transistors.

Generell können zur Abscheidung der elastisch verspannten Materialien 501, 502 Verfahren der chemischen Dampfabscheidung, der plasmaverstärkten chemischen Dampfabscheidung, der physikalischen Dampfabscheidung und/oder der Atomlagenabscheidung verwendet werden. Die intrinsische elastische Spannung der elastisch verspannten Materialien 501, 502 kann durch Anpassen von Parametern des verwendeten Abscheidungsverfahrens gesteuert werden, ähnlich wie oben für die Gateelektroden 303, 304 der Halbleiterstruktur 100 beschrieben.Generally, for the deposition of elastically strained materials 501 . 502 Methods of chemical vapor deposition, plasma enhanced chemical vapor deposition, physical vapor deposition and / or atomic layer deposition can be used. The intrinsic elastic stress of elastically strained materials 501 . 502 can be controlled by adjusting parameters of the deposition method used, similar to the above for the gate electrodes 303 . 304 the semiconductor structure 100 described.

Um die elastisch verspannten Materialien 501, 502 mit unterschiedlicher intrinsischer elastischer Spannung in den Öffnungen 201, 202 der dielektrischen Struktur 203 bereitzustellen, können Verfahren der Fotolithografie und des Ätzens angewendet werden, ähnlich wie oben für die Gateelektroden 303, 304 der Halbleiterstruktur 100 beschrieben. Beispielsweise kann zuerst eine Schicht aus einem der elastisch verspannten Materialien über der Halbleiterstruktur 500 abgeschieden werden und durch Verfahren der Fotolithografie und des Ätzens von einem der beiden aktiven Gebiete 102, 103 entfernt werden. Anschließend kann eine Schicht aus dem anderen der elastisch verspannten Materialien 501, 502 abgeschieden werden, und überschüssiges Material kann durch einen chemisch mechanischen Polierprozess entfernt werden.To the elastically strained materials 501 . 502 with different intrinsic elastic strain in the openings 201 . 202 the dielectric structure 203 For example, methods of photolithography and etching can be applied, similar to the above for the gate electrodes 303 . 304 the semiconductor structure 100 described. For example, first, a layer of one of the elastically strained materials over the semiconductor structure 500 by photolithography and etching of one of the two active regions 102 . 103 be removed. Subsequently, a layer of the other of the elastically strained materials 501 . 502 can be deposited, and excess material can be removed by a chemical mechanical polishing process.

Nach dem Füllen der Öffnungen 201, 202 mit den elastisch verspannten Materialien 501, 502 können die Kanalgebiete 106, 109 der aktiven Gebiete 102, 103 rekristallisiert werden, beispielsweise durch Durchführen einer Wärmebehandlung 305. Merkmale der Wärmebehandlung 305 können Merkmalen der oben mit Bezug auf 3 beschriebenen Wärmebehandlung 305 entsprechen.After filling the openings 201 . 202 with the elastically strained materials 501 . 502 can the channel areas 106 . 109 active areas 102 . 103 be recrystallized, for example by performing a heat treatment 305 , Characteristics of heat treatment 305 can feature the above with respect to 3 described heat treatment 305 correspond.

Beim Rekristallisieren der Kanalgebiete 106, 109 kann, ähnlich wie oben mit Bezug auf 3 beschrieben, eine intrinsische elastische Spannung der nach der Rekristallisation wieder eine kristalline Ordnung aufweisenden Kanalgebiete 106, 109 erhalten werden. Die intrinsische elastische Spannung ist dabei im Halbleitermaterial der Kanalgebiete 106, 109 ”eingefroren”, so dass sie zumindest teilweise unabhängig von der Anwesenheit der elastisch verspannten Materialien 501, 502 über den Kanalgebieten 106, 109 fortbesteht.Upon recrystallization of the channel regions 106 . 109 can, similar to above with regard to 3 described an intrinsic elastic strain of the recrystallization again a crystalline order having channel regions 106 . 109 to be obtained. The intrinsic elastic stress is in the semiconductor material of the channel regions 106 . 109 "Frozen", so that they are at least partially independent of the presence of elastically strained materials 501 . 502 over the channel areas 106 . 109 persists.

6 zeigt eine schematische Querschnittsansicht der Halbleiterstruktur 500 in einem späteren Stadium des Verfahrens. 6 shows a schematic cross-sectional view of the semiconductor structure 500 at a later stage of the procedure.

Nach der Rekristallisation der Kanalgebiete 106, 109 die, wie oben mit Bezug auf 5 beschrieben, in Anwesenheit der elastisch verspannten Materialien 501, 502 in den Öffnungen 201, 202 der dielektrischen Struktur 203 durchgeführt wurde, können die elastisch verspannten Materialien 501, 502 aus den Öffnungen 201, 202 entfernt werden. Zu diesem Zweck kann Ätzprozess, insbesondere ein Nassätzprozess oder Trockenätzprozess, durchgeführt werden, bei dem die Halbleiterstruktur 500 einem Ätzmittel ausgesetzt wird, das dafür ausgelegt ist, die elastisch verspannten Materialien 501, 502 relativ zu den Materialien der dielektrischen Struktur 203 selektiv zu ätzen. Die Gateisolierschichten 301, 302 können dabei als Ätzstoppschichten dienen und zumindest teilweise am Boden der Öffnungen 201, 202 der dielektrischen Struktur 203 verbleiben.After recrystallization of the channel areas 106 . 109 which, as above with respect to 5 described in the presence of elastically strained materials 501 . 502 in the openings 201 . 202 the dielectric structure 203 was performed, the elastically strained materials 501 . 502 from the openings 201 . 202 be removed. For this purpose, an etching process, in particular a wet etching process or dry etching process, can be carried out, in which the semiconductor structure 500 an etchant designed to hold the elastically strained materials 501 . 502 relative to the materials of the dielectric structure 203 selectively etch. The gate insulating layers 301 . 302 can serve as Ätzstoppschichten and at least partially at the bottom of the openings 201 . 202 the dielectric structure 203 remain.

Nach dem Entfernen der elastisch verspannten Materialien 501, 502 kann in der Öffnung 201 der dielektrischen Struktur 203 über dem Kanalgebiet 106 des aktiven Gebiets 102 eine Gateelektrode 601 gebildet werden und in der Öffnung 202 der dielektrischen Struktur 203 über dem Kanalgebiet 109 des aktiven Gebiets 103 kann eine Gateelektrode 602 gebildet werden. Die Gateelektroden 601, 602 können ganz oder teilweise aus Metall gebildet sein, wobei die Gateelektroden 601, 602 verschiedene Metalle enthalten können. Insbesondere kann die Gateelektrode 601 ein Metall mit einer zur Verwendung in einem p-Kanaltransistor geeigneten Austrittsarbeit enthalten und die Gateelektrode 602 kann ein Metall mit einer zur Verwendung in einem n-Kanaltransistor geeigneten Austrittsarbeit enthalten. Zur Herstellung der Gateelektroden 601, 602 können entsprechende Techniken wie oben für die Herstellung der Gateelektroden 303, 304 der Halbleiterstruktur 100 beschrieben, angewendet werden, und für die Gateelektroden 601, 602 können die gleichen oder ähnliche Materialien verwendet werden wie oben für die Gateelektroden 303, 304 beschrieben.After removing the elastically strained materials 501 . 502 can in the opening 201 the dielectric structure 203 over the canal area 106 of the active area 102 a gate electrode 601 be formed and in the opening 202 the dielectric structure 203 over the canal area 109 of the active area 103 can be a gate electrode 602 be formed. The gate electrodes 601 . 602 may be formed wholly or partly of metal, wherein the gate electrodes 601 . 602 may contain different metals. In particular, the gate electrode 601 a metal with one for use containing in a p-channel transistor suitable work function and the gate electrode 602 may include a metal having a work function suitable for use in an n-channel transistor. For the preparation of the gate electrodes 601 . 602 may use appropriate techniques as above for the preparation of the gate electrodes 303 . 304 the semiconductor structure 100 described, applied, and for the gate electrodes 601 . 602 For example, the same or similar materials may be used as above for the gate electrodes 303 . 304 described.

Da die intrinsische elastische Spannung der Kanalgebiete 106, 109 bereits vor der Herstellung der Gateelektroden 601, 602 durch Rekristallisieren der Kanalgebiete 106, 109 in Anwesenheit der elastisch verspannten Materialien 501, 502 bereitgestellt wurde, und diese nach dem Entfernen der elastisch verspannten Materialien zumindest teilweise erhalten bleibt, müssen die Gateelektroden 601, 602 nicht unbedingt eine an die gewünschte elastische Verspannung der Kanalgebiete 106, 109 angepasste intrinsische elastische Spannung aufweisen. Beispielsweise können die Gateelektroden 601, 602 im Wesentlichen unverspannt sein. Dadurch ergibt sich eine größere Freiheit bei der Wahl der für die Gateelektroden 601, 602 verwendeten Materialien und der zu ihrer Herstellung verwendeten Prozesse, wodurch beispielsweise eine bessere Anpassung der Austrittsarbeiten der Gateelektroden 601, 602 und/oder des spezifischen Widerstands der Gateelektroden ermöglicht werden kann.As the intrinsic elastic strain of the channel areas 106 . 109 even before the manufacture of the gate electrodes 601 . 602 by recrystallizing the channel regions 106 . 109 in the presence of the elastically strained materials 501 . 502 has been provided, and this is at least partially retained after removing the elastically strained materials, the gate electrodes 601 . 602 not necessarily one to the desired elastic strain of the channel areas 106 . 109 have matched intrinsic elastic strain. For example, the gate electrodes 601 . 602 be essentially unstrung. This results in a greater freedom in the choice of the gate electrodes 601 . 602 used materials and the processes used for their preparation, whereby, for example, a better adaptation of the work functions of the gate electrodes 601 . 602 and / or the resistivity of the gate electrodes.

Nach dem Bilden der Gateelektroden 601, 602 können, wie oben mit Bezug auf 4 beschrieben, ein Zwischenschichtdielektrikum über der Halbleiterstruktur 500 abgeschieden werden und Sourcekontaktstrukturen, Drainkontaktstrukturen und Gatekontaktstrukturen können gebildet werden.After forming the gate electrodes 601 . 602 can, as above with respect to 4 described, an interlayer dielectric over the semiconductor structure 500 can be deposited and source contact structures, drain contact structures and gate contact structures can be formed.

ZITATE ENTHALTEN IN DER BESCHREIBUNG QUOTES INCLUDE IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant has been generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte Nicht-PatentliteraturCited non-patent literature

  • C. Y. Kang, et. al., „A Novel Electrode-Induced Strain Engineering for High Performance SOI FinFET utilizing Si (110) Channel for Both N and PMOSFETs”, Proceedings of the Electron Devices Meeting, 2006, IEDM '06 International, S. 1–4 [0009] CY Kang, et. al., "A Novel Electrode-Induced Strain Engineering for High Performance SOI FinFETs Utilizing Si (110) Channel for Both N and PMOSFETs", Proceedings of the Electron Devices Meeting, 2006, IEDM '06 International, pp. 1-4 [0009 ]
  • C. Y. Kang et. al, „Effects of Film Stress Modulation Using TiN Metal Gate an Stress Engineering and Its Impact an Device Characteristics in Metal Gate/High-k Dielectric SOI FinFETs”, IEEE Electron Devices Letters, Vol. 29, No. 5, Mai 2008, S. 487–490 [0009] CY Kang et. al., "Effects of Film Stress Modulation Using TiN Metal Gate on Stress Engineering and Its Impact on Device Characteristics in Metal Gate / High-k Dielectric SOI FinFETs", IEEE Electron Devices Letters, Vol. 5, May 2008, pp. 487-490 [0009]
  • K.-M. Tan, „Diamond-Like Carbon (DLC) Liner: A New Stressor for P-Channel Multiple-Gate Field-Effect Transistors”, IEEE Electron Device Letters, Vol. 29, No. 7, Juli 2008, S. 750–752 [0009] K.-M. Tan, "Diamond-Like Carbon (DLC) Liner: A New Stressor for P-Channel Multiple-Gate Field-Effect Transistor", IEEE Electron Device Letters, Vol. 7, July 2008, p. 750-752 [0009]

Claims (19)

Verfahren zur Herstellung einer Halbleiterstruktur mit: Implantieren von Ionen durch eine Öffnung in einer dielektrischen Struktur in ein Kanalgebiet eines aktiven Gebiets, wobei das aktive Gebiet in einem kristallinen Halbleitermaterial gebildet ist und ein Sourcegebiet, ein Draingebiet und das Kanalgebiet umfasst, die dielektrischen Struktur das Sourcegebiet und das Draingebiet bedeckt und zumindest ein Teil des Kanalgebiets durch die Implantation der Ionen amorphisiert wird; Füllen der Öffnung mit einem elastisch verspannten Material; und Rekristallisieren des Kanalgebiets in Anwesenheit des elastisch verspannten Materials in der Öffnung.Method for producing a semiconductor structure with: Implanting ions through an opening in a dielectric structure into a channel region of an active region, wherein the active region is formed in a crystalline semiconductor material and includes a source region, a drain region and the channel region, the dielectric structure covers and at least one of the source region and the drain region Part of the channel region is amorphized by the implantation of the ions; Filling the opening with an elastically strained material; and Recrystallizing the channel region in the presence of the elastically strained material in the opening. Verfahren gemäß Anspruch 1, wobei die dielektrische Struktur dafür ausgelegt ist, eine Implantation von Ionen in das Sourcegebiet und das Draingebiet zumindest soweit zu verringern, dass das Sourcegebiet und das Draingebiet unmittelbar nach der Implantation der Ionen noch eine kristalline Ordnung aufweisen.The method of claim 1, wherein the dielectric structure is configured to reduce implantation of ions into the source region and the drain region at least to such an extent that the source region and the drain region still have a crystalline order immediately after the implantation of the ions. Verfahren gemäß einem der vorhergehenden Ansprüche, wobei die dielektrische Struktur einen Seitenwandabstandshalter neben der Öffnung und ein Zwischenschichtdielektrikum umfasst.The method of any one of the preceding claims, wherein the dielectric structure comprises a sidewall spacer adjacent the opening and an interlayer dielectric. Verfahren gemäß einem der vorhergehenden Ansprüche, wobei das elastisch verspannte Material ein Metall enthält.Method according to one of the preceding claims, wherein the elastically stressed material contains a metal. Verfahren gemäß Anspruch 4, wobei das elastisch verspannte Material in der Öffnung eine Gateelektrode bildet.The method of claim 4, wherein the elastically strained material forms a gate electrode in the opening. Verfahren gemäß Anspruch 5, zusätzlich mit Bilden einer Gateisolierschicht aus einem Material mit hoher Dielektrizitätskonstante zumindest am Boden der Öffnung vor dem Füllen der Öffnung mit dem elastisch verspannten Material.The method of claim 5, further comprising forming a gate insulating layer of high-dielectric-constant material at least at the bottom of the opening prior to filling the opening with the elastically-strained material. Vorrichtung gemäß einem der Ansprüche 1 bis 4, zusätzlich umfassend: Entfernen des elastisch verspannten Materials aus der Öffnung nach der Rekristallisation des Kanalgebiets; und Bilden einer Gateelektrode in der Öffnung, wobei das Bilden der Gateelektrode ein Füllen der Öffnung mit einem Gateelektrodenmaterial nach dem Entfernen des elastisch verspannten Materials aus der Öffnung umfasst.Device according to one of claims 1 to 4, additionally comprising: Removing the elastically strained material from the opening after recrystallization of the channel region; and Forming a gate electrode in the opening, wherein forming the gate electrode comprises filling the opening with a gate electrode material after removing the elastically strained material from the opening. Verfahren gemäß Anspruch 7, zusätzlich mit Bilden einer Gateisolierschicht zumindest am Boden der Öffnung vor dem Füllen der Öffnung mit dem elastisch verspannten Material, wobei die Gateisolierschicht beim Entfernen des elastisch verspannten Materials zumindest teilweise am Boden der Öffnung verbleibt und die Gateelektrode über der Gateisolierschicht gebildet wird.The method of claim 7, further comprising forming a gate insulating layer at least at the bottom of the opening prior to filling the opening with the elastically strained material, wherein the gate insulating layer at least partially remains at the bottom of the opening upon removal of the elastically strained material and the gate electrode is formed over the gate insulating layer , Verfahren gemäß Anspruch 7 oder 8, wobei die Gateisolierschicht ein Material mit hoher Dielektrizitätskonstante enthält und das Gateelektrodenmaterial ein Metall enthält.A method according to claim 7 or 8, wherein the gate insulating layer contains a high dielectric constant material and the gate electrode material contains a metal. Verfahren gemäß einem der vorhergehenden Ansprüche, wobei die Rekristallisation des Kanalgebiets ein Durchführen einer Wärmebehandlung umfasst.The method of any one of the preceding claims, wherein the recrystallization of the channel region comprises performing a heat treatment. Verfahren gemäß Anspruch 10, wobei die Wärmebehandlung eine Bestrahlung der Halbleiterstruktur mit elektromagnetischer Strahlung umfasst, und eine Dauer der Wärmebehandlung kürzer als 100 ms ist.The method of claim 10, wherein the heat treatment comprises irradiating the semiconductor structure with electromagnetic radiation, and a duration of the heat treatment is shorter than 100 ms. Verfahren gemäß Anspruch 11, wobei die Wärmebehandlung bei einer Temperatur von 1000°C oder mehr durchgeführt wird.The method according to claim 11, wherein the heat treatment is carried out at a temperature of 1000 ° C or more. Verfahren gemäß einem der vorhergehenden Ansprüche, wobei Ionen eines Halbleitermaterials, insbesondere Siliziumionen, oder Ionen eines Edelgases, insbesondere Xenonionen durch die Öffnung in das Kanalgebiet implantiert werden.Method according to one of the preceding claims, wherein ions of a semiconductor material, in particular silicon ions, or ions of a noble gas, in particular xenon ions are implanted through the opening in the channel region. Verfahren gemäß einem der vorhergehenden Ansprüche, zusätzlich umfassend: Bilden einer Source-Kontaktstruktur, die das Sourcegebiet elektrisch kontaktiert, einer Drain-Kontaktstruktur, die das Draingebiet elektrisch kontaktiert und einer Gate-Kontaktstruktur, die die Gateelektrode elektrisch kontaktiert.The method of claim 1, further comprising: forming a source contact structure that electrically contacts the source region, a drain contact structure that electrically contacts the drain region, and a gate contact structure that electrically contacts the gate electrode. Verfahren zur Herstellung einer Halbleiterstruktur mit: Bereitstellen einer Halbleiterstruktur mit einem ersten aktiven Gebiet, einem zweiten aktiven Gebiet und einer dielektrischen Struktur, wobei die aktiven Gebiete in einem Halbleitersubstrat gebildet sind und eine kristalline Ordnung aufweisen, jedes der aktiven Gebiete ein Sourcegebiet, ein Kanalgebiet und ein Draingebiet umfasst, das Sourcegebiet und das Draingebiet des ersten aktiven Gebiets p-dotiert sind und das Sourcegebiet und das Draingebiet des zweiten aktiven Gebiets n-dotiert sind; und wobei die dielektrische Struktur eine erste Öffnung über dem Kanalgebiet des ersten aktiven Gebiets und eine zweite Öffnung über dem Kanalgebiet des zweiten aktiven Gebiets umfasst; und Durchführen eines Verfahrens gemäß einem der vorhergehenden Ansprüche an dem ersten aktiven Gebiet und an dem zweiten aktiven Gebiet.Method for producing a semiconductor structure with: Providing a semiconductor structure having a first active region, a second active region and a dielectric structure, wherein the active regions are formed in a semiconductor substrate and have a crystalline order, each of the active regions comprises a source region, a channel region and a drain region, the source region and the drain region of the first active region are p-doped, and the source region and the drain region of the second n-doped in active region; and wherein the dielectric structure comprises a first opening over the channel region of the first active region and a second opening over the channel region of the second active region; and Performing a method according to any one of the preceding claims on the first active area and on the second active area. Verfahren gemäß Anspruch 15, wobei die Implantation von Ionen durch die erste Öffnung und die Implantation von Ionen durch die zweite Öffnung bei einem gemeinsamen Ionenimplantationsprozess durchgeführt werden. The method of claim 15, wherein the implantation of ions through the first opening and the implantation of ions through the second opening are performed in a common ion implantation process. Verfahren gemäß Anspruch 15 oder 16, wobei die Rekristallisation des Kanalgebiets des ersten aktiven Gebiets und die Rekristallisation des Kanalgebiets des zweiten aktiven Gebiets bei einer gemeinsamen Wärmebehandlung durchgeführt werden.A method according to claim 15 or 16, wherein the recrystallization of the channel region of the first active region and the recrystallization of the channel region of the second active region are carried out in a common heat treatment. Verfahren gemäß einem der Ansprüche 15 bis 17, wobei das elastisch verspannte Material, mit dem die erste Öffnung gefüllt wird, eine elastische Zugspannung aufweist und das elastisch verspannte Material, mit dem die zweite Öffnung gefüllt wird, eine elastische Druckspannung aufweist.A method according to any one of claims 15 to 17, wherein the elastically strained material with which the first opening is filled has an elastic tensile stress and the elastically strained material with which the second opening is filled has an elastic compressive stress. Verfahren gemäß einem der Ansprüche 15 bis 18, wobei das Bereitstellen der Halbleiterstruktur umfasst: Bilden einer ersten Gatestruktur über dem ersten aktiven Gebiet und einer zweiten Gatestruktur über dem zweiten aktiven Gebiet, wobei jede der Gatestrukturen eine Dummy-Gateelektrode und einen Seitenwandabstandshalter, der sich neben der Dummy-Gateelektrode befindet, umfasst; Bilden des Sourcegebiets und des Draingebiets des ersten aktiven Gebiets neben der ersten Gatestruktur; Bilden des Sourcegebiets und des Draingebiets des zweiten aktiven Gebiets neben der zweiten Gatestruktur; Abscheiden eines Zwischenschichtdielektrikums; Durchführen eines Polierprozesses, bei dem eine Oberfläche des Zwischenschichtdielektrikums planarisiert und die Dummy-Gateelektroden der ersten und der zweiten Gatestruktur freigelegt werden; und Bilden der ersten und der zweiten Öffnung durch Entfernen der freigelegten Dummy-Gateelektroden.The method of any one of claims 15 to 18, wherein providing the semiconductor structure comprises: Forming a first gate structure over the first active region and a second gate structure over the second active region, each of the gate structures comprising a dummy gate electrode and a sidewall spacer located adjacent to the dummy gate electrode; Forming the source region and the drain region of the first active region adjacent to the first gate structure; Forming the source region and the drain region of the second active region adjacent to the second gate structure; Depositing an interlayer dielectric; Performing a polishing process in which a surface of the interlayer dielectric is planarized and the dummy gate electrodes of the first and second gate structures are exposed; and Forming the first and second openings by removing the exposed dummy gate electrodes.
DE102013210624.6A 2013-06-07 2013-06-07 Method for producing a semiconductor structure with an implantation of ions in a channel region Expired - Fee Related DE102013210624B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102013210624.6A DE102013210624B4 (en) 2013-06-07 2013-06-07 Method for producing a semiconductor structure with an implantation of ions in a channel region

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102013210624.6A DE102013210624B4 (en) 2013-06-07 2013-06-07 Method for producing a semiconductor structure with an implantation of ions in a channel region

Publications (2)

Publication Number Publication Date
DE102013210624A1 true DE102013210624A1 (en) 2014-12-11
DE102013210624B4 DE102013210624B4 (en) 2016-09-29

Family

ID=52009076

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102013210624.6A Expired - Fee Related DE102013210624B4 (en) 2013-06-07 2013-06-07 Method for producing a semiconductor structure with an implantation of ions in a channel region

Country Status (1)

Country Link
DE (1) DE102013210624B4 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107644911A (en) * 2016-07-20 2018-01-30 格罗方德半导体公司 Fin formula field effect transistor with strained-channel

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090174003A1 (en) * 2007-11-13 2009-07-09 Interuniversitair Microelektronica Centrum Vzw (Imec) Dual work function device with stressor layer and method for manufacturing the same
US20130105907A1 (en) * 2011-10-26 2013-05-02 Huaxiang Yin Mos device and method of manufacturing the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090174003A1 (en) * 2007-11-13 2009-07-09 Interuniversitair Microelektronica Centrum Vzw (Imec) Dual work function device with stressor layer and method for manufacturing the same
US20130105907A1 (en) * 2011-10-26 2013-05-02 Huaxiang Yin Mos device and method of manufacturing the same

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
C. Y. Kang et. al, "Effects of Film Stress Modulation Using TiN Metal Gate an Stress Engineering and Its Impact an Device Characteristics in Metal Gate/High-k Dielectric SOI FinFETs", IEEE Electron Devices Letters, Vol. 29, No. 5, Mai 2008, S. 487-490
C. Y. Kang, et. al., "A Novel Electrode-Induced Strain Engineering for High Performance SOI FinFET utilizing Si (110) Channel for Both N and PMOSFETs", Proceedings of the Electron Devices Meeting, 2006, IEDM '06 International, S. 1-4
K.-M. Tan, "Diamond-Like Carbon (DLC) Liner: A New Stressor for P-Channel Multiple-Gate Field-Effect Transistors", IEEE Electron Device Letters, Vol. 29, No. 7, Juli 2008, S. 750-752

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107644911A (en) * 2016-07-20 2018-01-30 格罗方德半导体公司 Fin formula field effect transistor with strained-channel

Also Published As

Publication number Publication date
DE102013210624B4 (en) 2016-09-29

Similar Documents

Publication Publication Date Title
DE102009055392B4 (en) Semiconductor component and method for producing the semiconductor device
DE102010029527B4 (en) A method of fabricating a multi-gate self-aligned transistor on a bulk substrate
DE102008063427B4 (en) A method of selectively fabricating a transistor having an embedded strain inducing material having a gradually shaped configuration
DE102010038737B4 (en) A method of fabricating transistors having metal gate electrode structures and embedded strain-inducing semiconductor alloys
DE112011101378B4 (en) Epitaxy of delta monolayer dopants for embedded source / drain silicide
DE102013214436B4 (en) A method of forming a semiconductor structure comprising silicided and non-silicided circuit elements
DE102007015500B4 (en) A method for generating a tensile strain in a semiconductor device by repeatedly applying "strain memory method" and semiconductor device
DE102009039521B4 (en) Improved filling conditions in an exchange gate process using a tensioned topcoat
DE102009055435B4 (en) Increased inclusion of high-k metal gate electrode structures by reducing material erosion of a dielectric cap layer in forming a strain-inducing semiconductor alloy
DE102014115586A1 (en) Integrated circuit structure with substrate isolation and undoped channel
DE102008011932B4 (en) A method of increasing the penetration depth of drain and source implant varieties for a given gate height
DE112011101433B4 (en) Embedded dopant monolayer stressor for advanced CMOS semiconductors
DE102008006961A1 (en) A method of creating a deformed channel region in a transistor by deep implantation of a strain inducing species under the channel region
DE102007030054B4 (en) Transistor with reduced gate resistance and improved stress transfer efficiency and method of making the same
DE102014210406B4 (en) Method for producing a transistor with a strained channel region
DE102008035816A1 (en) Enhanced performance in PMOS and NMOS transistors based on a silicon / carbon material
DE102007004859A1 (en) Method for manufacturing silicon on insulator device used in thermal sensing applications involves forming metal silicide in transistors and doped regions
DE102016105520B4 (en) Formation of a symmetric extension junction with a low K spacer and dual epitaxial process in a FinFET device
DE102011004320B4 (en) Method for producing complementary transistors with metal gate electrode structures with large ε and epitaxially produced semiconductor materials in the drain and source regions
DE102010002450B4 (en) Transistors with large gate metal gate electrode structures and matched channel semiconductor materials
DE102008016512B4 (en) Increasing strain-trapping efficiency in a transistor by reducing the spacer width during the drain and source implant sequence
DE102012215988A1 (en) CET and GATE leakage reduction in metal GATE electrode structures with large ε by heat treatment and after removal of the diffusion layer
DE102010064291B4 (en) A method of fabricating transistors having large ε metal gate electrode structures with a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
DE102006030264A1 (en) Semiconductor component for producing integrated circuits and transistors with deformed channel area, has crystalline semiconductor area, gate electrode, which is formed in crystalline semiconductor area with channel area
DE102008011813B4 (en) Semiconductor device with a metal gate stack with reduced height and method of manufacturing the device

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee