DE102008064702B4 - Forming strained semiconductor material involves forming stack of layers, forming mask, forming first spacer element, forming first and second cavities, and first and second strained semiconductor material - Google Patents

Forming strained semiconductor material involves forming stack of layers, forming mask, forming first spacer element, forming first and second cavities, and first and second strained semiconductor material Download PDF

Info

Publication number
DE102008064702B4
DE102008064702B4 DE200810064702 DE102008064702A DE102008064702B4 DE 102008064702 B4 DE102008064702 B4 DE 102008064702B4 DE 200810064702 DE200810064702 DE 200810064702 DE 102008064702 A DE102008064702 A DE 102008064702A DE 102008064702 B4 DE102008064702 B4 DE 102008064702B4
Authority
DE
Germany
Prior art keywords
forming
transistor
semiconductor material
layer
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE200810064702
Other languages
German (de)
Inventor
Jan Hoentschel
Vassilios Papageorgiou
Belinda Hannon
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Original Assignee
GlobalFoundries Dresden Module One LLC and Co KG
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Dresden Module One LLC and Co KG, GlobalFoundries Inc filed Critical GlobalFoundries Dresden Module One LLC and Co KG
Priority to DE200810064702 priority Critical patent/DE102008064702B4/en
Application granted granted Critical
Publication of DE102008064702B4 publication Critical patent/DE102008064702B4/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Forming a strained semiconductor material involves forming a stack of layers above a first gate electrode structure; forming a mask above (105) the second transistor and above the etch stop layer; forming a first spacer element (104S) at the first gate electrode structure; forming first cavities (103P) in drain and source areas of the first transistor (150P); forming a first strained semiconductor material (153P); forming second cavities (103N) in drain and source areas of the second transistor (150N); and forming a second strained semiconductor material (153N) in the second cavities. Forming a strained semiconductor material in a first transistor (150P) of a first conductivity type and a second transistor (150N) of a second conductivity type, involves: process A: forming a stack of layers above a first gate electrode structure of the first transistor and a second gate electrode structure of the second transistor, the first and second gate electrode structures comprising a respective cap layer (15K), the stack of layers comprising a spacer layer (104A) and an etch stop layer (104B) formed above the spacer layer (104A); forming a mask above (105) the second transistor and above the etch stop layer; forming a first spacer element (104S) at the first gate electrode structure from the spacer layer (104A); forming first cavities (103P) in drain and source areas of the first transistor (150P) using the first spacer element (104S) as a mask; forming a first strained semiconductor material (153P) in the first cavities (103P); forming second cavities (103N) in drain and source areas of the second transistor (150N) using a second spacer element (104R) formed from the spacer layer (104A) as a mask; forming a second strained semiconductor material (153N) in the second cavities, (103N) the first and second strained semiconductor materials (153P, 153N) having a different material composition; and introducing first implantation species into exposed surface portions of the first cavities (103P); or process B: forming first cavities adjacent to a first gate electrode structure of a first transistor and second cavities adjacent to a second gate electrode structure of a second transistor, the first and second transistors being of different conductivity type; forming a semiconductor material in the first and second cavities, the semiconductor material having a first type of strain; creating lattice damage in the semiconductor material selectively in the first transistor to form a substantially relaxed semiconductor material; and re-crystallizing the substantially relaxed semiconductor material in a strained state, the strained state corresponding to a second type of strain that is opposite to the first type of strain. The semiconductor material comprises a silicon/carbon alloy.

Description

Gebiet der vorliegenden ErfindungField of the present invention

Im Allgemeinen betrifft die vorliegende Erfindung integrierte Schaltungen und betrifft insbesondere die Herstellung unterschiedlicher Transistorarten mit verformten Kanalgebieten unter Anwendung eines eingebetteten verformungsinduzierenden Materials, um die Ladungsträgerbeweglichkeit in den Kanalgebieten zu verbessern.In general, the present invention relates to integrated circuits, and more particularly relates to the fabrication of different types of strained channel region transistors using an embedded strain-inducing material to enhance charge carrier mobility in the channel regions.

Beschreibung des Stands der TechnikDescription of the Related Art

Integrierte Schaltungen werden in vielen Gebieten auf Grund der zunehmenden Funktionsvielfalt, die auf einer vorgegebenen Chipfläche bereitgestellt wird, eingesetzt. Integrierte Schaltungen sind aus zahlreichen einzelnen Schaltungskomponenten, etwa Transistoren, aufgebaut, wobei mehrere Millionen oder sogar mehrere hundert Millionen einzelner Transistoren in komplexen Bauelementen vorgesehen ist. Allgemein werden mehrere Prozesstechnologien eingesetzt, wobei für komplexe Schaltungen, etwa Mikroprozessoren, Speicherchips und dergleichen, die CMOS-Technologie aktuell eine der vielversprechendsten Lösungen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren, auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein MOS-Transistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Drain- und Sourcegebiete mit einem invers dotierten Kanalgebiet gebildet sind, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist. Die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, wird durch eine Gateelektrode gesteuert, die in der Nähe des Kanalgebiets ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Ladungsträger und – für eine gegebene Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit ist die Verringerung der Kanallänge und damit verknüpft die Verringerung des Kanalwiderstands ein wichtiges Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.Integrated circuits are used in many fields due to the increasing variety of functions provided on a given chip area. Integrated circuits are made up of numerous individual circuit components, such as transistors, with several millions or even hundreds of millions of individual transistors in complex components. In general, multiple process technologies are used, with complex circuits such as microprocessors, memory chips, and the like, CMOS technology currently being one of the most promising solutions due to its good performance in terms of operating speed and / or power consumption and / or cost efficiency. During the fabrication of complex integrated circuits using CMOS technology, millions of transistors, i. H. n-channel transistors and p-channel transistors, fabricated on a substrate having a crystalline semiconductor layer. Regardless of whether an n-channel transistor or a p-channel transistor is considered, a MOS transistor includes pn junctions formed by an interface of heavily doped drain and source regions having an inversely doped channel region disposed between the drain region and the source region is arranged. The conductivity of the channel region, i. H. the forward current of the conductive channel is controlled by a gate electrode formed in the vicinity of the channel region and separated therefrom by a thin insulating layer. The conductivity of the channel region in the construction of a conductive channel due to the application of a suitable control voltage to the gate electrode depends on the dopant concentration, the mobility of the carriers and, for a given dimension of the channel region in the transistor width direction, on the distance between the source region and the drain region , which is also referred to as channel length. Thus, reducing the channel length and, associated with it, reducing the channel resistance is an important design criterion for achieving an increase in the speed of operation of integrated circuits.

Die ständige Verringerung der Transistorabmessungen zieht jedoch eine Reihe damit verknüpfter Probleme nach sich, die es zu lösen gilt, um nicht in unerwünschter Weise die Vorteile aufzuheben, die durch das stetige Verringern der Kanallänge von MOS-Transistoren gewonnen werden. Ein wichtiges Problem in dieser Hinsicht ist die Entwicklung aufwendiger Photolithographie- und Ätzstrategien, um zuverlässig und reproduzierbar Schaltungselemente mit kritischen Abmessungen, etwa der Gateelektrode der Transistoren, für eine neue Schaltungsgeneration zu schaffen. Ferner sind äußerst aufwendige Dotierstoffprofile in der vertikalen Richtung sowie in lateraler Richtung in den Drain- und Sourcegebieten erforderlich, um den geringen Schichtwiderstand und Kontaktwiderstand in Verbindung mit einer gewünschten Kanalsteuerbarkeit zu erreichen.However, the continual reduction in transistor dimensions entails a number of associated problems that need to be addressed so as not to undesirably cancel out the advantages gained from continuously reducing the channel length of MOS transistors. An important problem in this regard is the development of sophisticated photolithography and etching strategies to reliably and reproducibly create circuit elements of critical dimensions, such as the gate electrode of the transistors, for a new generation of circuits. Furthermore, extremely expensive dopant profiles in the vertical direction as well as in the lateral direction in the drain and source regions are required in order to achieve the low sheet resistance and contact resistance in conjunction with a desired channel controllability.

Die ständige Größenreduzierung der kritischen Abmessungen, d. h. der Gatelänge von Transistoren, macht die Anpassung und möglicherweise die Neuentwicklung äußerst komplexer Prozesstechniken im Hinblick auf die oben genannten Prozessschritte erforderlich. Es wurde daher vorgeschlagen, die Kanalleitfähigkeit der Transistorelemente zu verbessern, indem die Ladungsträgerbeweglichkeit in dem Kanalgebiet für eine vorgegebene Kanallänge erhöht wird, wodurch die Möglichkeit geschaffen wird, eine Leistungssteigerung zu erreichen, die vergleichbar ist dem Voranschreiten zu einen zukünftigen Technologiestandard, wobei viele der oben genannten Prozessanpassungen, die mit der Bauteilgrößenreduzierung verknüpft sind, vermieden oder zumindest zeitlich verschoben werden. Ein effizienter Mechanismus zum Erhöhen der Ladungsträgerbeweglichkeit ist die Modifizierung der Gitterstruktur in dem Kanalgebiet, indem beispielsweise eine Zugverspannung oder eine kompressive Verspannung in der Nähe des Kanalgebiets hervorgerufen wird, um damit eine entsprechende Verformung in dem Kanalgebiet zu erzeugen, die zu einer modifizierten Beweglichkeit für Elektronen bzw. Löcher führt. Beispielsweise erhöht das Erzeugen einer Zugverformung in dem Kanalgebiet mit einer standardmäßigen (100) Oberflächenorientierung die Beweglichkeit von Elektronen, was sich wiederum direkt in einer entsprechenden Zunahme der Leitfähigkeit ausdrückt. Andererseits erhöht eine kompressive Verformung in dem Kanalgebiet die Beweglichkeit von Löchern, wodurch die Möglichkeit geschaffen wird, das Leistungsverhalten von p-Transistoren zu verbessern. Die Einführung einer Verspannungs- oder Verformungstechnologie in den Herstellungsprozess für integrierte Schaltungen ist ein äußerst vielversprechender Ansatz für weitere Bauteilgenerationen, da beispielsweise verformtes Silizium als eine „neue” Art an Halbleitermaterial betrachtet werden kann, die die Herstellung schneller und leistungsfähiger Halbleiterbauelemente ermöglicht, ohne dass teuere Halbleitermaterialien erforderlich sind, wobei dennoch viele der gut etablierten Fertigungstechniken weiterhin eingesetzt werden können.The constant size reduction of the critical dimensions, ie, the gate length of transistors, necessitates the adaptation and possibly the redesign of extremely complex process techniques in view of the above-mentioned process steps. It has therefore been proposed to improve the channel conductivity of the transistor elements by increasing the charge carrier mobility in the channel region for a given channel length, thereby providing the opportunity to achieve an increase in performance comparable to advancing to a future technology standard, many of the above mentioned process adjustments that are associated with the component size reduction, avoided or at least postponed. An efficient mechanism for increasing the charge carrier mobility is to modify the lattice structure in the channel region by, for example, inducing a tensile stress or compressive strain near the channel region, thereby creating a corresponding strain in the channel region that results in a modified mobility for electrons or holes leads. For example, creating a tensile strain in the channel region with a standard (100) surface orientation increases the mobility of electrons, which in turn directly translates into a corresponding increase in conductivity. On the other hand, compressive deformation in the channel region increases the mobility of holes, thereby providing the opportunity to improve the performance of p-type transistors. The introduction of a bracing or deformation technology into the integrated circuit manufacturing process is a highly promising approach for other generations of devices, as, for example, deformed silicon can be considered a "new" type of semiconductor material that enables the production of fast and efficient semiconductor devices without costly ones Semiconductor materials are required, while still many of the good established production techniques can continue to be used.

In einer Vorgehensweise wird die Löcherbeweglichkeit von PMOS-Transistoren erhöht, indem eine verformte Silizium/Germaniumschicht in den Drain- und Sourcegebieten der Transistoren hergestellt wird, wobei die kompressiv verformten Drain- und Sourcegebiete eine uniaxiale Verformung in dem benachbarten Siliziumkanalgebiet hervorrufen. Dazu wird selektiv in den Drain- und Sourcegebieten der PMOS-Transistoren eine Aussparung geschaffen, während die NMOS-Transistoren maskiert sind und nachfolgend wird die Silizium/Germanium-Schicht selektiv in dem PMOS-Transistor durch epitaktisches Aufwachsen gebildet. Somit müssen komplexe Fertigungsschritte, etwa ein Ätzprozess, die Herstellung einer geeigneten Ätz- und Wachstumsmaske und selektive epitaktische Wachstumstechniken in den CMOS-Prozessablauf eingebunden werden.In one approach, the hole mobility of PMOS transistors is increased by making a strained silicon / germanium layer in the drain and source regions of the transistors, where the compressively deformed drain and source regions cause uniaxial deformation in the adjacent silicon channel region. For this purpose, a recess is selectively created in the drain and source regions of the PMOS transistors, while the NMOS transistors are masked, and subsequently the silicon / germanium layer is selectively formed in the PMOS transistor by epitaxial growth. Thus, complex manufacturing steps, such as an etching process, the production of a suitable etching and growth mask and selective epitaxial growth techniques have to be integrated into the CMOS process flow.

In anderen Vorgehensweisen wird Silizium/Kohlenstoffmaterial für NMOS-Transistoren verwendet, um eine gewünschte Gitterfehlanpassung speziell in den Kanalgebieten der NMOS-Transistoren hervorzurufen, was häufig durch Ionenimplantation von Kohlenstoff in die Drain- und Sourcegebiete erreicht wird. Eine Leistungszunahme für Transistoren unterschiedlicher Leitfähigkeitsart auf der Grundlage von Silizium/Kohlenstofflegierungen kann jedoch zu einen noch komplexeren Prozessablauf führen, da diversen Schritte für die Herstellung entsprechender Verformungsschichten in geeigneter Weise in den komplexen Fertigungsablauf eingebunden werden müssen, was zu einem weniger ausgeprägten Leistungszuwachs führt, als dies erwartet wird.In other approaches, silicon / carbon material is used for NMOS transistors to cause a desired lattice mismatch, especially in the channel regions of the NMOS transistors, which is often achieved by ion implantation of carbon into the drain and source regions. However, increasing the performance of transistors of different conductivity type based on silicon / carbon alloys can lead to an even more complex process flow, since various steps for the production of corresponding deformation layers must be appropriately incorporated into the complex manufacturing process, which leads to a less pronounced performance increase than this is expected.

In der DE 10 2006 015 087 A1 wird ein Verfahren zur Herstellung eines Halbleiterbauteils beschrieben, in dem unterschiedliches verformtes Halbleitermaterial in Aussparungen in Source-/Draingebieten eines NMOS- und eines PMOS-Transistors zur Leistungssteigerung eingebracht wird, indem die im Anspruch 1 angegebenen Schritte bis auf das Einführen einer oder mehrerer erster Implantationssorten in freigelegte Oberflächenbereiche der ersten Aussparungen durchgeführt werden.In the DE 10 2006 015 087 A1 "A method of fabricating a semiconductor device is described by introducing different deformed semiconductor material into recesses in source / drain regions of an NMOS and a PMOS transistor to enhance performance by following the steps set forth in claim 1, except introducing one or more first implant varieties be carried out in exposed surface areas of the first recesses.

Ein ähnliches Verfahren mit der Ausbildung unterschiedlicher verformter Halbleitermaterialien in Source-/Draingebieten wird in der US 2007/0018252 A1 beschrieben.A similar process with the formation of different deformed semiconductor materials in source / drain regions is described in US Pat US 2007/0018252 A1 described.

In der US 2007/0252204 A1 wird ein Verfahren zur Ausbildung geeigneter Dotierstoffprofile in eingebetteten verspannungsinduzierenden Source-/Draingebieten beschrieben, wobei in einer Variante nach der Bildung von Aussparungen und vor dem Aufwachsen des verspannungsinduzierenden Materials eine Implantation durchgeführt wird.In the US 2007/0252204 A1 For example, a method of forming suitable dopant profiles in embedded stress-inducing source / drain regions is described, wherein implantation is performed after formation of recesses and prior to growth of the stress inducing material in a variant.

Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Techniken und Halbleiterbauelemente mit Transistoren unterschiedlicher Leitfähigkeitsart mit eingebetteten verformungsinduzierenden Materialien, wobei eines oder mehrere der oben erkannten Probleme vermieden oder zumindest verringert werden.In view of the situation described above, the present invention relates to techniques and semiconductor devices with transistors of different conductivity type with embedded strain-inducing materials, wherein one or more of the problems identified above are avoided or at least reduced.

Überblick über die ErfindungOverview of the invention

Die vorliegende Erfindung stellt ein Verfahren zur Herstellung eines verformten Halbleitermaterials in einem ersten Transistor einer ersten Leitfähigkeitsart und in einem zweiten Transistor einer zweiten Leitfähigkeitsart bereit, wobei das Verfahren umfasst:
Bilden eines Schichtstapels über einer ersten Gateelektrodenstruktur des ersten Transistors und über einer zweiten Gateelektrodenstruktur des zweiten Transistors, wobei die erste und die zweite Gateelektrodenstruktur eine entsprechende Deckschicht aufweisen und wobei der Schichtstapel eine Abstandshalterschicht und eine Ätzstoppschicht, die über der Abstandshalterschicht gebildet ist, aufweist;
Bilden einer Maske über dem zweiten Transistor und über der Ätzstoppschicht;
Bilden eines ersten Abstandshalterelements an der ersten Gateelektrodenstruktur aus der Abstandshalterschicht;
Bilden erster Aussparungen in Drain- und Sourcebereichen des ersten Transistors unter Anwendung des ersten Abstandshalterelements als eine Maske;
Einführen einer oder mehrerer erster Implantationssorten in freigelegte Oberflächenbereiche der ersten Aussparungen;
Bilden eines ersten verformten Halbleitermaterials in den ersten Aussparungen;
Bilden zweiter Aussparungen in Drain- und Sourcebereichen des zweiten Transistors unter Anwendung eines zweiten Abstandshalterelements, das aus der Abstandshalterschicht gebildet wird, als eine Maske; und
Bilden eines zweiten verformten Halbleitermaterials in den zweiten Aussparungen, wobei das erste und das zweite verformte Halbleitermaterial eine unterschiedliche Materialzusammensetzung besitzen.
The present invention provides a method of making a deformed semiconductor material in a first transistor of a first conductivity type and in a second transistor of a second conductivity type, the method comprising:
Forming a layer stack over a first gate electrode structure of the first transistor and over a second gate electrode structure of the second transistor, the first and second gate electrode structures having a respective cap layer, and wherein the layer stack comprises a spacer layer and an etch stop layer formed over the spacer layer;
Forming a mask over the second transistor and over the etch stop layer;
Forming a first spacer element on the first gate electrode structure from the spacer layer;
Forming first recesses in drain and source regions of the first transistor using the first spacer element as a mask;
Introducing one or more first implant varieties into exposed surface areas of the first recesses;
Forming a first deformed semiconductor material in the first recesses;
Forming second recesses in drain and source regions of the second transistor using a second spacer element formed from the spacer layer as a mask; and
Forming a second deformed semiconductor material in the second recesses, the first and second deformed semiconductor materials having a different material composition.

Kurze Beschreibung der ZeichnungenBrief description of the drawings

Weitere Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
1a bis 1k schematisch Querschnittsansichten eines Halbleiterbauelements mit Transistoren unterschiedlicher Leitfähigkeitsart während diverser Fertigungsphasen zeigen, wobei eine unterschiedliche Art an verformten Halbleitermaterial auf der Grundlage eines effizienten Fertigungsablaufs mit verbesserten Maskierungsschemata geschaffen wird,
und zwar in Verbindung mit einer Implantation, z. B. dem Einbau einer Dotierstoffsorte, einer nicht dotierenden Sorte und dergleichen, um die gesamten Transistoreigenschaften zu verbessern.
Further embodiments of the present invention are defined in the appended claims and will become more apparent from the following detailed description when considered with reference to the accompanying drawings, in which:
1a to 1k schematically cross-sectional views of a semiconductor device with transistors of different conductivity during various manufacturing stages, creating a different type of deformed semiconductor material based on an efficient manufacturing process with improved masking schemes,
in connection with an implantation, z. The incorporation of a dopant species, a non-doping species, and the like to improve overall transistor properties.

Detaillierte BeschreibungDetailed description

Im Allgemeinen betrifft die vorliegende Erfindung Fertigungstechniken und entsprechende Halbleiterbauelemente, in denen Feldeffekttransistoren unterschiedlicher Leitfähigkeitsart ein verformtes Halbleitermaterial in den Drain- und/oder Sourcegebiet erhalten, um damit eine gewünschte Größe und/oder Art an Verformung in den entsprechenden Kanalgebieten dieser Transistoren zu schaffen. Zu diesem Zweck werden in einigen anschaulichen Ausführungsformen unterschiedliche Halbleiterlegierungen verwendet, etwa Silizium/Germanium und Silizium/Kohlenstoff auf der Grundlage eines geeigneten Fertigungsschemas, in welchem beide Materialien in die Drain- und Sourcebereiche der jeweiligen Transistoren unter Anwendung eines geeignet gestalteten Schichtstapels mit einer Abstandshalterschicht und einer Ätzstoppschicht eingebettet werden. Des weiteren wird das gesamte Transistorverhalten für zumindest eine Art an Transistoren verbessert, indem eine gewünschte Art an Implantationssorte, etwa Dotierstoffe, nicht dotierende Sorten und dergleichen, vor dem Bilden eines entsprechenden verformten Halbleitermaterials durch epitaktische Aufwachstechniken eingebaut wird. In diesem Falle können die elektronischen Eigenschaften der Drain- und Sourcegebiete auf der Grundlage der zusätzlichen Implantationssorte verbessert werden, die ohne das Erzeugen weiterer Implantationsschäden eingebaut werden, da der Implantationsprozess vor dem Aufwachsen des verformten Halbleitermaterials ausgeführt wird. Folglich können für n-Kanaltransistoren geringere Source/Drain-Übergangswiderstände auf Grund des speziell optimierten Dotierstoffprofils erreicht werden. Des weiteren könnten die Effekte des potentialfreien Körpers in SOI-Transistoren auf Grund der Anwesenheit höhere Leckströme der jeweiligen pn-Übergänge verringert werden, was wiederum zu einer geringeren Ladungsträgeransammlung in dem Körpergebiet der SOI-Transistoren führt. Eine entsprechende Einstellung der Eigenschaften von pn-Übergängen kann erreicht werden, indem eine geeignete Implantationssorte vor dem eigentlichen Herstellen des verformten Halbleitermaterials eingebaut wird. Des weiteren kann die Elektronenbeweglichkeit auf Grund der eingebetteten Silizium/Kohlenstoff-Legierung in den Drain- und Sourcebereichen des n-Kanaltransistors erhöht werden. In ähnlicher Weise kann in p-Kanaltransistoren ein geringerer Source- und Drainwiderstand auf Grund des geringeren inhärent spezifischen Widerstands des Silizium/Germanium-Materials erreicht werden, und es können auch moderat hohe Leckströme in den pn-Übergängen eingestellt werden, wobei dies von den gesamten Transistoreigenschaften abhängt, wodurch ebenfalls die Ladungsträgeransammlung in SOI-Transistoren verringert wird. Schließlich kann die größere Löcherbeweglichkeit in dem Kanalgebiet, die durch die eingebettete Silizium/Germanium-Legierung hervorgerufen wird, zusätzlich zur gesamten Leistungssteigerung des Bauelements beitragen. Da beide verformungsinduzierenden Halbleitermaterialien in einer moderat frühen Fertigungsphase eingebaut werden, können weitere verformungsinduzierende Mechanismen in den Gesamtfertigungsablauf integriert werden, ohne dass im Wesentlichen zusätzliche Prozessschritte erforderlich sind. Beispielsweise können verformungsinduzierende Abstandshalterelemente und/oder verformungsinduzierende dielektrische Deckschichten vorgesehen werden, um die Verformung in zumindest einer Art an Transistor weiter zu erhöhen.In general, the present invention relates to fabrication techniques and corresponding semiconductor devices in which field effect transistors of different conductivity type receive a deformed semiconductor material in the drain and / or source region to provide a desired size and / or type of strain in the respective channel regions of these transistors. For this purpose, in some illustrative embodiments, different semiconductor alloys are used, such as silicon / germanium and silicon / carbon, based on a suitable fabrication scheme in which both materials enter the drain and source regions of the respective transistors using a suitably designed layer stack with a spacer layer and embedded in an etch stop layer. Furthermore, overall transistor performance for at least one type of transistor is improved by incorporating a desired type of implantation species, such as dopants, non-doping species, and the like, prior to forming a corresponding deformed semiconductor material by epitaxial growth techniques. In this case, the electronic properties of the drain and source regions can be improved based on the additional implantation grade that is incorporated without creating further implantation damage since the implantation process is performed prior to the growth of the deformed semiconductor material. Consequently, lower source / drain contact resistances due to the specially optimized dopant profile can be achieved for n-channel transistors. Furthermore, the effects of the floating body in SOI transistors could be reduced due to the presence of higher leakage currents of the respective pn junctions, which in turn leads to less charge accumulation in the body region of the SOI transistors. An appropriate adjustment of the properties of pn junctions can be achieved by incorporating an appropriate implantation type prior to the actual fabrication of the deformed semiconductor material. Furthermore, the electron mobility due to the embedded silicon / carbon alloy in the drain and source regions of the n-channel transistor can be increased. Similarly, in p-channel transistors, lower source and drain resistance can be achieved due to the lower intrinsic resistivity of the silicon germanium material, and moderately high leakage currents can be set in the pn junctions, of which the total Transistor properties, which also reduces the charge carrier accumulation in SOI transistors. Finally, the increased hole mobility in the channel region caused by the embedded silicon / germanium alloy can add to the overall performance of the device. Since both deformation-inducing semiconductor materials are incorporated in a moderately early manufacturing stage, further deformation-inducing mechanisms can be integrated into the overall manufacturing process without essentially requiring additional process steps. For example, deformation-inducing spacer elements and / or strain-inducing dielectric cover layers may be provided to further increase deformation in at least one type of transistor.

Mit Bezug zu den begleitenden Zeichnungen werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.With reference to the accompanying drawings, further illustrative embodiments will now be described in more detail.

1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100, das einen ersten Transistor 150p und einen zweiten Transistor 150n in einer frühen Fertigungsphase aufweist. Das Halbleiterbauelement 100 umfasst ein Substrat 101, das ein beliebiges geeignetes Trägermaterial repräsentiert, um darüber eine Halbleiterschicht 103, etwa eine siliziumbasierte Schicht, zu bilden, deren elektronischen Eigenschaften lokal durch Erzeugen einer gewünschten Art an Verformung in dem ersten und dem zweiten Transistor 150p, 150n angepasst werden. Die Halbleiterschicht 103 kann eine siliziumbasierte Schicht, d. h. ein Halbleitermaterial mit einem ausgeprägten Anteil an Silizium repräsentieren, wobei auch andere Komponenten, etwa Germanium, Kohlenstoff, Dotierstoffsorten und dergleichen vorhanden sein können. Des weiteren ist in der gezeigten Ausführungsform eine vergrabene isolierende Schicht 102 zwischen dem Substrat 101 und der Halbleiterschicht 103 angeordnet, wodurch eine SOI-Architektur (Silizium-auf-Isolator) gebildet wird, wobei zu beachten ist, dass in anderen Bauteilbereichen des Bauelements 100 eine Vollsubstratkonfiguration vorgesehen sein kann, wobei dies von den gesamten Bauteilerfordernissen abhängt. Es sollte beachtet werden, dass die hierin beschriebenen Prinzipien äußerst vorteilhaft sind im Hinblick auf eine SOI-Architektur, da effiziente verformungsinduzierende Mechanismen in Verbindung mit zusätzlichen Maßnahmen zur Verringerung der Ladungsträgeransammlung bereitgestellt werden, wodurch im Allgemeinen das Leistungsverhalten von SOI-Transistoren im Hinblick auf die Wirkungen des potentialfreien Körpers und Hystereseeffekte verbessert wird, d. h. im Hinblick auf eine Schwellwertschwankung im Abhängigkeit der „Schaltgeschichte” eines entsprechenden Transistorelements. In anderen anschaulichen Ausführungsformen werden die hierin beschriebenen Prinzipien vorteilhaft auf eine Vollsubstratkonfiguration angewendet, d. h. eine Konfiguration, in der eine Dicke der Halbleiterschicht 103 größer ist als eine Tiefe der Drain- und Sourcegebiete, die noch in den Transistoren 150p, 150n zu bilden sind. 1a schematically shows a cross-sectional view of a semiconductor device 100 that has a first transistor 150p and a second transistor 150n in an early manufacturing phase. The semiconductor device 100 includes a substrate 101 which represents any suitable carrier material over which a semiconductor layer 103 to form, for example, a silicon-based layer, its electronic properties locally by generating a desired type of strain in the first and second transistors 150p . 150n be adjusted. The semiconductor layer 103 For example, a silicon-based layer, ie, a semiconductor material having a pronounced proportion of silicon, may also include other components, such as germanium, carbon, dopant species, and the like. Furthermore, in the embodiment shown, a buried insulating layer 102 between the substrate 101 and the semiconductor layer 103 arranged, whereby an SOI (silicon on insulator) architecture is formed, it should be noted that in other component areas of the device 100 a full substrate configuration may be provided, depending on the overall device requirements. It should be noted that the principles described herein are extremely advantageous with respect to an SOI architecture, as efficient strain-inducing mechanisms are provided in conjunction with additional measures to reduce charge carrier accumulation, thus generally increasing the performance of SOI devices. Transistors with respect to the effects of the floating body and hysteresis effects is improved, ie, in terms of a threshold fluctuation in response to the "switching history" of a corresponding transistor element. In other illustrative embodiments, the principles described herein are advantageously applied to a solid substrate configuration, ie, a configuration in which a thickness of the semiconductor layer 103 is greater than a depth of the drain and source regions that are still in the transistors 150p . 150n are to be formed.

Ferner sind geeignete aktive Gebiete in der Halbleiterschicht 103 auf der Grundlage entsprechender Isolationsstrukturen gebildet, etwa flache Grabenisolationen (nicht gezeigt), die zwischen den Transistoren 150p, 150n vorgesehen sein können. Des weiteren besitzen die Transistoren 150p, 150n eine Gateelektrodenstruktur 151, die in dieser Fertigungsphase ein Elektrodenmaterial 151a aufweist, das auf einer Gateisolationsschicht 151b gebildet ist, die wiederum das Elektrodenmaterial 151a von einem Kanalgebiet 152 trennt. Das Gateelektrodenmaterial 151a repräsentiert ein beliebiges geeignetes Material, etwa Polysilizium und dergleichen, sogar durch ein Material mit besserer Leitfähigkeit in einer späteren Fertigungsphase abhängig von den gesamten Prozess- und Bauteilerfordernissen ersetzt werden kann. In ähnlicher Weise kann die Gateisolationsschicht 151b aus einem beliebigen geeigneten dielektrischen Material aufgebaut sein, etwa aus Materialien auf Siliziumdioxidbasis, Siliziumnitrid, Siliziumnoxinitrid, dielektrische Materialien mit großem ε, etwa Hafniumoxid, Zirkonoxid und dergleichen. Des weiteren enthalten die Gateelektrodenstrukturen 151 eine Deckschicht, die aus Siliziumnitrid und dergleichen aufgebaut ist. Ferner umfasst das Halbleiterbauelement 100 einen Schichtstapel 104, der über den Transistoren 150p, 150n ausgebildet ist und in der gezeigten Ausführungsform eine erste Schicht oder Abstandshalterschicht 104a aufweist, über der eine zweite Schicht oder Ätzstoppschicht 104b gebildet ist. In einer anschaulichen Ausführungsform ist die Abstandshalterschicht 104a aus Siliziumnitrid aufgebaut, während die Ätzstoppschicht 104b aus Siliziumdioxid gebildet ist. Somit sind in der gezeigten Ausführungsform die Abstandshalterschicht 104a und die Deckschicht 151c aus Material mit ähnlichen Eigenschaften im Hinblick auf einen nachfolgenden Ätzprozess aufgebaut, so dass diese Komponenten während einer gemeinsamen Ätzsequenz entfernt werden können. Des weiteren besitzt die Ätzstoppschicht 104b eine Dicke, die ausreichend ist, die gewünschten Ätzstoppeigenschaften während eines Ätzprozesses für das lokale Vorsehen einer Ätz- und Wachstumsmaske über dem Transistor 150n bereitzustellen. Beispielsweise wird die Ätzstoppschicht 104b mit einer Dicke von ungefähr 20 bis 50 nm oder mehr vorgesehen, wenn diese in Form eines Siliziumdioxidmaterials bereitgestellt wird. Andererseits wird die Abstandshalterschicht 104a mit einer geeigneten Dicke in einer sehr konformen Weise hergestellt, um einen gewünschten Abstand während eines Ätzprozesses für das Herstellen von Aussparungen in der Halbleiterschicht 103 in einer späteren Fertigungsphase zu schaffen. Beispielsweise liegt eine Dicke der Abstandshalterschicht 104a im Bereich von ungefähr 1 bis 20 nm in anspruchsvollen Anwendungen, in denen eine Gatelänge, d. h. die horizontale Abmessung des Gateelektrodenmaterials 151a in 1a, ungefähr 50 nm oder weniger beträgt, etwa 30 nm und weniger. Es sollte jedoch beachtet werden, dass eine größere Dicke gewählt werden kann, wenn ein größerer Abstand während der weiteren Bearbeitung erforderlich ist.Furthermore, suitable active regions are in the semiconductor layer 103 formed on the basis of corresponding isolation structures, such as shallow trench isolations (not shown), between the transistors 150p . 150n can be provided. Furthermore, the transistors have 150p . 150n a gate electrode structure 151 , which in this manufacturing phase is an electrode material 151a having on a gate insulation layer 151b is formed, which in turn is the electrode material 151a from a canal area 152 separates. The gate electrode material 151a Any suitable material, such as polysilicon and the like, may even be replaced by a material having better conductivity in a later manufacturing stage, depending on the overall process and device requirements. Similarly, the gate insulation layer 151b may be constructed of any suitable dielectric material, such as silica-based materials, silicon nitride, silicon oxynitride, high-k dielectric materials, such as hafnium oxide, zirconium oxide, and the like. Furthermore, the gate electrode structures contain 151 a cover layer composed of silicon nitride and the like. Furthermore, the semiconductor component comprises 100 a layer stack 104 that over the transistors 150p . 150n is formed and in the embodiment shown, a first layer or spacer layer 104a over which a second layer or etch stop layer 104b is formed. In one illustrative embodiment, the spacer layer is 104a made of silicon nitride, while the etch stop layer 104b is formed of silicon dioxide. Thus, in the embodiment shown, the spacer layer 104a and the topcoat 151c of material with similar properties with respect to a subsequent etching process, so that these components can be removed during a common etching sequence. Furthermore, the etch stop layer has 104b a thickness sufficient to provide the desired etch stop characteristics during an etch process for locally providing an etch and growth mask over the transistor 150n provide. For example, the etch stop layer becomes 104b provided with a thickness of about 20 to 50 nm or more when provided in the form of a silica material. On the other hand, the spacer layer becomes 104a fabricated with a suitable thickness in a highly conformable manner to a desired distance during an etch process for making recesses in the semiconductor layer 103 to create in a later manufacturing phase. For example, there is a thickness of the spacer layer 104a in the range of about 1 to 20 nm in demanding applications, where a gate length, ie the horizontal dimension of the gate electrode material 151a in 1a is about 50 nm or less, about 30 nm and less. It should be noted, however, that a greater thickness can be selected if a greater distance is required during further processing.

Das in 1a gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach der Herstellung entsprechender Isolationsstrukturen (nicht gezeigt) und dem Bilden entsprechender grundlegender Dotierstoffprofile für die Transistoren 150p, 150n in der Halbleiterschicht 103, wird die Gateelektrodenstruktur 151 durch gut etablierte Techniken hergestellt, wozu eine Oxidation und/oder eine Abscheidung eines Gatedielektrikums gehört, woran sich das Abscheiden des Gateelektrodenmaterials 151a und des Materials der Deckschicht 151c anschließt. Der entsprechende Materialstapel wird durch aufwendige Lithographie- und Ätztechniken strukturiert. Als nächstes wird der Stapel 104 gebildet, indem beispielsweise die Abstandshalterschicht 104a unter Anwendung von etwa thermisch aktivierter CVD (chemische Dampfabscheidung) abgeschieden wird, wobei bei Bedarf eine dünne Oxidschicht zur Oxidation freiliegender Bereich des Gateelektrodenmaterials 151a und der Halbleiterschicht 103 gebildet werden kann. Danach wird die Ätzstoppschicht 104d hergestellt, etwa durch CVD und dergleichen, wobei die Materialdichte und Dicke der Ätzstoppschicht 104b so gewählt sind, dass die gewünschten Ätzstoppeigenschaften erreicht werden. Anschließend wird ein Maskenmaterial (nicht gezeigt) aufgebracht, beispielsweise durch plasmaunterstützte CVD, thermisch aktivierte CVD und dergleichen, wobei dieses Material nachfolgend durch Photolithographie und geeignete selektive Ätztechniken strukturiert wird.This in 1a shown semiconductor device 100 can be made on the basis of the following processes. After fabricating corresponding isolation structures (not shown) and forming corresponding fundamental dopant profiles for the transistors 150p . 150n in the semiconductor layer 103 , becomes the gate electrode structure 151 produced by well-established techniques, including oxidation and / or deposition of a gate dielectric, followed by deposition of the gate electrode material 151a and the material of the cover layer 151c followed. The corresponding material stack is structured by complex lithography and etching techniques. Next is the stack 104 formed by, for example, the spacer layer 104a using some thermally activated CVD (chemical vapor deposition), optionally with a thin oxide layer for oxidation of the exposed area of the gate electrode material 151a and the semiconductor layer 103 can be formed. Thereafter, the etching stopper layer becomes 104d produced by, for example, CVD and the like, wherein the material density and thickness of the etching stop layer 104b are chosen so that the desired Ätzstoppeigenschaften be achieved. Subsequently, a masking material (not shown) is deposited, for example, by plasma assisted CVD, thermally activated CVD, and the like, which material is subsequently patterned by photolithography and appropriate selective etching techniques.

1b zeigt schematisch das Halbleiterbauelement 100 mit einer Maske 105, die den zweiten Transistor 150n abdeckt, während der erste Transistor 150n, d. h. der darüber ausgebildete Schichtstapel 104, frei liegt. Die Maske 105 repräsentiert in einer anschaulichen Ausführungsform eine Hartmaske, beispielsweise aus Siliziumnitrid oder einem anderen geeigneten Material, das selektiv in Bezug auf die Ätzstoppschicht 104b geätzt werden kann. In anderen anschaulichen Ausführungsformen wird ein anderes geeignetes Material, etwa Lackmaterial selektiv über dem zweiten Transistor 150n gebildet und wird zur Strukturierung des Schichtstapels 104 in dem ersten Transistor 150p verwendet. 1b schematically shows the semiconductor device 100 with a mask 105 that the second transistor 150n covering, while the first transistor 150n ie the layer stack formed above it 104 , is free. The mask 105 In one illustrative embodiment, a hard mask, such as silicon nitride or other suitable material, selectively with respect to the etch stop layer 104b can be etched. In other illustrative embodiments, another suitable material, such as resist, is selectively over the second transistor 150n educated and becomes the structuring of the layer stack 104 in the first transistor 150p used.

1c zeigt schematisch das Halbleiterbauelement 100 während einer Ätzsequenz 106, die einen ersten Ätzschritt zum selektiven Entfernen der Ätzstoppschicht 104b aufweist, was auf der Grundlage gut etablierter Ätztechniken erreicht werden kann, beispielsweise unter Anwendung von Flusssäure (HF), und danach wird ein anisotroper Ätzschritt ausgeführt, um die Abstandshalterschicht 104a selektiv zum Material der Halbleiterschicht 103 zu ätzen, während in anderen Fällen eine dünne Oxidschicht (nicht gezeigt) vorgesehen wird, um als ein Ätzstoppmaterial während des anisotropen Ätzprozesses zu dienen. Somit ist nach dem Ätzprozess 106 ein Seitenwandabstandshalterelement 104s an Seitenwänden der Gateelektrodenstruktur 151 gebildet, wobei eine Breite des Abstandshalters 104s im Wesentlichen durch die anfängliche Schichtdicke der Abstandshalterschicht 104a und die Bedingungen in der Ätzsequenz 106 bestimmt ist. In der gezeigten Ausführungsform kann auch ein gewisses Maß an Materialabtrag in der Maske 105 erfolgen, wie dies durch die gestrichelte Linie angedeutet ist, wenn die Abstandshalterschicht 104a und die Maske 105 aus Materialien mit ähnlichem Ätzverhalten aufgebaut sind oder wenn sie aus im Wesentlichen dem gleichen Material hergestellt sind. In anderen anschaulichen Ausführungsformen repräsentiert die Maske 105 ein Lackmaterial, zumindest während des ersten Ätzschrittes der Sequenz 106, um selektiv die Ätzstoppschicht 104b über dem ersten Transistor 150p zu entfernen. Danach wird bei Bedarf die Lackmaske entfernt und der anisotrope Ätzschritt der Sequenz 106 kann auf der Grundlage eines selektiven Ätzrezepts ausgeführt werden, wobei die Ätzstoppschicht 104b den verbleibenden Teil der Schicht 104a über dem zweiten Transistor 150n schützt. 1c schematically shows the semiconductor device 100 during an etching sequence 106 comprising a first etching step for selectively removing the etch stop layer 104b which can be achieved on the basis of well-established etching techniques, for example using hydrofluoric acid (HF), and then an anisotropic etching step is performed to form the spacer layer 104a selective to the material of the semiconductor layer 103 In other cases, a thin oxide layer (not shown) is provided to serve as an etch stop material during the anisotropic etch process. Thus, after the etching process 106 a sidewall spacer element 104s on sidewalls of the gate electrode structure 151 formed, wherein a width of the spacer 104s essentially by the initial layer thickness of the spacer layer 104a and the conditions in the etching sequence 106 is determined. In the embodiment shown, a certain amount of material removal in the mask may also be present 105 take place, as indicated by the dashed line, when the spacer layer 104a and the mask 105 are made of materials with similar etching behavior or if they are made of substantially the same material. In other illustrative embodiments, the mask represents 105 a resist material, at least during the first etching step of the sequence 106 to selectively remove the etch stop layer 104b above the first transistor 150p to remove. Thereafter, if necessary, the resist mask is removed and the anisotropic etch step of the sequence 106 can be performed on the basis of a selective etch recipe, wherein the etch stop layer 104b the remaining part of the shift 104a over the second transistor 150n protects.

1d zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der ein weiterer Ätzprozess 107 ausgeführt wird, um Vertiefungen oder Aussparungen 103p benachbart zu der Gateelektrodenstruktur 151 in der Halbleiterschicht 103 des ersten Transistors 150p zu bilden. Der Ätzprozess 107 kann auf der Grundlage gut etablierter Ätzrezepte ausgeführt werden, etwa isotroper Rezepte, anisotroper Rezepte oder einer Kombination davon, wobei dies von der gewünschten Form und Größe der Aussparungen 103p abhängt. Beispielsweise sind eine Vielzahl von Ätzchemien verfügbar, um Siliziummaterial selektiv in Bezug auf Siliziumnitridmaterial, Oxidmaterial und dergleichen abzutragen, wobei diese Rezepte für die Herstellung der Aussparungen 103p eingesetzt werden können. Während des Ätzprozesses 107 können entsprechende Prozessparameter, etwa die Plasmaleistung, der Druck, die Art und die Menge von Polymermaterialien zum Steuern einer horizontalen Abtragsrate und dergleichen so eingestellt werden, dass eine gewünschte Form der Aussparungen 103p erreicht wird. Beispielsweise kann Abstand der Aussparungen 103p von dem Kanalgebiet 152 im Wesentlichen durch die Breite des Abstandshalters 104s festgelegt werden. Wenn ein mehr oder weniger ausgeprägter Grad an Unterätzung gewünscht ist, können die Prozessparameter und/oder die Ätzchemie in geeigneter Weise ausgewählt werden, beispielsweise zu Beginn des Ätzprozesses 107 oder während einer gewissen Phase des Prozesses, wobei dies von der gewünschten Größe und der Form der Aussparungen 103p abhängt. In der gezeigten Ausführungsform schützt die Maske 105 den Schichtstapel 104, der über dem zweiten Transistor 150n gebildet ist. In anderen Fällen kann die Maske 105 in einer früheren Fertigungsphase entfernt werden, wenn diese als Lackmaterial und dergleichen vorgesehen ist, so dass in diesem Falle die Ätzstoppschicht 104b zuverlässig die Abstandshalterschicht 104a schützt. 1d schematically shows the semiconductor device 100 in a more advanced manufacturing stage, in which another etching process 107 Running to depressions or recesses 103p adjacent to the gate electrode structure 151 in the semiconductor layer 103 of the first transistor 150p to build. The etching process 107 can be performed on the basis of well-established etch recipes, such as isotropic recipes, anisotropic recipes, or a combination thereof, depending on the shape and size of the cutouts desired 103p depends. For example, a variety of etch chemistries are available to selectively ablate silicon material with respect to silicon nitride material, oxide material, and the like, and these recesses for making the recesses 103p can be used. During the etching process 107 For example, appropriate process parameters, such as plasma power, pressure, type and amount of polymer materials for controlling a horizontal rate of removal, and the like can be adjusted to provide a desired shape of the recesses 103p is reached. For example, spacing of the recesses 103p from the channel area 152 essentially by the width of the spacer 104s be determined. If a more or less pronounced degree of undercut is desired, the process parameters and / or the etch chemistry may be suitably selected, for example at the beginning of the etch process 107 or during a certain stage of the process, this being of the desired size and shape of the recesses 103p depends. In the embodiment shown, the mask protects 105 the layer stack 104 that over the second transistor 150n is formed. In other cases, the mask 105 be removed in an earlier manufacturing stage, if this is provided as a paint material and the like, so that in this case the etch stop layer 104b reliable the spacer layer 104a protects.

In den erfindungsgemäßen Ausführungsformen werden nach dem Ätzprozess 107 und vor oder nach dem Entfernen der Maske 105 ein oder mehrere Implantationsprozesse 108 ausgeführt, um eine oder mehrere Implantationssorten 108a durch die freigelegten Oberflächenbereiche der Aussparung 103p einzuführen. Beispielsweise enthalten die einen oder die mehreren Implantationsprozesse 108 einen oder mehrere Schritte, die auf der Grundlage eines Neigungswinkels von nicht Null ausgeführt werden, der als ein Winkel von nicht Null in Bezug auf eine Normale der Halbleiterschicht 103 oder der vergrabenen isolierenden Schicht 102 zu verstehen ist. Folglich kann eine beliebige gewünschte Implantationssorte eingebaut werden, wobei die Positionierung der Implantationssorte 108a durch die Prozessparameter des einen oder der mehreren Implantationsprozesse 108, etwa Energie, Dosis, Neigungswinkel, Art der Implantationssorte und dergleichen gesteuert wird. In einigen anschaulichen Ausführungsformen enthält die Implantationssorte 108a eine Dotierstoffsorte, beispielsweise zum Gegendotieren von Material der Schicht 103 in Bezug auf Drain- und Sourcebereiche, die in einer späteren Fertigungsphase auf Basis eines verformten Halbleitermaterials zu bilden sind, das in die Aussparungen 103p eingeführt wird. Des weiteren umfasst in einigen anschaulichen Ausführungsformen die Implantationssorte 108a ein Dotiermittel zum Bilden zumindest eines Teils von Drain- und Sourcegebieten, etwa eines Erweiterungsgebiets, um damit zusätzliche Implantationsschritte in einer späteren Fertigungsphase nach dem Vorsehen eines verformten Halbleitermaterials in den Aussparungen 103p zu vermeiden. In anderen anschaulichen Ausführungsformen werden entsprechende Drain- und Sourceerweiterungsgebiete in einer frühen Fertigungsphase hergestellt, beispielsweise vor oder nach dem Abscheiden der Abstandshalterschicht 104a, wobei dies von der anfänglichen Schichtdicke abhängt. In noch anderen anschaulichen Ausführungsformen umfasst die Implantationssorte 108a entsprechende Komponenten, etwa Stickstoff, Kohlenstoff und dergleichen, die zu einer geringeren Diffusionsaktivität einer entsprechenden Dotierstoffsorte, beispielsweise von Bor, führen, das in einer späteren Fertigungsphase vorgesehen wird. Auf diese Weise können die schließlich erreichten pn-Übergänge der Drain- und Sourcegebiete mit besserer Genauigkeit auf der Grundlage von einer oder mehreren Komponenten der Implantationssorte 108a gebildet werden. Der Grad an Leckströmen durch die pn-Übergänge, die noch zu bilden sind, kann ebenfalls auf der Grundlage der Implantationssorte 108a eingestellt werden, wodurch eine sehr effiziente Stabilisierung der Schwellwertspannung des Transistors 105p in Bezug auf Effekte des potentialfreien Körpers erreicht wird. Während des Implantationsprozesses 108 kann ein unerwünschter Einbau der Implantationssorte 108a in das Gateelektrodenmaterial 151a durch die Abstandshalter 104s und die Deckschicht 151c unterdrückt oder zumindest verringert werden. In Ausführungsformen, in denen die Maske 105 in einer frühen Fertigungsphase entfernt wurde, kann die entsprechende abschirmende Wirkung während eines geneigten Implantationsschrittes des Prozesses 108 verringert werden.In the embodiments according to the invention, after the etching process 107 and before or after removing the mask 105 one or more implantation processes 108 performed to one or more implantation varieties 108a through the exposed surface areas of the recess 103p introduce. For example, the one or more implantation processes include 108 one or more steps performed on the basis of a non-zero tilt angle, which is an angle of non-zero with respect to a normal of the semiconductor layer 103 or the buried insulating layer 102 to understand. Consequently, any desired implantation variety may be incorporated, with the positioning of the implantation variety 108a by the process parameters of the one or more implantation processes 108 , energy, dose, angle of inclination, type of implantation variety, and the like. In some illustrative embodiments, the implantation variety includes 108a a Dotierstoffsorte, for example, for counter-doping material of the layer 103 with respect to drain and source regions to be formed in a later stage of fabrication based on a deformed semiconductor material, into the recesses 103p is introduced. Furthermore, in some illustrative embodiments, the implantation variety includes 108a a dopant for forming at least a portion of drain and source regions, such as an expansion region, to thereby provide additional implantation steps in a later manufacturing stage after providing a deformed semiconductor material in the recesses 103p to avoid. In other illustrative embodiments, corresponding drain and source extension regions are made in an early manufacturing stage, for example, before or after deposition of the spacer layer 104a this being from the initial one Layer thickness depends. In still other illustrative embodiments, the implantation variety includes 108a corresponding components, such as nitrogen, carbon and the like, which lead to a lower diffusion activity of a corresponding dopant species, such as boron, which is provided in a later manufacturing phase. In this way, the finally achieved pn junctions of the drain and source regions can be better accurately based on one or more components of the implantation species 108a be formed. The level of leakage through the pn junctions still to be formed may also be based on the implantation variety 108a can be adjusted, resulting in a very efficient stabilization of the threshold voltage of the transistor 105p in terms of effects of the floating body is achieved. During the implantation process 108 may be an unwanted implantation of the implantation variety 108a into the gate electrode material 151a through the spacers 104s and the topcoat 151c be suppressed or at least reduced. In embodiments in which the mask 105 has been removed in an early manufacturing stage, the appropriate shielding effect during a tilted implantation step of the process 108 be reduced.

1e zeigt schematisch das Halbleiterbauelement 100 während eines selektiven epitaktischen Wachtumsprozesses 109, der auf der Grundlage gut etablierter Prozessrezepte ausgeführt wird, um eine Halbleiterlegierung selektiv auf freiliegenden Oberflächen der Aussparungen 103p (siehe 1d) abzuscheiden, während eine merkliche Materialabscheidung auf dielektrischen Oberflächenbereichen im Wesentlichen vermieden wird. Während des Wachstumsprozesses 109 wird beispielsweise Silizium/Germanium mit einem gewünschten Anteil an Germanium abgeschieden, so dass ein Aufwachsen auf der Siliziumschicht 103 ein verformter Zustand erreicht wird, dessen Größe vom Anteil des Germaniums abhängt. Beispielsweise können ungefähr 15 bis 35 Atomprozent Germanium in die Silizium/Germaniumlegierung eingebaut werden, um ein verformtes Halbleitermaterial 153p zu bilden. Es sollte beachtet werden, dass in anderen anschaulichen Ausführungsformen zusätzlich oder alternativ zu Germanium andere atomare Sorten mit einem größeren kovalenten Radius im Vergleich zu Silizium verwendet werden können, etwa Zinn, das ebenfalls in das Material 153p eingebaut werden kann. In diesem Falle kann ein deutlich kleinerer Anteil an nicht-Siliziummaterial eingebaut werden, wobei dennoch ein gewünschter Unterschied der natürlichen Gitterkonstante des Materials 153p in Bezug auf das umgebende Schablonenmaterial der Schicht 103 erreicht wird. In einigen anschaulichen Ausführungsformen wird während des Wachstumsprozesses 109 auch eine Dotierstoffsorte in das Material 153p eingebaut, etwa Bor, um damit weitere Implantationsprozesse zu vermeiden oder diese im Hinblick auf die Implantationsdosis zu reduzieren, so dass durch Implantation hervorgerufene Schäden des Materials 153p gering gehalten werden. Danach wird die weitere Bearbeitung fortgesetzt, indem die Maske 105 entfernt wird, was durch gut etablierte selektive Ätzrezepte bewerkstelligt werden kann, wobei beispielsweise heiße Phosphorsäure eingesetzt wird, wodurch die Maske 105 effizient abgetragen wird, wenn diese aus Siliziumnitrid aufgebaut ist, wobei dies selektiv zur Ätzstoppschicht 104b erfolgt, wobei auch die Abstandshalter 104s und die Deckschicht 151c in den Transistor 150p entfernt werden. 1e schematically shows the semiconductor device 100 during a selective epitaxial growth process 109 performed on the basis of well-established process recipes to selectively deposit a semiconductor alloy on exposed surfaces of the recesses 103p (please refer 1d ), while substantially avoiding significant deposition of material on dielectric surface areas. During the growth process 109 For example, silicon / germanium is deposited with a desired amount of germanium, such that growth on the silicon layer 103 a deformed state is reached, the size of which depends on the proportion of germanium. For example, about 15 to 35 atomic percent germanium may be incorporated into the silicon germanium alloy to form a deformed semiconductor material 153P to build. It should be noted that in other illustrative embodiments, in addition to or as an alternative to germanium, other atomic species having a larger covalent radius may be used compared to silicon, such as tin, also incorporated in the material 153P can be installed. In this case, a significantly smaller proportion of non-silicon material can be incorporated, but still a desired difference of the natural lattice constant of the material 153P with respect to the surrounding stencil material of the layer 103 is reached. In some illustrative embodiments, during the growth process 109 also a dopant species in the material 153P incorporated, such as boron, in order to avoid further implantation processes or to reduce these with regard to the implantation dose, so that caused by implantation damage of the material 153P be kept low. Thereafter, the further processing is continued by the mask 105 is removed, which can be accomplished by well established selective etching recipes, using, for example, hot phosphoric acid, whereby the mask 105 is efficiently removed when it is made of silicon nitride, this selective to etch stop layer 104b takes place, including the spacers 104s and the topcoat 151c in the transistor 150p be removed.

1f zeigt schematisch das Halbleiterbauelement 100 nach der zuvor beschriebenen Prozesssequenz. Das Bauelement 100 ist ferner der Einwirkung einer Ätzumgebung 110 ausgesetzt, die für das selektive Entfernen der freigelegten Ätzstoppschicht 104b ausgestaltetet ist, während die Abstandshalterschicht 104a beibehalten wird. Zu diesem Zweck können gut etablierte selektive Ätzrezepte, beispielsweise auf Grundlage von Flusssäure (HF) eingesetzt werden. Anschließend wird ein weiterer Ätzprozess ausgeführt, um die freigelegte Abstandshalterschicht 104a selektiv zu ätzen, wie dies auch mit Bezug zu dem Ätzprozess 106 (siehe 1c) erläutert ist. 1f schematically shows the semiconductor device 100 after the process sequence described above. The component 100 is also the action of an etching environment 110 For selective removal of the exposed etch stop layer 104b is configured while the spacer layer 104a is maintained. For this purpose, well-established selective etching recipes, for example based on hydrofluoric acid (HF) can be used. Subsequently, another etching process is performed to remove the exposed spacer layer 104a selectively etch, as well as with respect to the etching process 106 (please refer 1c ) is explained.

1g zeigt schematisch das Halbleiterbauelement 100 nach der zuvor beschriebenen Prozesssequenz mit einer weiteren Maske 111, die dem ersten Transistor 150p abdeckt, während der zweite Transistor 150n die Gateelektrodenstruktur 151, die nunmehr Abstandshalterelemente 104r auf Grund des zuvor ausgeführten anisotropen Ätzprozesses aufweist. Die Maske 111 kann aus Siliziumnitrid oder einem anderen Material aufgebaut sein, das mit der weiteren Bearbeitung kompatibel ist. 1g schematically shows the semiconductor device 100 after the previously described process sequence with another mask 111 that the first transistor 150p covering, while the second transistor 150n the gate electrode structure 151 , now spacer elements 104r due to the previously performed anisotropic etching process. The mask 111 may be constructed of silicon nitride or other material that is compatible with further processing.

1h zeigt schematisch das Halbleiterbauelement 100 während eines Ätzprozesses 112, der auf Grundlage ähnlicher Prozessparameter wie der Ätzprozess 107 (siehe 1d) ausgeführt werden kann. D. h., Prozessparameter und Ätzchemien des Ätzprozesses 112 werden gemäß einer gewünschten Größe und Form von Aussparungen 103n eingestellt, die benachbart zu der Gateelektrodenstruktur 151 erzeugt werden, wobei die Abstandshalter 104r die Seitenlänge davon schützen und auch einen Abstand der Aussparungen 103n zu dem Kanalgebiet 152 definieren, wie dies ähnlich auch im Zusammenhang mit dem ersten Transistor 150p erläutert ist. Folglich können die Größe und die Form der Aussparungen 103n unabhängig von einer Größe und Form der entsprechenden Aussparungen 103p (siehe 1d) eingestellt werden. Ferner wird in einigen anschaulichen Ausführungsformen ein Implantationsprozess oder eine Sequenz 113 ausgeführt, um eine oder mehrere Implantationssorten 113a durch freigelegte Oberflächenbereiche der Aussparungen 103n einzuführen. Auch in diesem Falle kann der Implantationsprozess 113 einen oder mehrere Implantationsschritte mit einem Neigungswinkel ungleich Null beinhalten, um in geeigneter Weise die eine oder die mehreren Implantationssorten 113a anzuordnen. Beispielsweise wird ein gegendotiertes Gebiet gebildet, oder es wird eine Dotierstoffsorte oder eine andere Implantationssorte, etwa eine nicht-dotierende Sorte in Form von Kohlenstoff, Stickstoff und dergleichen eingebaut, um die gesamten elektronischen Eigenschaften beispielsweise im Hinblick auf Leckströme und dergleichen, einzustellen, wie dies auch zuvor mit Bezug zu dem Transistor 105p erläutert ist, wobei zu beachten ist, dass auf Grund der unterschiedlichen Leitfähigkeitsart der Transistoren 150n und 150p der Implantationsprozess 113 sich von dem entsprechenden Prozess 108 (siehe 1d) in Bezug auf die Implantationsparameter und Implantationssorte unterscheiden kann. 1h schematically shows the semiconductor device 100 during an etching process 112 based on similar process parameters as the etching process 107 (please refer 1d ) can be performed. That is, process parameters and etch chemistry of the etch process 112 be according to a desired size and shape of recesses 103n set adjacent to the gate electrode structure 151 be generated, the spacers 104r protect the side length of it and also a distance of the recesses 103n to the canal area 152 define, as similar in connection with the first transistor 150p is explained. Consequently, the size and shape of the recesses 103n regardless of a size and shape of the corresponding recesses 103p (please refer 1d ). Further, in some illustrative embodiments, an implantation process or sequence 113 performed to one or more implantation varieties 113a through exposed Surface areas of the recesses 103n introduce. Also in this case, the implantation process 113 include one or more implantation steps having a non-zero tilt angle to suitably select the one or more implantation varieties 113a to arrange. For example, a counter-doped region is formed, or a dopant species or other implantation species, such as a non-doping species in the form of carbon, nitrogen, and the like, are incorporated to adjust the overall electronic properties, for example with respect to leakage currents and the like, as well also previously with respect to the transistor 105p It should be noted that due to the different conductivity type of the transistors 150n and 150p the implantation process 113 away from the corresponding process 108 (please refer 1d ) in relation to the implantation parameters and implantation variety.

1i zeigt schematisch das Halbleiterbauelement 100 während eines weiteren selektiven epitataktischen Wachstumsprozesses 114, der so gestaltet ist, dass ein verformtes Halbleitermaterial 153n abgeschieden wird. In einer weiteren anschaulichen Ausführungsform enthält das Halbleitermaterial 153n eine Silizium/Kohlenstofflegierung, die eine natürliche Konstante aufweist, die kleiner ist als die Gitterkonstante von Silizium, wodurch diese somit in einem zugverformten Zustand aufwächst, der zu einer Zugverformung in dem benachbarten Kanalgebiet 152 führt. Beispielsweise wird ein geeigneter Anteil an Kohlenstoffmaterial in das Siliziummaterial der Abscheideumgebung 114 eingebracht, beispielsweise ein bis mehrere Atomprozent, wobei dies von dem gewünschten Grad an Zugverspannung und anderen elektronischen Eigenschaften der Drain- und Sourcegebiete abhängt, die in dem zweiten Transistor 150n zu bilden sind. Wie zuvor angegeben ist, kann eine geeignete Dotierstoffsorte, d. h. eine n-Sorte, in das Material 153n während des Aufwachsprozesses 114 eingebaut werden, um damit weitere Implantationsprozesse zu vermeiden oder zumindest den Grad an Ionenbeschuss während nachfolgender Implantationsprozesse zum Bilden des schließlich gewünschten Dotierstoffprofils für den zweiten Transistor 150n zu verringern. Nach dem selektiven epitaktischen Wachstumsprozess 114 wird ein Ätzprozess, beispielsweise auf Grundlage heißer Phosphorsäure und dergleichen, ausgeführt, um die Maske 111 und die Abstandshalter 104r und die Deckschicht 151c zu entfernen. 1i schematically shows the semiconductor device 100 during another selective epitaxial growth process 114 which is designed to be a deformed semiconductor material 153n is deposited. In another illustrative embodiment, the semiconductor material includes 153n a silicon / carbon alloy that has a natural constant that is less than the lattice constant of silicon, thus growing up in a tensile state that results in a tensile strain in the adjacent channel region 152 leads. For example, a suitable amount of carbon material in the silicon material becomes the deposition environment 114 for example, one to several atomic percent, depending on the desired degree of tensile stress and other electronic properties of the drain and source regions present in the second transistor 150n are to be formed. As indicated previously, a suitable dopant species, ie, an n-type, can be incorporated into the material 153n during the growing process 114 can be installed in order to avoid further implantation processes or at least the degree of ion bombardment during subsequent implantation processes to form the finally desired dopant profile for the second transistor 150n to reduce. After the selective epitaxial growth process 114 For example, an etching process, for example based on hot phosphoric acid and the like, is carried out around the mask 111 and the spacers 104r and the topcoat 151c to remove.

1j zeigt schematisch das Halbleiterbauelement 100 nach der zuvor beschriebenen Prozesssequenz. Somit sind die Gateelektrodenstrukturen 151 beider Transistoren 150p, 150n freigelegt bevor die weitere Bearbeitung der Bauelements 100 beginnt, während in anderen Ausführungsformen, wie dies durch die gestrichelte Linie angegeben ist, eine Schutzschicht 115, etwa eine Siliziumdioxidschicht und dergleichen, vorgesehen wird, bevor weitere Fertigungsprozesse zur Fertigstellung der Transistoren 150p, 150n ausgeführt werden. 1j schematically shows the semiconductor device 100 after the process sequence described above. Thus, the gate electrode structures 151 both transistors 150p . 150n exposed before further processing of the device 100 begins, while in other embodiments, as indicated by the dashed line, a protective layer 115 , such as a silicon dioxide layer and the like, is provided before further fabrication processes to complete the transistors 150p . 150n be executed.

1k zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase. Wie dargestellt, sind Drain- und Sourcegebiete 154 benachbart zu dem Kanalgebiet 152 ausgebildet, wobei die Drain- und Sourcegebiete 154 zumindest einen Teil des verformten Halbleitermaterials 153p bzw. 163n aufweisen. D. h., abhängig von den Bauteilerfordernissen werden die verformten Materialien 153n, 153p vollständig in den Drain- und Sourcegebieten 154 angeordnet, wie dies auch gezeigt wird, was durch Einbau einer hohen Konzentration der entsprechenden Dotierstoffsorte und durch Ausführen eines Ausheizprozesses zum Ingangsetzen einer Diffusion der Dotierstoffsorte bewerkstelligt werden kann. In anderen Fällen erstreckt sich ein Teil der pn-Übergänge 154p durch das verformte Halbleitermaterial, zumindest in einem der Transistoren 150p, 150n. Es sollte beachtet werden, dass, wie dies zuvor mit Bezug zu den Implantationsprozessen 108 (siehe 1d) und 113 (siehe 1h) erläutert ist, das die zusätzliche Implantationssorte 108a und/oder 110a vorhanden sein kann, um die gesamten Transistoreigenschaften beispielsweise im Hinblick auf den Leckstrom in den pn-Übergängen 154p, den Dotierstoffgradienten, beispielsweise durch Verringern der gesamten Diffusionsaktivität von Dotierstoffsorten, etwa von Bor, einzustellen, was somit zu einem besseren Einschluss der Dotierstoffsorte und damit zu besser definierten Drain- und Sourcegebieten 154 für den Transistor 150p führt, der beispielsweise einen p-Kanaltransistor repräsentiert. 1k schematically shows the semiconductor device 100 in a more advanced manufacturing phase. As shown, there are drain and source regions 154 adjacent to the canal area 152 formed, wherein the drain and source regions 154 at least a portion of the deformed semiconductor material 153P respectively. 163N exhibit. That is, depending on the component requirements, the deformed materials become 153n . 153P completely in the drain and source areas 154 as also shown, which can be accomplished by incorporation of a high concentration of the corresponding dopant species and by performing a bake process to initiate diffusion of the dopant species. In other cases, some of the pn junctions extend 154P by the deformed semiconductor material, at least in one of the transistors 150p . 150n , It should be noted that, as previously related to the implantation processes 108 (please refer 1d ) and 113 (please refer 1h ) which explains the additional implantation variety 108a and or 110a can be present, for example, the total transistor characteristics with respect to the leakage current in the pn junctions 154P to adjust the dopant gradient, for example, by reducing the overall diffusion activity of dopant species, such as boron, thus resulting in a better confinement of the dopant species and thus to better defined drain and source regions 154 for the transistor 150p leads, which represents, for example, a p-channel transistor.

Das Halbleiterbauelement 100 umfasst ferner eine Abstandshalterstruktur 156, die benachbart zu den Gateelektrodenmaterial 151a ausgebildet ist, wobei die Abstandshalterstruktur 156 mehrere einzelne Abstandshalterelemente 156a, 156b abhängig von den Prozess- und Bauteilerfodernissen aufweisen kann. Z. B. werden die Drain- und Sourcegebiete 154 zumindest für einen der Transistoren 150p 150n auf der Grundlage weiterer Implantationsprozesse eingestellt, während welchen die entsprechenden Abstandshalterelemente 156a, 156b als Implantationsmasken dienen. In anderen anschaulichen Ausführungsformen werden zusätzliche Implantationsprozesse im Wesentlichen vermieden, nachdem die verformten Halbleitermaterialien 153p bzw. 153n hergestellt sind, so dass auch der Grad an Gitterschäden in diesen Materialien gering bleibt. In diesem Falle wird die Abstandshalterstruktur 156 so vorgesehen, dass diese eine Maske für die Herstellung eines Metallsilizidgebiets 155 in einer selbstjustierten Weise dient. In einigen anschaulichen Ausführungsformen weist die Abstandshalterstruktur 156 einen hohen inneren Verspannungspegel auf, der zu Verbesserung des Transistorverhaltens eines der Transistoren 150p, 150n geeignet ist.The semiconductor device 100 further comprises a spacer structure 156 adjacent to the gate electrode material 151a is formed, wherein the spacer structure 156 several individual spacer elements 156a . 156b may have depending on the process and Bauteilerfodernissen. For example, the drain and source areas become 154 at least for one of the transistors 150p 150n adjusted based on further implantation processes during which the respective spacer elements 156a . 156b serve as implantation masks. In other illustrative embodiments, additional implantation processes are substantially avoided after the deformed semiconductor materials 153P respectively. 153n are made so that the degree of lattice damage in these materials remains low. In this case, the spacer structure becomes 156 designed to be a mask for the production of a metal silicide region 155 in a self-aligned manner. In some illustrative embodiments, the spacer structure 156 a high internal stress level, which improves the transistor behavior of one of the transistors 150p . 150n suitable is.

Beispielsweise besitzt die Abstandshalterstruktur 156 einen hohen Zugverspannungspegel, wodurch für eine zusätzliche Verformung in dem Kanalgebiet 152 des Transistors 150n gesorgt wird. Andererseits kann ein negativer Einfluss des internen Verspannungspegels in dem Transistor 150p durch zusätzliche verspannungsinduzierende Mechanismen überkompensiert werden, etwa durch verformungsinduzierende dielektrische Schichten 116 und 117, die mit einer hohen inneren Zugverspannung bzw. kompressiven Verspannung vorgesehen werden. Z. B. kann Siliziumnitrid mit hoher innerer Verspannung abhängig von den entsprechenden Prozessparametern einer plasmaunterstützten CVD-Technik aufgebracht werden. Beispielsweise werden Verspannungspegel bis zu einem GPA und höher für ein zugverspanntes Siliziumnitridmaterial erreicht, wohingegen Verspannungspegel von bis zu 2 GPa und höher für ein kompressiv verspanntes Siliziumnitridmaterial erreicht werden. Durch Vorsehen eines hohen internen kompressiven Verspannungspegels in der Schicht 117 kann somit eine interne Zugverspannung der Abstandshalterstruktur 156 in dem Transistor 150p kompensiert werden. In anderen anschaulichen Ausführungsformen wird ein kompressiver Verspannungspegel in der Abstandshalterstruktur 156 erzeugt, wenn ein weiterer ausgeprägter Leistungszuwachs im Transistor 150p erwünscht.For example, the spacer structure has 156 a high tensile stress level, causing additional deformation in the channel region 152 of the transistor 150n is taken care of. On the other hand, a negative influence of the internal stress level in the transistor 150p be overcompensated by additional stress-inducing mechanisms, such as strain-inducing dielectric layers 116 and 117 , which are provided with a high internal tensile stress or compressive stress. For example, silicon nitride with high internal stress can be applied depending on the corresponding process parameters of a plasma enhanced CVD technique. For example, stress levels up to a GPA and higher are achieved for a tensile strained silicon nitride material, while stress levels up to 2 GPa and higher are achieved for a compressively strained silicon nitride material. By providing a high internal compressive stress level in the layer 117 Thus, an internal tensile stress of the spacer structure 156 in the transistor 150p be compensated. In other illustrative embodiments, a compressive stress level in the spacer structure becomes 156 generated when another significant power increase in the transistor 150p he wishes.

Das in 1k gezeigte Halbleiterbauelement 100 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Die Drain- und Sourcegebiete 154 werden beispielsweise durch Ionenimplantation zur Herstellung flacher Drain- und Sourceerweiterungsgebiete (nicht gezeigt) gebildet, wobei der durch Implantation hervorgerufene Schaden in den Materialien 153p, 153n weniger ausgeprägt ist. In anderen Fällen können, wie zuvor erläutert ist, entsprechende Erweiterungsgebiete vor dem Aufwachsen der Materialien 153p bzw. 153n gebildet werden. Bei Bedarf werden weitere Implantationsprozesse ausgeführt, um zusätzliche Dotierstoffsorten einzubauen und/oder die entsprechende Dotierstoffsorte wurde während der Wachstumsprozesse für die Materialien 153p, 153n eingebaut. Geeignete Ausheizprozesse können dann ausgeführt werden, um das gewünschte Dotierstoffprofil für die Drain- und Sourcegebiete 154 zu schaffen, wobei auch die entsprechenden Implantationssorten 113a, 108a eine präzisere Steuerung der schließlich erreichten elektronischen Eigenschaften ermöglichen. Danach werden die Metallsilizidgebiete 155 gemäß gut etablierter Techniken hergestellt, wobei die Abstandshalterstruktur 156 als eine Silizidierungsmaske dienen kann. Nachfolgend werden die Schichten 116 und 117 abgeschieden, wobei ein oder beide Schichten einen hohen inneren Verspannungspegel aufweisen kann, wie dies zuvor erläutert ist, was auf Grundlage entsprechender Strukturierungsschemata erreicht werden kann, in denen eine der Schichten 116, 117 abgeschieden und nachfolgend von eines der Transistoren 150p, 150n entfernt wird, woran sich das Abscheiden der anderen der beiden Schichten 116, 117 anschließt und das Entfernen dieser Schicht von dem anderen Transistor 150p, 150n folgt.This in 1k shown semiconductor device 100 can be made on the basis of the following processes. The drain and source areas 154 are formed, for example, by ion implantation to produce shallow drain and source extension regions (not shown), wherein the damage caused by implantation in the materials 153P . 153n less pronounced. In other cases, as previously explained, corresponding extension regions may be provided prior to growing the materials 153P respectively. 153n be formed. If necessary, further implantation processes are carried out to incorporate additional dopant species and / or the appropriate dopant species became during the growth processes for the materials 153P . 153n built-in. Suitable anneal processes may then be performed to achieve the desired dopant profile for the drain and source regions 154 including the corresponding implantation varieties 113a . 108a allow a more precise control of the finally achieved electronic properties. Then the metal silicide areas become 155 prepared according to well-established techniques, wherein the spacer structure 156 can serve as a silicidation mask. Below are the layers 116 and 117 deposited, wherein one or both layers can have a high internal stress level, as explained above, which can be achieved on the basis of corresponding structuring schemes in which one of the layers 116 . 117 deposited and subsequently from one of the transistors 150p . 150n is removed, what is the deposition of the other of the two layers 116 . 117 connects and removing this layer from the other transistor 150p . 150n follows.

Folglich können die verformten Halbleitermaterialien 153p, 153n auf der Grundlage selektiver epitaktischer Aufwachstechniken hergestellt werden, wobei geeignete Masken- und Ätzstoppschichten, etwa die Schichten 104a, 104b (siehe 1a) eingesetzt werden, möglicherweise in Verbindung mit dem Einbau einer geeigneten Implantationssorte, etwa den Sorten 113a, 108a, so dass für verbesserte elektronische Eigenschaften und Verformungsbedingungen gesorgt ist, wie dies auch zuvor erläutert ist.Consequently, the deformed semiconductor materials 153P . 153n based on selective epitaxial growth techniques, with suitable mask and etch stop layers, such as the layers 104a . 104b (please refer 1a ), possibly in conjunction with the incorporation of a suitable implant variety, such as the varieties 113a . 108a so that improved electronic properties and deformation conditions are provided, as also explained above.

Es gilt also: Die vorliegende Erfindung stellt ein Verfahren zur Herstellung von Halbleiterbauelementen bereit, wobei verformte Halbleitermaterialien, etwa Silizium/Germanium und dergleichen einerseits und Silizium/Kohlenstoff andererseits in die Drain- und Sourcegebiete auf der Grundlage eines sehr effizienten Fertigungsablaufs eingebaut werden, und zwar in Verbindung mit zusätzlichen Implantationssorten, um die gesamten Transistoreigenschaften.Thus, the present invention provides a method of fabricating semiconductor devices incorporating deformed semiconductor materials such as silicon germanium and the like on the one hand and silicon carbon on the other hand into the drain and source regions based on a very efficient manufacturing process in conjunction with additional implant varieties to the overall transistor properties.

Claims (11)

Verfahren zur Herstellung eines verformten Halbleitermaterials in einem ersten Transistor (150p) einer ersten Leitfähigkeitsart und in einem zweiten Transistor (150n) einer zweiten Leitfähigkeitsart, wobei das Verfahren umfasst: Bilden eines Schichtstapels (104) über einer ersten Gateelektrodenstruktur (151) des ersten Transistors (150p) und über einer zweiten Gateelektrodenstruktur (151) des zweiten Transistors (150n), wobei die erste und die zweite Gateelektrodenstruktur (151) eine entsprechende Deckschicht (151c) aufweisen und wobei der Schichtstapel (104) eine Abstandshalterschicht und eine Ätzstoppschicht (104b), die über der Abstandshalterschicht (104a) gebildet ist, aufweist; Bilden einer Maske (111) über dem zweiten Transistor (150n) und über der Ätzstoppschicht (104b); Bilden eines ersten Abstandshalterelements an der ersten Gateelektrodenstruktur (151) aus der Abstandshalterschicht (104a); Bilden erster Aussparungen (103n) in Drain- und Sourcebereichen des ersten Transistors (150p) unter Anwendung des ersten Abstandshalterelements als eine Maske; Einführen einer oder mehrerer erster Implantationssorten in freigelegte Oberflächenbereiche der ersten Aussparungen (103n); Bilden eines ersten verformten Halbleitermaterials (153n) in den ersten Aussparungen (103n); Bilden zweiter Aussparungen (103p) in Drain- und Sourcebereichen des zweiten Transistors (150n) unter Anwendung eines zweiten Abstandshalterelements, das aus der Abstandshalterschicht (104a) gebildet wird, als eine Maske; und Bilden eines zweiten verformten Halbleitermaterials (153p) in den zweiten Aussparungen (103p), wobei das erste und das zweite verformte Halbleitermaterial (153n, 153p) eine unterschiedliche Materialzusammensetzung besitzen.Method for producing a deformed semiconductor material in a first transistor ( 150p ) of a first conductivity type and in a second transistor ( 150n ) of a second conductivity type, the method comprising: forming a layer stack ( 104 ) over a first gate electrode structure ( 151 ) of the first transistor ( 150p ) and over a second gate electrode structure ( 151 ) of the second transistor ( 150n ), wherein the first and the second gate electrode structure ( 151 ) a corresponding cover layer ( 151c ) and wherein the layer stack ( 104 ) a spacer layer and an etch stop layer ( 104b ) above the spacer layer ( 104a ) is formed; Forming a mask ( 111 ) over the second transistor ( 150n ) and over the etch stop layer ( 104b ); Forming a first spacer element on the first gate electrode structure ( 151 ) from the spacer layer ( 104a ); Forming first recesses ( 103n ) in drain and source regions of the first transistor ( 150p ) using the first spacer element as a mask; Introducing one or more first implant varieties into exposed surface areas of the first recesses ( 103n ); Forming a first deformed semiconductor material ( 153n ) in the first recesses ( 103n ); Forming second recesses ( 103p ) in drain and source regions of the second transistor ( 150n ) using a second spacer element consisting of the spacer layer ( 104a ) is formed as a mask; and forming a second deformed semiconductor material ( 153P ) in the second recesses ( 103p ), wherein the first and the second deformed semiconductor material ( 153n . 153P ) have a different material composition. Verfahren nach Anspruch 1, wobei die eine oder die mehreren ersten Implantationssorten eine nicht-dotierende Sorte zum Modifizieren eines Diffusionsverhaltens einer Dotierstoffsorte von Drain- und Sourcegebieten des ersten Transistors (150p) aufweisen.The method of claim 1, wherein the one or more first implant varieties comprise a non-doping species for modifying a diffusion behavior of a dopant species of drain and source regions of the first transistor. 150p ) exhibit. Verfahren nach Anspruch 1, wobei die eine oder die mehreren ersten Implantationssorten eine Dotierstoffsorte zum Bilden von Drain- und Sourcegebieten des ersten Transistors (150p) aufweisen.The method of claim 1, wherein the one or more first implant varieties comprise a dopant species to form drain and source regions of the first transistor. 150p ) exhibit. Verfahren nach Anspruch 1, das ferner umfasst: Einführen einer oder mehrerer zweiter Implantationssorten in freigelegte Oberflächenbereiche der zweiten Aussparungen (103p).The method of claim 1, further comprising: introducing one or more second implant varieties into exposed surface areas of the second recesses ( 103p ). Verfahren nach Anspruch 4, wobei die eine oder die mehreren zweiten Implantationssorten eine nicht-dotierende Sorte aufweisen.The method of claim 4, wherein the one or more second implant varieties comprise a non-doping species. Verfahren nach Anspruch 4, wobei die eine oder die mehreren zweiten Implantationssorte eine Dotierstoffsorte zur Bildung von Drain- und Sourcegebieten des zweiten Transistors (150n) aufweisen.The method of claim 4, wherein the one or more second implantation species comprises a dopant species to form drain and source regions of the second transistor. 150n ) exhibit. Verfahren nach Anspruch 1, wobei Bilden der zweiten Aussparungen (103p) umfasst: Bilden einer zweiten Maske über dem ersten Transistor (150p), Entfernen der Ätzstoppschicht (104b) von oberhalb des zweiten Transistors (150n) und Bilden des zweiten Abstandshalterelements.The method of claim 1, wherein forming the second recesses ( 103p ) comprises: forming a second mask over the first transistor ( 150p ), Removing the etch stop layer ( 104b ) from above the second transistor ( 150n ) and forming the second spacer element. Verfahren nach Anspruch 4, wobei das erste verformte Halbleitermaterial (153n) durch einen ersten selektiven epitaktischen Aufwachsprozess und das zweite verformte Halbleitermaterial (153p) durch einen zweiten epitaktischen Aufwachsprozess gebildet wird.The method of claim 4, wherein the first deformed semiconductor material ( 153n ) by a first selective epitaxial growth process and the second deformed semiconductor material ( 153P ) is formed by a second epitaxial growth process. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer oder mehrerer verspannungsinduzierender Abstandshalterelemente an Seitenwänden der ersten und der zweiten Gateelektrodenstruktur (151) nach dem Bilden des ersten und des zweiten verformte Halbleitermaterials (153p).The method of claim 1, further comprising: forming one or more stress-inducing spacer elements on sidewalls of the first and second gate electrode structures. 151 ) after forming the first and second deformed semiconductor materials ( 153P ). Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer ersten verformungsinduzierenden Schicht über dem ersten Transistor (150p) und Bilden einer zweiten verformungsinduzierenden Schicht über dem zweiten Transistor (150n), wobei die erste und die zweite verformungsinduzierende Schicht eine unterschiedliche Art an Verformung in Kanalgebieten des ersten und des zweiten Transistors (150n) hervorrufen.The method of claim 1, further comprising: forming a first strain-inducing layer over the first transistor ( 150p ) and forming a second strain-inducing layer over the second transistor ( 150n ), wherein the first and second strain-inducing layers exhibit a different type of strain in channel regions of the first and second transistors ( 150n ) cause. Verfahren nach Anspruch 1, wobei das erste verformte Halbleitermaterial (153n) eine Silizium/Germanium-Legierung und das zweite verformte Halbleitermaterial (153p) eine Silizium/Kohlenstofflegierung aufweist.The method of claim 1, wherein the first deformed semiconductor material ( 153n ) a silicon / germanium alloy and the second deformed semiconductor material ( 153P ) has a silicon / carbon alloy.
DE200810064702 2008-07-31 2008-07-31 Forming strained semiconductor material involves forming stack of layers, forming mask, forming first spacer element, forming first and second cavities, and first and second strained semiconductor material Expired - Fee Related DE102008064702B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE200810064702 DE102008064702B4 (en) 2008-07-31 2008-07-31 Forming strained semiconductor material involves forming stack of layers, forming mask, forming first spacer element, forming first and second cavities, and first and second strained semiconductor material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE200810064702 DE102008064702B4 (en) 2008-07-31 2008-07-31 Forming strained semiconductor material involves forming stack of layers, forming mask, forming first spacer element, forming first and second cavities, and first and second strained semiconductor material

Publications (1)

Publication Number Publication Date
DE102008064702B4 true DE102008064702B4 (en) 2013-01-17

Family

ID=47425874

Family Applications (1)

Application Number Title Priority Date Filing Date
DE200810064702 Expired - Fee Related DE102008064702B4 (en) 2008-07-31 2008-07-31 Forming strained semiconductor material involves forming stack of layers, forming mask, forming first spacer element, forming first and second cavities, and first and second strained semiconductor material

Country Status (1)

Country Link
DE (1) DE102008064702B4 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
DE102006015087A1 (en) * 2006-03-31 2007-10-11 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating buried drain / source regions based on a process of combined spacer etch and recess etching
US20070252204A1 (en) * 2006-04-28 2007-11-01 Andy Wei Soi transistor having an embedded strain layer and a reduced floating body effect and a method for forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
DE102006015087A1 (en) * 2006-03-31 2007-10-11 Advanced Micro Devices, Inc., Sunnyvale A method of fabricating buried drain / source regions based on a process of combined spacer etch and recess etching
US20070252204A1 (en) * 2006-04-28 2007-11-01 Andy Wei Soi transistor having an embedded strain layer and a reduced floating body effect and a method for forming the same

Similar Documents

Publication Publication Date Title
DE102008063427B4 (en) A method of selectively fabricating a transistor having an embedded strain inducing material having a gradually shaped configuration
DE102008035816B4 (en) Increase performance in PMOS and NMOS transistors by using an embedded deformed semiconductor material
DE102005051994B4 (en) Deformation technique in silicon-based transistors using embedded semiconductor layers with atoms of large covalent radius
DE102008049733B3 (en) Transistor with embedded Si / Ge material closer to the channel region and method of making the transistor
DE102006009272B4 (en) Method of fabricating a strained transistor by late amorphization and spacers to be removed
DE102009010882B4 (en) A transistor having an embedded semiconductor alloy in drain and source regions extending below the gate electrode and methods of fabricating the transistor
DE102005052054B4 (en) Semiconductor device with shaped channel region transistors and method of making the same
DE102009015748B4 (en) Reducing the silicide resistance in SiGe-containing drain / source regions of transistors
DE102008049725B4 (en) CMOS device with NMOS transistors and PMOS transistors with stronger strain-inducing sources and metal silicide regions in close proximity and method of manufacturing the device
DE102009010847B4 (en) Integrate semiconductor alloys into PMOS and NMOS transistors using a common recess etch process
DE102009055435B4 (en) Increased inclusion of high-k metal gate electrode structures by reducing material erosion of a dielectric cap layer in forming a strain-inducing semiconductor alloy
DE102009047304B4 (en) Performance enhancement in PFET transistors with a high-k metal gate stack by improving dopant confinement
DE102010029532B4 (en) A transistor with embedded strain-inducing material fabricated in diamond-shaped recesses based on pre-amorphization
DE102008063399B4 (en) An asymmetric transistor with an embedded semiconductor alloy with an asymmetric arrangement and method of manufacturing the transistor
DE102011003439B4 (en) Method for forward current increase in field effect transistors by asymmetric concentration profiles of alloying materials of a channel semiconductor alloy and semiconductor device
DE102011004320B4 (en) Method for producing complementary transistors with metal gate electrode structures with large ε and epitaxially produced semiconductor materials in the drain and source regions
DE102009055393B4 (en) Method for manufacturing and semiconductor device with better confinement of sensitive materials of a metal gate electrode structure with high ε
DE102007009915B4 (en) Semiconductor component with deformed semiconductor alloy with a concentration profile and method for its production
DE102008049723B4 (en) Transistor with embedded Si / Ge material with better substrate-spanning uniformity
DE102010064284B4 (en) A method of making a transistor having an embedded sigma-shaped semiconductor alloy with increased uniformity
DE102011080440B4 (en) A method of fabricating large gate metal gate electrode structures by early capping
DE102009055438B4 (en) Greater integrity of a gate electrode structure by employing a sacrificial spacer for overcoat removal
DE102010064291A1 (en) Transistors having large ε metal gate electrode structures comprising a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
DE102011080438B3 (en) A manufacturing method of an N-channel transistor having a large-gate metal gate electrode structure and a reduced series resistance by epitaxially-fabricated semiconductor material in the drain and source regions and N-channel transistor
DE102009046241B4 (en) Deformation gain in transistors having an embedded strain-inducing semiconductor alloy by edge rounding at the top of the gate electrode

Legal Events

Date Code Title Description
Q172 Divided out of (supplement):

Ref document number: 102008035816

Country of ref document: DE

Kind code of ref document: P

8110 Request for examination paragraph 44
8127 New person/name/address of the applicant

Owner name: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG,, DE

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: AMD FAB 36 LIMITED LIABILITY CO, GLOBALFOUNDRIES INC., , KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES, INC., AMD FAB 36 LIMITED LIABILITY CO, , US

Effective date: 20110406

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: ADVANCED MICRO DEVICES, INC., AMD FAB 36 LIMITED LIABILITY CO, , US

Effective date: 20110406

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: ADVANCED MICRO DEVICES, INC., SUNNYVALE, CALIF., US; AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE

Effective date: 20110406

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: ADVANCED MICRO DEVICES, INC., SUNNYVALE, CALIF., US; AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE

Effective date: 20110406

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20110426

R082 Change of representative

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNERS: GLOBALFOUNDRIES DRESDEN MODULE ONE LTD. LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE; GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES DRESDEN MODULE ONE LIMITED LIA, DE

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES DRESDEN MODULE , GLOBALFOUNDRIES INC., , KY

Effective date: 20120125

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

Effective date: 20120125

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUS, DE

Effective date: 20120125

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20130418

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee