DE102008011926B4 - A method of making a high-k layer of lesser thickness for patterning a dielectric material in the fabrication of transistors - Google Patents

A method of making a high-k layer of lesser thickness for patterning a dielectric material in the fabrication of transistors Download PDF

Info

Publication number
DE102008011926B4
DE102008011926B4 DE102008011926A DE102008011926A DE102008011926B4 DE 102008011926 B4 DE102008011926 B4 DE 102008011926B4 DE 102008011926 A DE102008011926 A DE 102008011926A DE 102008011926 A DE102008011926 A DE 102008011926A DE 102008011926 B4 DE102008011926 B4 DE 102008011926B4
Authority
DE
Germany
Prior art keywords
layer
dielectric
transistor
strain
transistors
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102008011926A
Other languages
German (de)
Other versions
DE102008011926A1 (en
Inventor
Robert Mulfinger
Andy Wei
Roman Boschke
Casey Scott
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
AMD Fab 36 LLC and Co KG
Advanced Micro Devices Inc
AMD Fab 36 LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AMD Fab 36 LLC and Co KG, Advanced Micro Devices Inc, AMD Fab 36 LLC filed Critical AMD Fab 36 LLC and Co KG
Priority to DE102008011926A priority Critical patent/DE102008011926B4/en
Publication of DE102008011926A1 publication Critical patent/DE102008011926A1/en
Application granted granted Critical
Publication of DE102008011926B4 publication Critical patent/DE102008011926B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren mit:
Bilden einer dielektrischen Schicht mit einem ε größer 10 (331) über einem ersten Transistor (350a) und einem zweiten Transistor (350b) eines Halbleiterbauelements (300);
Bilden einer ersten verformungsinduzierenden Schicht (330) auf der dielektrischen Schicht mit einem ε größer 10 (331), wobei die erste verformungsinduzierende Schicht (330) eine Verformung in einem Kanalgebiet (353) des ersten und des zweiten Transistors (250, 350a, 350b) erzeugt;
Entfernen eines Teils der ersten verformungsinduzierenden Schicht (330) von oberhalb des zweiten Transistors (350b) unter Anwendung der dielektrischen Schicht mit einem ε größer 10 (331) als ein Ätzstoppmaterial,
Bilden einer Öffnung (322) in der ersten verformungsinduzierenden Schicht (330) und
Entfernen eines Teils der dielektrischen Schicht mit einem ε größer 10, der durch die Öffnung (322) freigelegt ist, indem ein Sputter-Ätzprozess (306) ausgeführt wird.
Method with:
Forming a dielectric layer having an ε greater than 10 (331) over a first transistor (350a) and a second transistor (350b) of a semiconductor device (300);
Forming a first strain-inducing layer (330) on the dielectric layer having an ε greater than 10 (331), the first strain-inducing layer (330) deforming in a channel region (353) of the first and second transistors (250, 350a, 350b) generated;
Removing a portion of the first strain-inducing layer (330) from above the second transistor (350b) using the dielectric layer having an ε greater than 10 (331) as an etch-stop material,
Forming an opening (322) in the first strain-inducing layer (330) and
Removing a portion of the dielectric layer having an ε greater than 10 exposed through the opening (322) by performing a sputter etch process (306).

Figure 00000001
Figure 00000001

Description

Gebiet der vorliegenden ErfindungField of the present invention

Im Allgemeinen betrifft die vorliegende Erfindung das Gebiet der integrierten Schaltungen und betrifft insbesondere Feldeffekttransistoren und Fertigungsverfahren auf der Grundlage von dielektrischen Schichten, die bei der Herstellung moderner Transistorstrukturen, etwa Transistoren, die hohe Verformungspegel in dem Kanalgebiet benötigen, eingesetzt werden.in the In general, the present invention relates to the field of integrated Circuits and in particular relates to field effect transistors and Manufacturing method based on dielectric layers, in the fabrication of modern transistor structures, such as transistors, which require high strain levels in the channel region.

Beschreibung des Stands der TechnikDescription of the state of the technology

Integrierte Schaltungen sind typischerweise aus einer großen Anzahl an Schaltungselementen aufgebaut, die auf einer vorgegebenen Chipfläche gemäß einem spezifizierten Schaltungsaufbau angeordnet sind, wobei in komplexen Schaltungen der Feldeffekttransistor ein wesentliches Schaltungselement repräsentiert. Im Allgemeinen werden eine Vielzahl von Prozesstechnologien für moderne Halbleiterbauelemente aktuell eingesetzt, wobei für komplexe Schaltungen auf der Grundlage von Feldeffekttransistoren, etwa in Mikroprozessoren, Speicherchips und dergleichen, die CMOS-Technologie aktuell eine der vielversprechendsten Lösungen auf Grund der guten Eigenschaften im Hinblick auf die Arbeitsgeschwindigkeit und/oder Leistungsaufnahme und/oder Kosteneffizienz ist. Während der Herstellung komplexer integrierter Schaltungen unter Anwendung der CMOS-Technologie werden Millionen komplementärer Transistoren, d. h. n-Kanaltransistoren und p-Kanaltransistoren auf einem Substrat hergestellt, das eine kristalline Halbleiterschicht aufweist. Ein Feldeffekttransistor enthält, unabhängig davon, ob ein n-Kanaltransistor oder ein p-Kanaltransistor betrachtet wird, sogenannte pn-Übergänge, die durch eine Grenzfläche stark dotierter Drain- und Sourcegebiete mit einem invers oder schwach dotierten Kanalgebiet gebildet sind, das zwischen dem Draingebiet und dem Sourcegebiet angeordnet ist. Die Leitfähigkeit des Kanalgebiets, d. h. der Durchlassstrom des leitenden Kanals, wird durch eine Gateelektrode gesteuert, die über dem Kanalgebiet ausgebildet und davon durch eine dünne isolierende Schicht getrennt ist. Die Leitfähigkeit des Kanalgebiets beim Aufbau eines leitenden Kanals auf Grund des Anlegens einer geeigneten Steuerspannung an die Gateelektrode hängt von der Dotierstoffkonzentration, der Beweglichkeit der Majori tätsladungsträger – und für eine gegeben Abmessung des Kanalgebiets in der Transistorbreitenrichtung – von dem Abstand zwischen dem Sourcegebiet und dem Draingebiet ab, der auch als Kanallänge bezeichnet wird. Somit bestimmt in Verbindung mit der Fähigkeit, rasch einen leitenden Kanal unter der isolierenden Schicht beim Anliegen der Steuerspannung an der Gateelektrode aufzubauen, die Leitfähigkeit des Kanalgebiets im Wesentlichen das Leistungsverhalten der MOS-Transistoren. Damit wird die Verringerung der Kanallänge – und damit verknüpft die Verringerung des Kanalwiderstands – zu einem wesentlichen Entwurfskriterium, um eine Zunahme der Arbeitsgeschwindigkeit integrierter Schaltungen zu erreichen.integrated Circuits are typically constructed from a large number of circuit elements, on a given chip area according to a specified circuit configuration are arranged, wherein in complex circuits of the field effect transistor represents an essential circuit element. In general will be a variety of process technologies for modern semiconductor devices currently used, where for complex circuits based on field effect transistors, in microprocessors, memory chips and the like, the CMOS technology currently one of the most promising solutions due to the good Properties with regard to the working speed and / or Power consumption and / or cost efficiency is. During the Production of complex integrated circuits using the CMOS technology becomes millions of complementary transistors, i. H. n-channel transistors and p-channel transistors made on a substrate containing a crystalline semiconductor layer having. A field effect transistor includes, regardless of whether an n-channel transistor or a p-channel transistor is considered, so-called pn junctions by an interface heavily doped drain and source regions with one inverse or weak doped channel area formed between the drain area and the source region. The conductivity of the channel region, i. H. the forward current of the conductive channel is through a gate electrode controlled, over the channel region and formed by a thin insulating layer is disconnected. The conductivity of the channel region in the construction of a conductive channel due to the Applying a suitable control voltage to the gate electrode depends on the dopant concentration, the mobility of the majority carriers - and for a given Dimension of the channel region in the transistor width direction - of the Distance between the source area and the drain area, which also as channel length referred to as. Thus, in conjunction with the ability rapidly a conductive channel under the insulating layer at Establish concerns of the control voltage at the gate electrode, the conductivity of the channel region substantially the performance of the MOS transistors. This is the reduction of the channel length - and linked to the Reduction of channel resistance - an essential design criterion, an increase in the working speed of integrated circuits to reach.

Die Reduzierung der Transistorabmessungen zieht jedoch eine Reihe von damit verknüpften Problemen nach sich, die es zu lösen gilt, um die Vorteile nicht unerwünscht aufzuheben, die durch das stetige Verringern der Kanallänge von MOS-Transistoren erreicht werden. Ein Problem in dieser Hinsicht besteht darin, dass die Strukturelemente mit geringer Größe auf der Grundlage moderner Lithographietechniken in Verbindung mit komplexen Ätzprozessen zu strukturieren sind. D. h., typischerweise müssen Materialschichten, etwa dielektrische Materialien, halbleitende Materialien; Metalle und dergleichen abgeschieden und nachfolgend in Bauteilstrukturelemente unter Anwendung geeigneter Ätzmasken strukturiert werden. Beispielsweise wird Photolackmaterial häufig als eine Ätzmaske eingesetzt, wobei der Lack wiederum durch Ausnutzung der photochemischen Eigenschaften des Lackmaterials strukturiert wird, um ein latentes Bild in dem Lack zu erzeugen, das dann „geätzt” oder entwickelt wird, um unerwünschte Bereiche des Lackmaterials zu entfernen. Die resultierende Maske wird dann als eine Schablone für das Ätzen des darunter liegenden Materials verwendet, um damit das Maskenstrukturelement in die darunter liegende Materialschicht mit einem hohen Maß an Grenauigkeit zu übertragen, die für den Ätzprozess angewendeten Ätzumgebung abhängt. Um eine Reproduzierung des Maskenstrukturelements mit einem einstellbaren Seitenwandwinkel des geätzten Strukturelements zu ermöglichen, wurden plasmaunterstützte „Trockenätzverfahren” entwickelt, in denen eine Plasmaumgebung auf der Grundlage einer reaktiven Gaskomponente eingerichtet wird. Die Teilchen reagieren mit der zu ätzenden Oberfläche, wobei typischerweise die Umgebung eine unterschiedliche Abtragsrate für unterschiedliche Materialien ergibt, die mit der reaktiven Plasmaumgebung in Kontakt sind. Des weiteren werden Ionen in Richtung auf die zu ätzende Oberfläche beschleunigt, wodurch ebenfalls eine „physikalische” Komponente im Hinblick auf die Abtragungsrate erreicht wird, die zu einer erhöhten Richtungsabhängigkeit des Abtragungsprozesses beiträgt. Des weiteren Werden geeignete Polymersubstanzen hinzugefügt, die auch eine Einstellung der Richtungsabhängigkeit der Ätzfront ermöglichen, wodurch ein sehr „anisotropes” Ätzverhalten ermöglicht wird. Der Mechanismus des Plasmaätzens hängt von der Fähigkeit der reaktiven Komponente ab, ein flüchtiges Ätznebenprodukt zu bilden, das in die Umgebung freigesetzt wird, um damit zunehmend Material von der freiliegenden Oberfläche zu entfernen. Häufig ist es wichtig, tieferliegende Materialien vor der Einwirkung der Plasmaumgebung zu schützen oder es muss eine definierte Tiefe zum Beenden des Ätzprozesses über das gesamte Substrat hinweg eingehalten werden, was typischerweise durch Vorsehen einer Ätzstoppschicht erreicht wird, die als ein Material zu verstehen ist, das eine deutlich geringere Abtragsrate im Vergleich zu dem Material besitzt, das tatsächlich in der Plasmaumgebung geätzt werden soll.However, the reduction in transistor dimensions entails a number of associated problems that need to be addressed so as not to undesirably cancel out the benefits achieved by steadily reducing the channel length of MOS transistors. A problem in this regard is that the small-sized features are to be patterned based on modern lithography techniques in conjunction with complex etching processes. That is, typically, material layers, such as dielectric materials, semiconductive materials; Metals and the like, and subsequently patterned into device features using appropriate etch masks. For example, photoresist material is often used as an etch mask, which in turn is patterned by utilizing the photochemical properties of the paint material to create a latent image in the paint which is then "etched" or developed to remove unwanted areas of the paint material. The resulting mask is then used as a template for the etching of the underlying material so as to transfer the mask feature into the underlying material layer with a high degree of precision, which depends on the etching environment used for the etching process. In order to enable reproduction of the mask feature with an adjustable sidewall angle of the etched feature, plasma enhanced "dry etch" techniques have been developed in which a plasma environment based on a reactive gas component is established. The particles react with the surface to be etched, typically with the environment giving a different rate of removal for different materials in contact with the reactive plasma environment. Furthermore, ions are accelerated in the direction of the surface to be etched, which likewise achieves a "physical" component with regard to the removal rate, which contributes to an increased directional dependence of the ablation process. Furthermore, suitable polymer substances are added which also allow an adjustment of the directional dependence of the etching front, thereby enabling a very "anisotropic" etching behavior. The mechanism of plasma etching depends on the ability of the reactive component to form a volatile etch byproduct that is released into the environment to increasingly remove material from the exposed surface. Often, it is important to lower underlying materials before Einwir The plasma environment must be protected or a defined depth must be maintained to complete the etching process over the entire substrate, which is typically achieved by providing an etch stop layer, which is to be understood as a material having a significantly lower removal rate compared to the material owns that is actually to be etched in the plasma environment.

Durch die stets kleiner werdenden Strukturgrößen erfordert das Abscheiden von Materialschichten über ausgeprägten Oberflächentopographien ggf. auch eine geringere Schichtdicke der eigentlichen Materialschichten und insbesondere der Ätzstoppschichten.By the ever smaller feature sizes require the deposition of material layers over pronounced Surface topographies, if applicable also a smaller layer thickness of the actual material layers and in particular the etch stop layers.

Ein weiteres Problem, das mit den kleineren Gatelängen verknüpft ist, ist das Auftreten sogenannter Kurzkanaleffekte, die zu einer geringeren Steuerbarkeit der Kanalleitfähigkeit führen können. Kurzkanaleffekte können durch gewisse Entwurfstechniken kompensiert werden, wovon jedoch einige mit einer Verringerung der Kanalleitfähigkeit einhergehen, wodurch teilweise die Vorteile aufgehoben werden, die durch die Verringerung der kritischen Abmessungen erreicht werden.One Another problem associated with the smaller gate lengths is the occurrence so-called short channel effects leading to a lower controllability the channel conductivity to lead can. Short channel effects can be compensated by certain design techniques, of which, however some are associated with a reduction in channel conductivity, which Sometimes the benefits are eliminated by reducing the critical dimensions are achieved.

Angesichts dieser Situation wurde vorgeschlagen, dass das Bauteilleistungsverhalten der Transistorelemente nicht nur durch Verringern der Transistorabmessungen zu verbessern, sondern auch durch Erhöhen der Ladungsträgerbeweglichkeit in dem Kanalgebiet bei einer vorgegebenen Kanallänge, wodurch auch der Durchlassstrom und somit das Transistorleistungsvermögen ansteigen. Beispielsweise kann die Gitterstruktur in dem Kanalgebiet modifiziert werden, indem beispielsweise eine Zugverformung bzw. eine kompressive Verformung darin erzeugt werden, was zu einer modifizierten Beweglichkeit für Elektronen bzw. Löcher führt. Beispielweise erhöhte das Erzeugen einer Zugverformung in dem Kanalgebiet eine Siliziumschicht, die eine standardmäßige Kristallkonfiguration besitzt, die Beweglichkeit von Elektronen, was sich wiederum direkt in einer entsprechenden Zunahme der Leitfähigkeit der n-Transistoren auswirkt. Andererseits kann eine kompressive Verformung in dem Kanalgebiet die Beweglichkeit von Löchern verbessern, wodurch die Möglichkeit geschaffen wird, das Leistungsverhalten von p-Transistoren zu verbessern.in view of This situation has been suggested that the device performance the transistor elements not only by reducing the transistor dimensions but also by increasing the charge carrier mobility in the channel region at a given channel length, thereby also the forward current and thus the transistor performance increases. For example For example, the lattice structure in the channel region can be modified by For example, a tensile deformation or a compressive deformation therein be generated, resulting in a modified mobility for electrons or holes leads. For example, increased generating a tensile strain in the channel region a silicon layer, the one standard crystal configuration possesses the mobility of electrons, which in turn directly in a corresponding increase in the conductivity of the n-type transistors effect. On the other hand, a compressive deformation in the channel region can Agility of holes improve, eliminating the possibility is created to improve the performance of p-type transistors.

Eine effiziente Vorgehensweise in dieser Hinsicht ist eine Technik, die das Erzeugen gewünschter Verspannungsbedingungen innerhalb des Kanalgebiets unterschiedlicher Transistorelemente ermöglicht, indem die Verspannungseigenschaften eines dielektrischen Schichtstapels eingestellt werden, der über der grundlegenden Transistorstruktur ausgebildet ist. Der dielektrische Schichtstapel enthält typischerweise eine oder mehrere dielektrische Schichten, die nahe an dem Transistor angeordnet sind und die auch zum Steuern eines entsprechenden Ätzprozesses verwendet werden können, um Kontaktöffnungen zu den Gate- und den Drain- und Source-Anschlüssen zu schaffen. Somit kann eine wirksame Steuerung der mechanischen Verspannung in den Kanalgebieten, d. h. eine effektive Verspannungstechnologie, verwirklicht werden, indem die interne Verspannung dieser Schichten individuell eingestellt wird, die auch als Kontaktätzstoppschicht bezeichnet werden, und indem eine Kontaktätzstoppschicht mit einer inneren kompressiven Verspannung über einem p-Kanaltransistor angeordnet wird, während eine Kontaktätzstoppschicht mit einer inneren Zugverformung über einem n-Kanaltransistor angeordnet wird, wodurch in den jeweiligen Kanalgebieten eine kompressive Verformung bzw. eine Zugverformung erzeugt wird.A Efficient approach in this regard is a technique that producing desired Stress conditions within the channel region different Allows transistor elements, by the stress properties of a dielectric layer stack be set over the basic transistor structure is formed. The dielectric Layer stack contains typically one or more dielectric layers that are close are arranged on the transistor and also for controlling a corresponding etching process can be used around contact openings to create the gate and the drain and source terminals. Thus, can an effective control of the mechanical stress in the channel areas, d. H. an effective bracing technology, be realized by adjusting the internal tension of these layers individually which is also referred to as a contact etch stop layer and by using a contact etch stop layer with an internal compressive strain across a p-channel transistor is arranged while a contact etch stop layer with an inner tensile deformation over an n-channel transistor is arranged, whereby in the respective Channel areas a compressive deformation or a tensile deformation is produced.

Typischerweise wird die Kontaktätzstoppschicht durch plasmaunterstützte chemische Dampfabscheideprozesse (PECVD) über dem Transistor gebildet, d. h. über der Gatestruktur und den Drain- und Sourcegebieten, wobei etwa Siliziumnitrid auf Grund seiner hohen Ätzselektivität im Hinblick auf Siliziumdioxid eingesetzt wird, das ein gut etabliertes dielektrisches Zwischenschichtmaterial ist. Ferner kann PECVD-Siliziumnitrid mit einer hohen inneren Verspannung von beispielsweise bis zu 2 Gigapascal (GPa) oder deutlich höher an kompressiver Verspannung und bis zu einem GPa und deutlicher höher an Zugverspannung abgeschieden werden, wobei die Art und die Größe der inneren Verspannung effizient durch Auswählen geeigneter Abscheideparameter eingestellt werden können. Beispielsweise sind der Ionenbeschuss, der Abscheidedruck, die Substrattemperatur, die Gasdurchflussraten und dergleichen entsprechende Parameter, die zum Erreichen der gewünschten inneren Verspannung verwendet werden können.typically, becomes the contact etch stop layer by plasma-assisted chemical vapor deposition processes (PECVD) formed over the transistor, d. H. above the gate structure and the drain and source regions, such as silicon nitride due to its high etch selectivity with regard to is used on silicon dioxide, which is a well-established dielectric Interlayer material is. Furthermore, PECVD silicon nitride may be used with a high internal strain of, for example, up to 2 gigapascals (GPa) or significantly higher at compressive tension and up to a GPa and clearer higher in tension are deposited, with the nature and size of the internal tension efficient by selecting suitable deposition parameters can be set. For example are the ion bombardment, the deposition pressure, the substrate temperature, the gas flow rates and the like corresponding parameters, to achieve the desired inner tension can be used.

Während der Herstellung dieser beiden Arten an verspannten Schichten zeigen konventionelle Techniken ggf. eine geringere Effizienz, wenn die Bauteilabmessungen zunehmend reduziert werden, indem die 45 nm-Technologie und noch anspruchsvollere Lösungen angewendet werden, auf Grund der begrenzten konformen Abscheidefähigkeiten der beteiligten Abscheideprozesse, was zu entsprechenden Prozessungleichmäßigkeiten während nachfolgender Prozessschritte führen kann, um die verspannte Schicht zu strukturieren und um Kontaktöffnungen zu bilden, wie dies nachfolgend detaillierter mit Bezug zu den 1a und 1b erläutert ist, in der ein firmeninterner Stand der Technik gezeigt ist.During the fabrication of these two types of strained layers, conventional techniques may show lower efficiency as component dimensions are increasingly reduced by using 45 nm technology and even more sophisticated solutions, due to the limited conformal deposition capabilities of the deposition processes involved may result in corresponding process non-uniformities during subsequent process steps to pattern the strained layer and to form contact openings, as described in more detail below with reference to FIGS 1a and 1b in which an in-house state of the art is shown.

1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 in einer gewissen Fertigungsphase zur Herstellung von verspannungsinduzierenden Schichten über mehreren ersten Transistoren 150a und zweiten Transistoren 150b. Die Transistoren 150a, 150b sind über einem Substrat 101 ausgebildet, über welchem eine Halbleiterschicht 102 vorgesehen ist, etwa eine siliziumbasierte Schicht, die von dem Substrat 101 durch eine vergrabene isolierende Schicht getrennt sein kann, wenn eine SOI-(Silizium-auf-Isolator-)Konfiguration betrachtet wird. In dem gezeigten Beispiel weisen die Transistorelemente 150a, 150b eine Gateelektrode 151 auf, die auf einer Gateisolationsschicht 152 ausgebildet ist, die die Gateelektrode 151 von einem Kanalgebiet 153 trennt. Das Kanalgebiet ist zwischen Drain- und Sourcegebieten 154 angeordnet, die durch eine geeignete Dotierstoffsorte und ein Dotierstoffprofil entsprechend den Gestaltungsanforderungen für die Transistoren 150a, 150b aufgebaut sind. Beispielsweise repräsentieren die Transistoren 150a Transistorelemente, die in einem Bauteilgebiet mit hoher Packungsdichte ausgebildet sind, etwa einem RAM-(Speicher mit wahlfreiem Zugriff)Bereichen in modernen Halbleiterbauelementen und können Transistoren der gleichen Leitfähigkeitsart, beispielsweise n-Kanaltransistoren, repräsentieren, während der Transistor 150b einen Transistor mit einer anderen Leitfähigkeitsart, etwa einem p-Kanaltransistor repräsentiert. Somit sind entsprechende Entwurfsregeln während der Herstellung des Halbleiterbauelements 100 anzuwenden, um damit die Transistoren 150a, 150b mit geeigneten Abmessungen zu erhalten, um damit das gewünschte Gesamtleistungsverhalten des Bauelements 100 zu erreichen, wie dies zuvor erläutert ist. Beispielsweise beträgt eine Gatelänge, d. h. in 1a die horizontale Abmessung der Gateelektroden 151, ungefähr 50 nm oder weniger in anspruchsvollen Anwendungen. Abhängig von der Gesamtbauteilkonfiguration können die Transistoren 150a ferner eine Abstandshalterstruktur 155 aufweisen, die an Seitenwänden der Gateelektroden 151 ausgebildet ist. Des weiteren können Metallsilizidgebiete in den Drain- und Sourcegebieten und möglicherweise in den Gateelektroden 151, abhängig von dem Gesamtaufbau der Transistoren 150a, 150b, gebildet sein. 1a schematically shows a cross-sectional view of a semiconductor device 100 in a certain manufacturing phase for the production of stress-inducing layers over several first transistors 150a and second transistors 150b , The transistors 150a . 150b are over a substrate 101 formed, over which a semiconductor layer 102 is provided, such as a silicon-based layer of the substrate 101 may be separated by a buried insulating layer when considering an SOI (silicon on insulator) configuration. In the example shown, the transistor elements 150a . 150b a gate electrode 151 on top of a gate insulation layer 152 is formed, which is the gate electrode 151 from a canal area 153 separates. The channel region is between drain and source regions 154 arranged by a suitable dopant type and a dopant profile according to the design requirements for the transistors 150a . 150b are constructed. For example, the transistors represent 150a Transistor elements formed in a high density package device area, such as RAM (random access memory) areas in modem semiconductor devices, may represent transistors of the same conductivity type, such as n-channel transistors, while the transistor 150b represents a transistor of a different conductivity type, such as a p-channel transistor. Thus, there are corresponding design rules during the fabrication of the semiconductor device 100 apply it to the transistors 150a . 150b to obtain suitable dimensions in order to achieve the desired overall performance of the device 100 as previously explained. For example, one gate length, ie, in 1a the horizontal dimension of the gate electrodes 151 , about 50 nm or less in demanding applications. Depending on the overall component configuration, the transistors may 150a Further, a spacer structure 155 have, on the side walls of the gate electrodes 151 is trained. Furthermore, metal silicide regions may be present in the drain and source regions and possibly in the gate electrodes 151 , depending on the overall structure of the transistors 150a . 150b be formed.

Wie zuvor erläutert ist, kann das Transistorverhalten für eine gegebene Entwurfslänge der Transistorabmessungen verbessert werden, indem eine spezielle Art an Verformung in den jeweiligen Kanalgebieten 153 erzeugt wird, was häufig dadurch erreicht wird, dass ein stark verspanntes dielektrisches Material über der Transistorbasisstruktur, wie sie in 1a gezeigt ist, vorgesehen wird. Zu diesem Zweck wird in der gezeigten Fertigungsphase eine dielektrische Schicht 130 über den Transistoren 150a, 150b gebildet, wobei ein hoher interner Verspannungspegel der Schicht 130 so gewählt wird, dass beispielsweise das Leistungsverhalten der Transistoren 150a verbessert wird. Wenn beispielsweise die Transistoren 150a n-Kanaltransistoren repräsentieren, wird ein hoher innerer Verspannungspegel in der Schicht 130 erzeugt, der dann auch in die Kanalgebiete 153 übertragen wird, wodurch eine gewünschte Zugverformung in den Transistoren 150a und in dem Transistor 150b erzeugt wird, in welchem jedoch eine entsprechende Zugverformung nicht gewünscht ist. Folglich wird ein Teil der Schicht 130, der über dem Transistor 150b angeordnet ist, auf der Grundlage moderner plasmagestützter Ätztechniken entfernt. Wie zuvor erläutert ist, wird für eine effiziente Steuerung des jeweiligen plasmagestützten Ätzprozessen und im Hinblick auf das Schützen empfindlicher Bauteilbereiche in den Transistor 150b eine Ätzstoppschicht 131 für gewöhnlich vorgesehen, die eine geringere Ätzrate im Hinblick auf ein spezielles plasmagestüztes Ätzrezept im Vergleich zu dem Material der Schicht 130 aufweist. Im Hinblick auf das Unterdrücken von unerwünschter Schädigung des Transistors 150b wird eine Dicke der Ätzstoppschicht 131 so gewählt, dass ein zuverlässiges Stoppen der Ätzfront innerhalb der Schicht 131 verwirklicht werden kann, wobei auch noch ausreichend Prozesstoleranzbereiche im Hinblick auf Ätzungleichmäßigkeiten über das Substrat 101 hinweg während eines plasmagestützten Ätzprozesses vorhanden sind.As previously discussed, transistor performance for a given design length of the transistor dimensions can be improved by providing a special type of strain in the respective channel regions 153 which is often achieved by providing a highly strained dielectric material over the transistor base structure as shown in FIG 1a is shown is provided. For this purpose, in the manufacturing stage shown, a dielectric layer 130 over the transistors 150a . 150b formed, with a high internal stress level of the layer 130 is chosen so that, for example, the performance of the transistors 150a is improved. If, for example, the transistors 150a represent n-channel transistors, a high internal stress level in the layer 130 generated, which then also in the channel areas 153 is transmitted, whereby a desired tensile deformation in the transistors 150a and in the transistor 150b is generated in which, however, a corresponding tensile deformation is not desired. Consequently, a part of the layer becomes 130 that is above the transistor 150b is located on the basis of modern plasma-based etching techniques removed. As previously discussed, efficient control of the respective plasma assisted etch processes and protection of sensitive device areas in the transistor 150b an etch stop layer 131 usually provided, the lower etch rate with respect to a particular plasma-based etch recipe compared to the material of the layer 130 having. With a view to suppressing unwanted damage to the transistor 150b becomes a thickness of the etching stopper layer 131 chosen so that a reliable stopping of the etching front within the layer 131 can be realized, which also has sufficient process tolerance ranges with respect to etching irregularities across the substrate 101 during a plasma assisted etch process.

Während einer Prozesssequenz zur Herstellung des Halbleiterbauelements 100, in der gut etablierte Prozesstechniken zur Herstellung der Transistoren 150a, 150b gemäß standardmäßiger CMOS-Verfahren beteiligt sind, wird die Ätzstoppschicht 131 beispielsweise auf der Grundlage plasmaunterstützter CVD (chemische Dampfabscheidung) aufgebracht, wobei eine Dicke so gewählt ist, dass die gewünschte Ätzstoppeigenschaft erreicht wird. Zum Beispiel ist Siliziumdioxid ein gut etabliertes Stoppmaterial in Bezug auf ein Siliziumnitridmaterial während gut etablierter plasmaunterstützter Ätzrezepte, wobei eine Dicke auf 20 nm oder mehr abhängig von dem gewünschten Ausmaß an Prozessschwankungen, die zu berücksichtigen sind, eingestellt wird. Als nächstes wird die verformungsinduzierende Schicht 130 abgeschieden, beispielsweise als ein Siliziumnitridmaterial mit dem gewünschten hohen inneren Verspannungspegel. Es zeigt sich jedoch dass für anspruchsvolle Bauteilgeometrien, die durch die Höhe der Gateelektroden 151 und den Abstand zwischen dichtliegenden Gateelektrodenstrukturen geschaffen werden, anspruchsvolle Randbedingungen für die jeweiligen Abscheiderezepte geschaffen werden. D. h., Prozessparameter für den plasmaunterstützten CVD-Prozess zur Herstellung der Schicht 130 müssen so angepasst werden, dass der gewünschte hohe innere Verspannungspegel erreicht wird und auch die Spaltfülleigenschaften bereitgestellt werden, so dass ein Raumbereich 157 zwischen benachbarten Transistorelementen, d. h. zwischen den entsprechenden Gateelektrodenstrukturen zuverlässig mit dem Material der Schicht 130 gefüllt wird. Ein zuverlässiges Füllen des Raumbereichs 157 ist nicht nur im Hinblick auf den gesamten verformungsinduzierenden Mechanismus wichtig, da eine größere Verformung in dem Kanalgebiet 153 hervorgerufen wird, wenn eine höhere Menge an verspannten Material ausreichend nahe an dem Kanalgebiet 153 angeordnet ist, sondern auch im Hinblick auf die weiteren Bearbeitungsschritte, in denen ein weiteres dielektrisches Material in stark verspanntem Zustand abgeschieden wird, woran sich das Abscheiden eines dielektrischen Zwischenschichtmaterials anschließt, das wiederum strukturiert wird, um Kontaktelemente für die Transistorelemente 150a, 150b zu schaffen. Während des Strukturierungsprozesses werden durch die Abscheidung hervorgerufene Unregelmäßigkeiten, etwa Hohlräume, bevorzugt in kritischen Bereichen erzeugt, etwa einem Bereich 132, und können den wesentlichen Beitrag zu ausgeprägten Ausbeuteverlusten bei der Herstellung von Kontaktelementen in modernen Halbleiterbauelementen repräsentieren. Somit erfordert bei der Abscheidung der Schicht 130 das Aspektverhältnis des Raumbereichs 157 eine geeignete Anpassung der Schichtdicke, wodurch die Menge des stark verspannten Materials verringert wird, die in der unmittelbaren Nähe der Transistoren 150a angeordnet werden kann. Des weiteren kann das Aspektverhältnis des Raumbereichs 157 noch weiter erhöht werden, indem die Ätzstoppschicht 131 in einer moderat großen Dicke vorgesehen wird, um damit die Integrität des Transistors 150b bei der nachfolgenden Strukturierung der Schicht 130 sicherzustellen.During a process sequence for manufacturing the semiconductor device 100 , in the well-established process techniques for making the transistors 150a . 150b According to standard CMOS processes involved, the etch stop layer 131 For example, based on plasma-enhanced CVD (chemical vapor deposition), wherein a thickness is selected so that the desired Ätzstoppeigenschaft is achieved. For example, silica is a well-established stopping material with respect to a silicon nitride material during well-established plasma enhanced etching recipes, with a thickness set to 20 nm or more depending on the desired amount of process variations to be considered. Next, the strain-inducing layer 130 deposited, for example, as a silicon nitride material having the desired high internal stress level. However, it turns out that for demanding component geometries caused by the height of the gate electrodes 151 and the distance between dense gate electrode structures are created, demanding boundary conditions for the respective Abscheiderezepte be created. That is, process parameters for the plasma assisted CVD process to make the film 130 must be adjusted so that the desired high internal stress level is achieved and also the gap filling properties are provided, so that a spatial area 157 between adjacent transistor elements, ie between the corresponding gate electrode structures reliably with the material of the layer 130 is filled. A reliable filling of the room area 157 is not just in terms of the whole deformation-inducing mechanism important because a larger deformation in the channel region 153 is caused when a higher amount of strained material is sufficiently close to the channel region 153 but also with regard to the further processing steps in which a further dielectric material is deposited in a highly strained state, followed by the deposition of an interlayer dielectric material which in turn is patterned to be contact elements for the transistor elements 150a . 150b to accomplish. During the patterning process, irregularities, such as voids, caused by the deposition are generated, preferably in critical areas, such as an area 132 , and may represent the major contribution to significant yield losses in the manufacture of contact elements in modern semiconductor devices. Thus, in the deposition of the layer requires 130 the aspect ratio of the space area 157 a suitable adjustment of the layer thickness, whereby the amount of highly strained material is reduced, in the immediate vicinity of the transistors 150a can be arranged. Furthermore, the aspect ratio of the space area 157 be further increased by the etch stop layer 131 is provided in a moderately large thickness, thereby increasing the integrity of the transistor 150b in the subsequent structuring of the layer 130 sure.

1b zeigt schematisch das Halbleiterbauelement 100 in einer weiter fortgeschrittenen Fertigungsphase, in der eine Ätzmaske 103 über den Transistoren 150a gebildet ist, während der Transistor 150b der Einwirkung der plasmagestützten Ätzumgebung 103 ausgesetzt ist. Somit kann während einer abschließenden Phase des Ätzprozesses 104 die Ätz stoppschicht 131 freigelegt und ebenso ein gewissem Maß an Materialabtrag unterliegen, jedoch bei einer deutlich geringeren Abtragungsrate, wobei eine gewisse Nachätzzeit angewendet wird, um in zuverlässiger Weise unerwünschte Bereiche der Schicht 130 über das gesamte Substrat 101 hinweg zu entfernen. Folglich wird durch das Vorsehen einer ausreichenden Dicke für die Ätzstoppschicht 131 ein hohes Maß an Integrität des Transistors 150 erreicht während des Ätzprozesses 104 erreicht, wodurch jedoch auch Verformungsübertragungsmechanismus in die Transistoren 150a beeinträchtigt wird, da die Dicke auf die resultierende Oberflächentopographie nach dem Abscheiden der Schicht 131 angepasst werden muss. Beim weiteren Verringern der Bauteilgrößen kann sich das Verhältnis zwischen dem Stoppmaterial und dem stark verspannten dielektrischen Material in der Nähe der Transistoren noch weiter erhöhten, wodurch die Gesamteffizienz des verformungsinduzierenden Mechanismus weiter beeinträchtigt wird. Während der Herstellung des dielektrischen Zwischenschichtmaterials über der fertiggestellten Transistorbasiskonfiguration müssen folglich eine Reihe von Strukturierungsprozessen auf der Grundlage plasmagestützter Ätztechniken ausgeführt werden, wobei das Vorsehen eines Ätzstoppmaterials zunehmend das Gesamtleistungsverhalten der Transistorelemente verringert, insbesondere wenn verformungsinduzierende Mechanismen betrachtet werden. 1b schematically shows the semiconductor device 100 in a more advanced manufacturing stage, in which an etching mask 103 over the transistors 150a is formed while the transistor 150b the effect of the plasma-assisted etching environment 103 is exposed. Thus, during a final phase of the etching process 104 the etch stop layer 131 exposed and also subject to some degree of material removal, but at a significantly lower erosion rate, with some post etch time being applied to reliably remove unwanted areas of the layer 130 over the entire substrate 101 away. Consequently, by providing a sufficient thickness for the etch stop layer 131 a high degree of integrity of the transistor 150 achieved during the etching process 104 achieved, but which also deformation transfer mechanism in the transistors 150a is compromised because the thickness on the resulting surface topography after deposition of the layer 131 must be adjusted. As the component sizes continue to decrease, the ratio between the stop material and the highly strained dielectric material in the vicinity of the transistors may increase even further, further affecting the overall efficiency of the strain inducing mechanism. Thus, during the fabrication of the interlayer dielectric material over the completed transistor base configuration, a series of patterning processes based on plasma enhanced etching techniques must be performed, and the provision of an etch stop material will increasingly reduce the overall performance of the transistor elements, especially if strain-inducing mechanisms are considered.

Die Druckschrift US 2007/0262391 A1 beschreibt ein Halbleiterbauelement mit einem Feldeffekttransistor, der eine piezoelektrische Schicht über der Gateelektrode aufweist. Das piezoelektrische Material wird als eine Schicht zum Erzeugen einer Verspannung verwendet, die zusammen mit einem Zwischenschichtdielektrikum durch Ionenätzung strukturiert wird.The publication US 2007/0262391 A1 describes a semiconductor device with a field effect transistor having a piezoelectric layer over the gate electrode. The piezoelectric material is used as a stress-generating layer, which is patterned with an interlayer dielectric by ion etching.

Die Druckschrift US 7 141 511 B2 beschreibt ein Halbleiterbauelement, in welchem dielektrische Ätzstoppmaterialien, z. B. aus Al2O3 oder HfO2, in Speicherelementen verwendet werden, um eine elektrische Verbindung zu einer vergrabenen leitenden Schicht zu verhindern.The publication US 7 141 511 B2 describes a semiconductor device in which dielectric etch stop materials, e.g. B. Al 2 O 3 or HfO 2 , are used in memory elements to prevent electrical connection to a buried conductive layer.

Angesichts der zuvor beschriebenen Situation betrifft die vorliegende Erfindung Verfahren und Halbleiterbauelemente, in denen ein geeignetes Ätzstoppverhalten während der Ausbildung der Kontaktebene vorgesehen wird, wobei einige oder mehrere der oben erkannten Probleme reduziert oder vermieden werden.in view of The situation described above relates to the present invention Methods and semiconductor devices in which a suitable Ätzstoppverhalten while training is provided at the contact level, with some or Several of the problems identified above can be reduced or avoided.

Überblick über die ErfindungOverview of the invention

Die Aufgabe wird gelöst durch Verfahren gemäß den Ansprüchen 1 und 9.The Task is solved by methods according to claims 1 and 9th

Kurze Beschreibung der ZeichnungenBrief description of the drawings

Weitere Ausführungsformen der vorliegenden Erfindung sind in den abhängigen Patentansprüchen und/oder in der folgenden detaillierten Beschreibung angegeben, die besser verstanden werden können, wenn auf die begleitenden Zeichnungen Bezug genommen wird, in denen:Further embodiments The present invention is defined in the dependent claims and / or in the following detailed description, the better can be understood when reference is made to the accompanying drawings, in which:

1a und 1b schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung von einem verformungsinduzierenden Material über der Transistorbasiskonfiguration gemäß einem Prozess auf der Grundlage eines firmeninternen Stands der Technik zeigen; 1a and 1b schematically show cross-sectional views of a semiconductor device during various manufacturing stages in the production of a strain-inducing material over the transistor base configuration according to an in-house state-of-the-art process;

2a und 2b schematisch Querschnittsansichten eines Transistorelements während diverser Fertigungsphasen bei der Herstellung einer Kontaktebene eines Transistorelements unter Anwendung eines dielektrischen Materials mit großem ε als ein Ätzstoppmaterial er läuternde Beispiele zeigen; und 2a and 2 B schematically cross-sectional views of a transistor element during various manufacturing stages in the production of a contact level of a transistor element using a high-k dielectric material as an etching stopper, they show examinating examples; and

3a bis 3g schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Erzeugung von verspannungsinduzierenden Schichten unterschiedlicher Arten an innerer Verspannung über Transistoren unterschiedlicher Leitfähigkeitsart auf Grundlage eines oder mehrerer dielektrischer Ätzstoppmaterialien mit großem ε gemäß anschaulicher Ausführungsformen der Erfindung zeigen. 3a to 3g schematically show cross-sectional views of a semiconductor device during various manufacturing stages in the generation of stress-inducing layers of different types of internal stress across transistors of different conductivity type based on one or more high-k dielectric etch stop materials according to illustrative embodiments of the invention.

Detaillierte BeschreibungDetailed description

Im Allgemeinen betrifft die vorliegende Erfindung die Problematik der Strukturierung von Materialien in der Kontaktebene moderner Halbleiterbauelemente, wobei die Eigenschaften der konventionellen Ätzstoppmaterialien, etwa Siliziumdioxid, Siliziumnitrid und dergleichen, zu einem geringeren Leistungsvermögen von Transistorelementen führen können, da eine erforderliche Dicke für das Bereitstellen der notwendigen Ätzstoppeigenschaften die Transistoreigenschaften negativ beeinflussen kann, oder wenn die Dicke des Ätzstoppmaterials verringert wird, die weitere Bearbeitung des Bauelements negativ beeinflusst wird. Im Hinblick auf diese Situation sieht die vorliegende Erfindung Techniken vor, in denen dielektrische Materialien mit großem ε als effiziente Ätzstoppmaterialien eingesetzt werden, die dann später durch Sputter-Verfahren strukturiert werden, wobei diese Materialien deutlich unterschiedliche Ätzeigenschaften im Hinblick auf eine Vielzahl von plasmaunterstützten Ätzrezepten aufweisen, wie sie typischerweise bei der Bearbeitung von modernsten Halbleiterbauelementen angewendet werden. Beispielsweise werden Tantaloxid (Ta2O5), Strontiumtitanoxid (SrTiO3), Hafniumoxid (HfO2), Hafniumsiliziumoxid, Zirkonoxid (ZrO2) zunehmend beispielsweise als Gatedielektrika und dergleichen eingesetzt. In einer anschaulichen Ausführungsform wird Hafniumoxid als ein sehr effizientes Ätzstoppmaterial auf Grund seiner Eigenschaft eingesetzt, dass dieses keine flüchtigen Nebenprodukte während gut etablierter plasmaunterstützter Ätzprozesse auf Fluor- und Chlorbasis erzeugt, wie sie typischerweise zum Ätzen von Siliziumnitridmaterialien und dergleichen eingesetzt werden.In general, the present invention relates to the problem of structuring materials in the contact level of modern semiconductor devices, wherein the properties of conventional etch stop materials, such as silicon dioxide, silicon nitride, and the like, may result in lower performance of transistor elements because of a required thickness for providing the necessary Etch stop properties can negatively affect the transistor properties, or if the thickness of the etch stop material is reduced, the further processing of the device is adversely affected. In view of this situation, the present invention provides techniques in which high-k dielectric materials are used as efficient etch stop materials, which are later patterned by sputtering techniques, which materials have significantly different etching characteristics with respect to a variety of plasma assisted etch recipes , as typically used in the machining of state-of-the-art semiconductor devices. For example, tantalum oxide (Ta 2 O 5 ), strontium titanium oxide (SrTiO 3 ), hafnium oxide (HfO 2 ), hafnium silicon oxide, zirconium oxide (ZrO 2 ) are increasingly used, for example, as gate dielectrics and the like. In one illustrative embodiment, hafnium oxide is used as a very efficient etch stop material because of its property that it does not generate volatile byproducts during well-established plasma assisted fluoro and chlorine based etch processes typically used for etching silicon nitride materials and the like.

Es sollte beachtet werden, dass im Zusammenhang der vorliegenden Erfindung ein dielektrisches Material mit großem ε als ein dielektrisches Material zu verstehen ist, das eine Dielektrizitätskonstante von ungefähr 10 oder größer aufweist.It It should be noted that in the context of the present invention a high-k dielectric material as a dielectric material it should be understood that a dielectric constant of about 10 or larger.

Durch Ausnutzen der geringeren Abtragsrate und der höheren Stabilität des dielektrischen Materials mit großem ε während der Herstellung der Kontaktebene von Halbleiterbauelementen können deutliche Vorteile im Hinblick auf die Gesamtfertigungssequenz und/oder das Leistungsverhalten der Halbleiterbauelemente erreicht werden. Beispielsweise bietet das Vorsehen einer dünnen aber dennoch sehr effizienten Ätzstoppmaterialschicht die Möglichkeit, ein nachfolgendes Material im Hinblick auf seine Abscheideeigenschaften anstatt ein moderat dickes Ätzstoppmaterial vorgesehen werden muss, wie es zum Strukturieren des eigentlichen dielektrischen Zwischenschichtmaterials erforderlich ist. D. h., da eine zuverlässige Steuerung des Ätzprozesses für die Kontaktöffnungen auf der Grundlage eines dielektrischen Materials mit großem ε erfolgen kann, wird eine nachfolgende Materialschicht mit verbesserten Spaltfülleigenschaften gebildet, um damit die gesamte Oberflächentopographie für moderne Halbleiterbauelemente zu verringern, wodurch der Gesamtprozessablauf verbessert wird. In anderen Fällen kann die Menge des verspannten dielektrischen Materials für das jeweilige Transistorelement erhöht werden, ohne dass die In tegrität der Transistorbasisstrukturen während des Strukturierens der verspannten dielektrischen Materialien mit unterschiedlichen inneren Verspannungspegeln beeinträchtigt wird. Folglich können die verspannungsinduzierenden Mechanismen während der weiteren Größenreduzierung von Bauteilabmessungen eingesetzt werden, ohne dass die Gesamteffizienz dieser Mechanismen unnötig verringert wird.By Take advantage of the lower removal rate and the higher stability of the dielectric Material with large ε during the Production of the contact level of semiconductor devices can be significant Advantages with regard to the overall production sequence and / or the Performance behavior of the semiconductor devices can be achieved. For example provides the provision of a thin but still very efficient etch stop material layer the possibility, a subsequent material in terms of its deposition properties rather than a moderately thick etch stop material must be provided, as it is to structure the actual dielectric interlayer material is required. Ie., because a reliable Control of the etching process for the contact openings on the basis of a high-k dielectric material can, is a subsequent material layer with improved gap filling properties formed to allow the entire surface topography for modern Reduce semiconductor devices, eliminating the overall process flow is improved. In other cases may be the amount of strained dielectric material for each Transistor element increases without the integrity the transistor base structures during structuring the strained dielectric materials different internal stress levels is impaired. Consequently, you can the stress inducing mechanisms during further size reduction be used by component dimensions, without affecting the overall efficiency these mechanisms unnecessarily reduced becomes.

Mit Bezug zu den 2a und 2b werden erläuternde Beispiele beschrieben und in den 3a bis 3g werden sodann anschauliche Ausführungsformen detaillierter beschrieben.Related to the 2a and 2 B Illustrative examples are described and in the 3a to 3g Then, illustrative embodiments will be described in more detail.

2a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 200 in einem fortgeschrittenen Fertigungsstadium, in welchem ein dielektrisches Zwischenschichtmaterial zu bilden und zu strukturieren ist. Das Halbeleiterbauelement 200 umfasst ein Substrat 201, das in Form eines beliebigen geeigneten Trägermaterials vorgesehen ist, um darüber eine Halbleiterschicht 202 zu bilden, die ein geeignetes Material repräsentieren kann, um darin und darüber einen Transistor 250 zu bilden. Die Halbleiterschicht 202 kann ein siliziumbasiertes Material repräsentieren, da viele komplexe integrierte Schaltungen auf der Grundlage von Silizium hergestellt werden, dessen Eigenschaften im Hinblick auf die Ladungsträgerbeweglichkeit durch Erzeugen einer entsprechenden Verformung modifiziert werden können, wie dies zuvor erläutert ist. Es sollte jedoch beachtet werden, dass in anderen Ausführungsformen andere Halbleitermaterialien verwendet werden können, etwa Germanium, Mischungen aus Silizium und Germanium, Silizium und Kohlenstoff oder andere Halbleiterverbindungen. Des weiteren kann eine vergrabene isolierende Schicht (nicht gezeigt) zwischen dem Substrat 201 und der Halbleiterschicht 202 vorgesehen sein, zumindest in einigen Bauteilbereichen des Bauelements 200 in Abhängigkeit von der gesamten Transistorarchitektur. In dem gezeigten Fertigungsstadium umfasst der Transistor 250 eine Gateelektrode 251, die von einem Kanalgebiet 253 durch eine Gateisolationsschicht 252 getrennt ist. Die Gateelektrode 251 ist aus einem beliebigen geeigneten Material aufgebaut, etwa Polysilizium, einem metallenthaltenden Material, einer Mischung aus Polysilizium und Metall, wobei das Metall sich bis zu der isolierenden Schicht 252 hinab erstrecken kann, und dergleichen. In ähnlicher Weise ist die Gateisolationsschicht 252 aus einem beliebigen geeigneten Material aufgebaut, etwa Siliziumdioxid, Siliziumnitrid, Siliziumoxinitrid und dergleichen. In anspruchsvollen Anwendungen enthält die Gateisolationsschicht 252 ein dielektrisches Material mit großem ε, beispielsweise in Form wie es zuvor spezifiziert wurde. Des Weiteren weist der Transistor 250 Drain- und Sourcegebiete 254 mit einem geeigneten vertikalen und lateralen Dotierstoffprofil auf, wie dies für das hohe Leistungsvermögen des Transistors 250 erforderlich ist. Eine Abstandshalterstruktur 255 kann an Seitenwänden der Gateelektrode 251 mit einer geeigneten Konfiguration vorgesehen sein. Des weiteren weisen bei Bedarf Kontaktbereiche 256 in den Drain- und Sourcegebieten 254 Metall auf, beispielsweise in Form von Metallsilizid, um den Kontaktwiderstand zu verringern. in ähnlicher Weise kann Metallsilizid 256 auch in der Gateelektrode 251 ausgebildet sein, wenn diese einen Teil aus Polysilizium aufweist. Des weiteren umfasst das Halbleiterbauelement 200 eine dielektrische Schicht 231 mit großem ε, die über dem Transistor 250 gebildet ist. In diesem Beispiel ist die dielektrische Schicht 231 mit großem ε, die während der weiteren Bearbeitung des Bauelements 200 als eine Ätzstoppschicht dienen kann, auf dem Transistor 250 ausgebildet, d. h. die Schicht 231 ist mit den Kontaktbereichen 256 in Berührung, die aus beispielsweise Metallsilizid aufgebaut sein können, wie dies zuvor erläutert ist. In anderen Beispielen ist die dielektrische Schicht 231 mit großem ε an einer beliebigen geeigneten Position innerhalb eines dielektrischen Zwischenschichtmaterials angeordnet. Es können eine oder mehrere weitere dielektrische Schichten mit großem ε vorgesehen sein, wie dies nachfolgend erläutert ist. Die dielektrische Schicht 231 mit großem ε besitzt eine Dicke von ungefähr 10 nm oder weniger, während in einigen Anwendungen die Dicke der Schicht 231 ungefähr 5 nm oder weniger beträgt. Die Schicht 231 ist aus einem beliebigen geeigneten Material aufgebaut, wie dies zuvor erläutert ist, während in einem Beispiel die Schicht 231 Hafnium aufweist, beispielsweise in Form von Hafniumoxid (HfO2). 2a schematically shows a cross-sectional view of a semiconductor device 200 in an advanced manufacturing stage, in which an interlayer dielectric material is to be formed and patterned. The half conductor component 200 includes a substrate 201 , which is provided in the form of any suitable carrier material, about it a semiconductor layer 202 which may represent a suitable material to have a transistor therein and above 250 to build. The semiconductor layer 202 may represent a silicon-based material since many complex integrated circuits are fabricated based on silicon, whose charge carrier mobility properties can be modified by creating a corresponding strain, as previously explained. It should be noted, however, that in other embodiments other semiconductor materials may be used, such as germanium, mixtures of silicon and germanium, silicon and carbon or other semiconductor compounds. Furthermore, a buried insulating layer (not shown) may be interposed between the substrate 201 and the semiconductor layer 202 be provided, at least in some component areas of the component 200 depending on the entire transistor architecture. In the manufacturing stage shown, the transistor comprises 250 a gate electrode 251 coming from a canal area 253 through a gate insulation layer 252 is disconnected. The gate electrode 251 is made of any suitable material, such as polysilicon, a metal-containing material, a mixture of polysilicon and metal, wherein the metal is up to the insulating layer 252 can extend down, and the like. Similarly, the gate insulation layer is 252 constructed of any suitable material, such as silicon dioxide, silicon nitride, silicon oxynitride, and the like. In demanding applications, the gate insulation layer contains 252 a high-k dielectric material, for example in the form as previously specified. Furthermore, the transistor 250 Drain and source areas 254 with a suitable vertical and lateral dopant profile, as for the high performance of the transistor 250 is required. A spacer structure 255 may be on sidewalls of the gate electrode 251 be provided with a suitable configuration. Furthermore, if necessary, contact areas 256 in the drain and source areas 254 Metal, for example in the form of metal silicide to reduce the contact resistance. Similarly, metal silicide 256 also in the gate electrode 251 be formed when this has a part of polysilicon. Furthermore, the semiconductor device comprises 200 a dielectric layer 231 with big ε, which over the transistor 250 is formed. In this example, the dielectric layer is 231 with large ε, during further processing of the device 200 can serve as an etch stop layer on the transistor 250 trained, ie the layer 231 is with the contact areas 256 in contact, which may be composed of, for example, metal silicide, as previously explained. In other examples, the dielectric layer is 231 with large ε disposed at any suitable position within a dielectric interlayer material. One or more further dielectric layers with a high ε may be provided, as explained below. The dielectric layer 231 with large ε has a thickness of about 10 nm or less, while in some applications the thickness of the layer 231 is about 5 nm or less. The layer 231 is constructed of any suitable material, as previously explained, while in one example the layer 231 Hafnium, for example in the form of hafnium oxide (HfO 2 ).

Das in 2a gezeigte Halbleiterbauelement 200 kann auf der Grundlage der folgenden Prozesse hergestellt werden. Nach dem Bilden von jeweiligen Isolationsstrukturen (nicht gezeigt), beispielsweise durch Lithographie, Abscheidung und Einebnungstechniken, werden die Gateisolationsschicht 252 und die Gateelektrode 251 durch Oxidation und/oder Abscheidung gebildet, woran sich ein Strukturierungsprozess unter Anwendung moderner Lithographien anschließt. Danach werden die Drain- und Sourcegebiete 254 oder zumindest ein Teil davon, mittels Ionenimplantation hergestellt, wobei entsprechende Bereiche der Abstandshalterstruktur 255 als effiziente Implantationsmaske in Verbindung mit der Gateelektrode 251 dienen. Nach dem Ausheizen des Bauelements 200 zur Aktivierung der Dotierstoffe und zur Rekristallisierung von geschädigten Bereichen werden die Kontaktbereiche 256 beispielsweise in Form von Metallsilizid, auf Grundlage gut etablierter Rezepte hergestellt. Es wird ein Abscheideprozess 233 auf Grundlage einer geeigneten Technik, etwa CVD, PVD und dergleichen ausgeführt, um das dielektrische Material mit großem ε mit geeigneter Dicke vorzusehen, beispielsweise in dem zuvor genannten spezifizierten Bereich, wobei typischerweise ein deutlich geringerer Wert im Vergleich zu konventionellen dielektrischen Ätzstoppmaterialien, etwa Siliziumdioxid, Siliziumnitrid und dergleichen angewendet wird. Wenn daher die Schicht 231 mit geringerer Dicke gebildet wird, kann ein sehr konformes Abscheideverhalten erreicht werden, wodurch der Einfluss der Schicht 231 im Hinblick auf die resultierende Oberflächentopographie des Bauelements 200 verringert wird, wodurch auch die Anforderungen für das Abscheideverhalten nachfolgender Abscheideprozesse, die zum Abscheiden weiterer dielektrischer Materialien auszuführen sind, entspannt werden. Beispielsweise wird in einigen anschaulichen Ausführungsformen ein weiteres dielektrisches Material auf Grundlage einer Abscheidetechnik gebildet, die für ein verbessertes Spaltfüllverhalten sorgt, ohne dass zusätzliche Ätzstoppmaterialien erforderlich sind, da die Schicht 231, obwohl diese mit einer geringeren Dicke vorgesehen wird, eine ausreichende Ätzstoppwirkung während des Strukturierens von Kontaktöffnungen entfaltet.This in 2a shown semiconductor device 200 can be made on the basis of the following processes. After forming respective isolation structures (not shown), for example, by lithography, deposition and planarization techniques, the gate insulation layer becomes 252 and the gate electrode 251 formed by oxidation and / or deposition, followed by a structuring process using modern lithography followed. After that, the drain and source areas become 254 or at least a part thereof, produced by ion implantation, wherein respective regions of the spacer structure 255 as an efficient implantation mask in conjunction with the gate electrode 251 serve. After heating the device 200 for activation of the dopants and for recrystallization of damaged areas become the contact areas 256 in the form of metal silicide, for example, based on well-established recipes. It becomes a process of separation 233 based on a suitable technique, such as CVD, PVD, and the like, to provide the high-k dielectric material of suitable thickness, for example, in the aforementioned specified range, typically significantly lower in value compared to conventional dielectric etch stop materials, such as silicon dioxide; Silicon nitride and the like is applied. Therefore, if the layer 231 is formed with a smaller thickness, a very compliant deposition behavior can be achieved, whereby the influence of the layer 231 in view of the resulting surface topography of the device 200 which also relaxes the requirements for the deposition behavior of subsequent deposition processes to be performed for depositing further dielectric materials. For example, in some illustrative embodiments, another dielectric material may be formed based on a deposition technique that provides improved gap fill performance without the need for additional etch stop materials because of the layer 231 Although this is provided with a smaller thickness, a sufficient Ätzstoppwirkung unfolds during the structuring of contact openings.

2b zeigt schematisch das Halbleiterbauelement 200 in einer weiter fortgeschrittenen Fertigungsphase, in der ein weiteres dielektrisches Material 221 auf der Ätzstoppschicht 231 ausgebildet ist, wobei das Material 221 mehrere unterschiedliche Materialien aufweisen kann, wobei dies von dem gewünschten Aufbau eins dielektrischen Zwischenschichtmaterials 220 abhängt, das durch das Ätzstoppmaterial 231 und das Material 211 gebildet ist. Beispielsweise kann das Material 221 aus Siliziumdioxid im Hinblick auf effiziente Abscheideverfahren mit einem hohen Spaltfüllverhalten aufgebaut sein, beispielsweise im Hinblick auf plasmaunterstützte CVD und subatmosphärische CVD auf Grundlage von TEOS. In anderen Fällen wird das Material 221 mit größerer Flexibilität im Hinblick auf die Abscheidetechniken und die Materialzusammensetzung vorgesehen, da das gewünschte Material nahe an dem Transistor 250 angeordnet werden kann, ohne dass dieses Ätzstoppeigenschaften im Hinblick auf das Strukturieren des Materials 221 zeigen muss. Beispielsweise können beliebige geeignete verformungsinduzierende Materialien, etwa Siliziumnitrid, stickstoffenthaltendes Siliziumkarbid, stark verspanntes Siliziumdioxid und dergleichen in dem Material 221 an unterschiedlichen Bauteilbereichen innerhalb des Materials 221 vorgesehen werden, da mögliche Unterschiede in den Ätzeigenschaften durch das sehr effiziente Ätzstoppmaterial 231 „kompensiert” werden. D. h., in einigen Beispielen wird ein stark verspanntes Siliziumdioxidmaterial nahe an einem Transistor angeordnet, während ein Siliziumnitridmaterial nahe an einem anderen Transistor angeordnet wird, ohne dass im Wesentlichen die Gesamtgleichmäßigkeit während eines nachfolgenden Strukturierungsprozesses zur Herstellung der Kontaktöffnungen 222 beeinflusst wird, wie dies durch die gestrichelten Linien angegeben ist. 2 B schematically shows the semiconductor device 200 in a more advanced manufacturing stage, in which another dielectric material 221 on the etch stop layer 231 is formed, wherein the material 221 may comprise a plurality of different materials, this being the desired structure of a dielectric interlayer material 220 depends on the etch stop material 231 and the material 211 is formed. For example, the material 221 of silicon dioxide with regard to efficient deposition processes with a high gap filling behavior, for example with regard to plasma-assisted CVD and sub-atmospheric CVD based on TEOS. In other cases, the material becomes 221 with greater flexibility in terms of deposition techniques and material composition, since the desired material is close to the transistor 250 can be arranged without this Ätzstoppeigenschaften with regard to the structuring of the material 221 must show. For example, any suitable strain-inducing materials, such as silicon nitride, nitrogen-containing silicon carbide, highly strained silica, and the like, may be included in the material 221 at different component areas within the material 221 be provided because possible differences in the etching properties by the very efficient Ätzstoppmaterial 231 Be "compensated". That is, in some examples, a highly strained silicon dioxide material is placed close to one transistor, while a silicon nitride material is placed close to another transistor, without substantially the overall uniformity during a subsequent patterning process for making the contact openings 222 is affected, as indicated by the dashed lines.

Somit werden nach dem Abscheiden des dielektrischen Materials 221 geeignete Lithographieverfahren ausgeführt, um eine Maske über dem dielektrischen Zwischenschichtmaterial 220 zu bilden und durch das Material 221 zu ätzen, wobei die Ätzstoppschicht 231 als ein effizienter Ätzstopp auf Grund der geringeren Ausbildung von flüchtigen Nebenprodukten verwendet wird, wie dies zuvor erläutert ist.Thus, after the deposition of the dielectric material 221 suitable lithography methods to form a mask over the dielectric interlayer material 220 to form and through the material 221 etch, wherein the etch stop layer 231 is used as an efficient etch stop due to less volatile by-product formation, as previously explained.

Mit Bezug zu den 3a bis 3g werden nunmehr anschauliche Ausführungsformen der Erfindung beschrieben, in denen verformungsinduzierende Materialien in der Kontaktebene für unterschiedliche Arten von Transistoren auf der Grundlage eines dielektrischen Ätzstoppmaterials mit großem ε gebildet werden.Related to the 3a to 3g Illustrative embodiments of the invention will now be described in which strain-inducing materials are formed in the contact plane for different types of transistors based on a high-k dielectric etch stop material.

3a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 300 mit einem Substrat 301, über welchem eine Halbleiterschicht 302 gebildet ist. Im Hinblick auf diese Komponenten gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu den Bauelementen 100 und 200 erläutert sind. Des weiteren umfasst das Bauelement 300 einen oder mehrere Transistoren 350a, die gleiche oder unterschiedliche Leitfähigkeitsarten repräsentieren können. D. h., einer der Transistoren 350a repräsentiert ggf. einen p-Kanaltransistor, während der andere einen n-Kanaltransistor repräsentiert, wobei eine geeignete Isolationsstruktur (nicht gezeigt) zwischen diesen Bauelementen ausgebildet ist. In der gezeigten Ausführungsform sei angenommen, dass die Transistoren 350a Transistoren der gleichen Leitfähigkeitsart repräsentieren, die in einem Bauteilbereich mit hoher Packungsdichte, etwa einem RAM-Bereich, wie dies auch mit Bezug zu dem Bauelement 100 beschrieben ist, ausgebildet sind. Andererseits können der ein oder die mehreren Transistoren 350b einen Transistor repräsentieren, der einen im Vergleich zu den Transistoren 350 unterschiedlichen Verformungsmechanismus benötigt. Beispielsweise repräsentiert der Transistor 350b einen p-Kanaltransistor, während die Transistoren 350a n-Kanaltransistoren bilden. In der gezeigten Fertigungsphase sind die Transistoren 350a, 350b im Wesentlichen fertiggestellt und enthalten daher eine Gateelektrode 351, die von einem Kanalgebiet 353 durch eine Gateisolationsschicht 352 getrennt ist. Im Hinblick auf die Gateelektrode 351 und die Gateisolationsschicht 352 gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu den Bauelementen 100 und 200 beschrieben sind. Ferner sind Drain- und Sourcegebiete 254 in der Halbleiterschicht 302 gebildet, wobei bei Bedarf eine Abstandshalterstruktur 355 an Seitenwänden der Gateelektrode 351 ausgebildet ist. Bei Bedarf ist ein Metallmaterial in Kontaktbereichen 356 vorgesehen. Im Hinblick auf Abmessungen der Transistoren 350a, 350b gelten die gleichen Kriterien, wie sie zuvor mit Bezug zu dem Bauelement 100 erläutert sind. D. h., die Transistoren 350a, 350b können eine Gatelänge von ungefähr 50 nm oder weniger aufweisen, wobei auch ein Abstand zwischen benachbarten Gateelektroden der dichtliegenden Transistoren 350a einige 100 nm oder weniger betragen kann. 3a schematically shows a cross-sectional view of a semiconductor device 300 with a substrate 301 over which a semiconductor layer 302 is formed. With regard to these components, the same criteria apply as they did before with respect to the components 100 and 200 are explained. Furthermore, the component comprises 300 one or more transistors 350a that can represent the same or different types of conductivity. That is, one of the transistors 350a optionally represents a p-channel transistor, while the other represents an n-channel transistor, wherein a suitable isolation structure (not shown) is formed between these devices. In the embodiment shown, it is assumed that the transistors 350a Transistors of the same conductivity type, which in a high density package area, such as a RAM area, as well as with respect to the device 100 is described, are formed. On the other hand, the one or more transistors 350b represent a transistor, one compared to the transistors 350 different deformation mechanism needed. For example, the transistor represents 350b a p-channel transistor, while the transistors 350a form n-channel transistors. In the manufacturing stage shown are the transistors 350a . 350b essentially completed and therefore contain a gate electrode 351 coming from a canal area 353 through a gate insulation layer 352 is disconnected. With regard to the gate electrode 351 and the gate insulation layer 352 apply the same criteria as previously related to the components 100 and 200 are described. Furthermore, there are drain and source regions 254 in the semiconductor layer 302 formed, if necessary, a spacer structure 355 on sidewalls of the gate electrode 351 is trained. If necessary, a metal material is in contact areas 356 intended. With regard to dimensions of the transistors 350a . 350b Apply the same criteria as before with respect to the device 100 are explained. That is, the transistors 350a . 350b may have a gate length of about 50 nm or less, including a distance between adjacent gate electrodes of the high-density transistors 350a may be 100 nm or less.

Die Transistoren 350a, 350b können auf der Grundlage von Prozesstechniken gebildet werden, wie sie beispielsweise mit Bezug zu dem Halbleiterbauelement 200 beschrieben sind, wobei die entsprechende Entwurfsregel zu anspruchsvollen Oberflächentopographien führen kann, die durch die Gateelektroden 351 und den geringen Abstand der Transistoren 350a hervorgerufen wird. Danach wird eine Ätzstoppschicht 331 gebildet, die ähnliche Eigenschaften aufweist, wie sie zuvor mit Bezug zu der dielektrischen Schicht mit großem ε 231 erläutert sind. Somit ist die Ätzstoppschicht 331 aus einem beliebigen geeigneten dielektrischen Material mit großem ε mit einem großen Ätzwiderstand im Hinblick auf gut etablierte plasmaunterstützte Ätzrezepte aufgebaut. In einigen anschaulichen Ausführungsformen weist die Schicht 331 Hafnium, beispielsweise in Form von Hafniumoxid, auf. Eine Dicke der Schicht 331 beträgt in einigen anschaulichen Ausführungsformen ungefähr 10 nm oder weniger, wobei zu beachten ist, dass bei Bedarf eine größere Dicke eingesetzt werden kann, während in anderen Ausführungsformen noch geringere Dicke, beispielsweise 5 nm, 3 nm und weniger verwendet wird. Die Schicht 331 kann auf der Grundlage einer beliebigen geeigneten Abscheidetechnik, etwa CVD, und dergleichen hergestellt werden. Als nächstes wird eine erste verformungsinduzierende Schicht 330, die aus einem geeigneten Material, etwa Siliziumnitrid, stickstoffenthaltendem Siliziumkarbid, Siliziumdioxid und dergleichen aufgebaut sein kann, über den Transistoren 350a, 350b gebildet. In der gezeigten Ausführungsform sei angenommen, dass die Schicht 330 mit einer hohen inneren Verspannung vorgesehen ist, die für das Verbessern des Leistungsverhaltens der Transistoren 350a geeignet ist, während möglicherweise das Leistungsverhalten des Transistors 350b negativ beeinflusst wird. Es sollte jedoch beachtet werden, dass die Schicht 330 einen internen Verspannungspegel aufweist, der in anderen Fällen geeignet ist, das Leistungsverhalten des Transistors 350b zu verbessern. Wie zuvor erläutert ist, sind die Abscheideparameter zur Herstellung der Schicht 330 so gewählt, dass der gewünschte innere Verspannungspegel erreicht wird, wobei auch die Spaltfülleigenschaften beachtet werden, um damit die Schicht 330 im Wesentlichen ohne abscheidebezogene Unregelmäßigkeiten, etwa Hohlräume und dergleichen vorzusehen. Auf Grund der geringeren Dicke der Ätzstoppschicht 331 kann die Schicht 330 durch weniger anspruchsvolle Bedingungen aufgebracht werden, wodurch das Abscheiden einer größeren Dicke im Vergleich zu einer konventionellen Strategie möglich ist, wie dies zuvor mit Bezug zu den 1a und 1b erläutert ist, wodurch größere Mengen an stark verspannten dielektrischen Material in unmittelbarer Nähe der Transistoren 350a vorgesehen werden können, ohne dass die Wahrscheinlichkeit erhöht wird, dass weitere Ausbeuteverluste auftreten.The transistors 350a . 350b can be formed on the basis of process techniques such as those related to the semiconductor device 200 The corresponding design rule may lead to sophisticated surface topographies caused by the gate electrodes 351 and the small pitch of the transistors 350a is caused. Thereafter, an etch stop layer 331 having similar characteristics as previously described with respect to the high-k dielectric layer 231 are explained. Thus, the etch stop layer is 331 of any suitable high-k dielectric material having a large etch resistance with respect to well established plasma assisted etch recipes. In some illustrative embodiments, the layer has 331 Hafnium, for example in the form of hafnium oxide on. A thickness of the layer 331 is about 10 nm or less in some illustrative embodiments, it being understood that greater thickness may be used if desired, while in other embodiments even smaller thickness, for example 5 nm, 3 nm and less is used. The layer 331 can be made on the basis of any suitable deposition technique, such as CVD, and the like. Next, a first strain-inducing layer 330 which may be constructed of a suitable material, such as silicon nitride, nitrogen-containing silicon carbide, silicon dioxide and the like, over the transistors 350a . 350b educated. In the embodiment shown Form is assumed that the layer 330 is provided with a high internal strain, which for improving the performance of the transistors 350a is suitable, while possibly the performance of the transistor 350b is negatively influenced. It should be noted, however, that the layer 330 has an internal stress level, which is suitable in other cases, the performance of the transistor 350b to improve. As previously explained, the deposition parameters are for producing the layer 330 is chosen so that the desired internal stress level is achieved, whereby the gap filling properties are taken into account so that the layer 330 essentially without deposition-related irregularities, such as cavities and the like provided. Due to the smaller thickness of the etch stop layer 331 can the layer 330 be applied by less demanding conditions, whereby the deposition of a greater thickness compared to a conventional strategy is possible, as previously with reference to the 1a and 1b which explains greater amounts of highly strained dielectric material in the immediate vicinity of the transistors 350a can be provided without increasing the likelihood that further yield losses will occur.

3b zeigt schematisch das Halbleiterbauelement 300 in einem weiter fortgeschrittenen Herstellungsstadium. Wie gezeigt, bedeckt eine Ätzmaske 303 die Transistoren 350a, während der Transistor 350b der Einwirkung einer plasmaunterstützten Ätzumgebung 304 ausgesetzt ist, die so gestaltet ist, dass der Bereich der Schicht 330, der über dem Transistor 350b angeordnet ist, geätzt wird. Die verbesserten Ätzstoppeigenschaften des dielektrischen Materials mit großem ε in der Schicht 331 können somit die Unversehrtheit der darunter liegenden Transistorbereiche des Bauelements 350 beibehalten, wobei dennoch für ausreichende Prozesstoleranzbereiche während der abschließenden Phase des Ätzprozesses 304 gesorgt ist. 3b schematically shows the semiconductor device 300 in a more advanced manufacturing stage. As shown, covers an etching mask 303 the transistors 350a while the transistor 350b the action of a plasma-assisted etching environment 304 exposed, which is designed so that the area of the layer 330 that is above the transistor 350b is arranged, etched. The improved etch stop properties of the high-k dielectric material in the layer 331 Thus, the integrity of the underlying transistor areas of the device 350 while still maintaining sufficient process tolerance ranges during the final stage of the etch process 304 is taken care of.

3c zeigt schematisch das Halbleiterbauelement 300 gemäß weiterer anschaulicher Ausführungsformen, in denen eine weitere dielektrische Schicht 331 mit großem ε auf der verformungsinduzierenden Schicht 330 gebildet ist. Beispielsweise ist die Schicht 331a aus dem gleichen Material wie die Schicht 331 aufgebaut, während in anderen Fällen ein anderes dielektrisches Material mit großem ε verwendet wird, wobei auch eine Dicke der Schicht 331a im Bereich von ungefähr 10 nm oder weniger liegen kann. Beispielsweise wird die Schicht 331a am Ende des Ätzprozesses 304 oder nach dem Entfernen der Ätzmaske 303 gebildet, wodurch auch die Schicht 331a über dem freigelegten Bereich der Schicht 331 in den Transistor 350b abgeschieden wird. In anderen anschaulichen Ausführungsformen wurde die Schicht 331, wie gezeigt, nach dem Abscheiden der Schicht 330 und vor dem Ausführen des Ätzprozesses 304 gebildet. In diesem Falle umfasst der Ätzprozess 304 einen geeigneten Abtragungsprozess, beispielsweise auf der Grundlage eines Sputter-Ätzprozesses, in welchem eine geeignete schwere Sorte, etwa Argon, auf den freigelegten Oberflächenbereich gelenkt wird, um das Material der Schicht 331a physikalisch abzutra gen. Danach kann ein konventionelles Ätzrezept eingesetzt werden, um den freigelegten Bereich der Schicht 330 zu entfernen, wie dies zuvor erläutert ist. 3c schematically shows the semiconductor device 300 according to further illustrative embodiments, in which a further dielectric layer 331 with large ε on the strain-inducing layer 330 is formed. For example, the layer 331a made of the same material as the layer 331 whereas in other cases another high-k dielectric material is used, and also a thickness of the layer 331a may be in the range of about 10 nm or less. For example, the layer becomes 331a at the end of the etching process 304 or after removing the etching mask 303 formed, whereby also the layer 331a over the exposed area of the layer 331 in the transistor 350b is deposited. In other illustrative embodiments, the layer has become 331 as shown after deposition of the layer 330 and before performing the etching process 304 educated. In this case, the etching process includes 304 a suitable ablation process, for example, based on a sputter etch process, in which a suitable heavy species, such as argon, is directed onto the exposed surface area around the material of the layer 331a physically abzutra. Thereafter, a conventional etching recipe can be used to the exposed portion of the layer 330 to remove, as previously explained.

Somit kann das dielektrische Material 331 mit großem ε als ein effizientes aber dennoch dünnes Ätzstoppmaterial während der weiteren Bearbeitung des Bauelements 300 dienen.Thus, the dielectric material 331 with large ε as an efficient yet thin etch stop material during further processing of the device 300 serve.

3d zeigt schematisch das Halbleiterbauelement 300 nach dem Abscheiden der Schicht 330 gemäß noch weiterer anschaulicher Ausführungsformen. Wie gezeigt, wird ein plasmaunterstützter Oxidationsprozess 305 ausgeführt, um einen Oberflächenbereich der Schicht 330 in einen oxidierten Bereich umzuwandeln, der beispielsweise aus Siliziumdioxid aufgebaut ist, wobei eine Dicke einige Nanometer in Abhängigkeit von den Parametern der Plasmaumgebung 305 beträgt. Somit kann auch in diesem Falle eine effiziente Ätzstopp- oder Ätzsteuerschicht 335 für die weitere Bearbeitung des Bauelements 300 vorgesehen werden. In noch anderen anschaulichen Ausführungsformen wird die Plasmabehandlung 305 nach dem Ätzprozess 304 und dem Entfernen der Ätzmaske 303 ausgeführt, wobei der freigelegte Bereich der Ätzstoppschicht 331 für die gewünschte Integrität des Transistors 350b sorgt. 3d schematically shows the semiconductor device 300 after the deposition of the layer 330 according to still further illustrative embodiments. As shown, a plasma assisted oxidation process 305 executed to a surface area of the layer 330 to convert into an oxidized region, for example made of silicon dioxide, with a thickness of a few nanometers depending on the parameters of the plasma environment 305 is. Thus, also in this case, an efficient etch stop or etching control layer 335 for further processing of the device 300 be provided. In still other illustrative embodiments, the plasma treatment becomes 305 after the etching process 304 and removing the etch mask 303 wherein the exposed area of the etch stop layer 331 for the desired integrity of the transistor 350b provides.

Danach wird die weitere Bearbeitung fortgesetzt, indem beispielsweise ein weiteres verformungsinduzierendes Material abgeschieden wird, das einen inneren Verspannungspegel aufweist, um damit das Leistungsverhalten des Transistors 350b zu verbessern.Thereafter, further processing is continued, for example, by depositing another strain-inducing material having an internal stress level to thereby enhance the performance of the transistor 350b to improve.

3e zeigt schematisch das Halbleiterbauelement 300 in einer Fertigungsphase, in der eine zweite verformungsinduzierende Schicht 340 selektiv über dem Transistor 350b vorgesehen ist. Zu diesem Zweck wird die Schicht 340 abgeschieden und anschließend selektiv von oberhalb der Transistoren 350a entfernt, wobei in einigen anschaulichen Ausführungsformen die zusätzliche Ätzstopp- oder Ätzsteuerschichten 331a oder 335 zum Steuern des jeweiligen Ätzprozesses verwendet werden und ein moderat dünnes Ätzstopp- oder Ätzsteuerschichtmaterial beispielsweise in Form der Schichten 331a, 335 bereitstellen, die zu geringeren durch Abscheidung hervorgerufenen Oberflächenunregelmäßigkeiten führen, da auch das Abscheiden des Materials 340 bei anspruchsvollen Oberflächentopographien auszuführen ist, wobei insbesondere der Abtragungsprozess über den Transistoren 350a zu Unregelmäßigkeiten führen kann, die einen Kontaktausfall in einer späteren Fertigungsphase verursachen können. Somit sorgt auch in diesem Falle eine geringere Dicke eines Ätzstoppmaterials, etwa der Schichten 331a, 335, für eine insgesamt höhere Prozesseffizienz. 3e schematically shows the semiconductor device 300 in a manufacturing phase, in which a second deformation-inducing layer 340 selectively across the transistor 350b is provided. For this purpose, the layer 340 deposited and then selectively from above the transistors 350a in some illustrative embodiments, the additional etch stop or etch control layers 331a or 335 for controlling the respective etching process and a moderately thin etch stop or etch control layer material, for example in the form of the layers 331a . 335 provide, resulting in lower deposition caused by surface irregularities, as well as the deposition of the material 340 in challenging surface topographies in particular, the ablation process over the transistors 350a can lead to irregularities that can cause a loss of contact at a later stage of production. Thus, also in this case provides a smaller thickness of an etch stop material, such as the layers 331a . 335 , for an overall higher process efficiency.

3f zeigt schematisch das Halbleiterbauelement 300 in einer weiter fortgeschrittenen Fertigungsphase. Wie gezeigt, ist ein weiteres dielektrisches Material 321 über den Schichten 330 und 340 ausgebildet, wodurch in Verbindung mit den Schichten 330, 340 und dem dielektrischen Ätzstoppmaterial 331 mit großem ε ein dielektrisches Zwischenschichtmaterial 320 gebildet ist. Es sollte beachtet werden, dass das Material 321 zwei oder mehr unterschiedliche Materialien aufweisen kann und das auch weitere Ätzstopp- oder Ätzsteuermaterialien vorhanden sein können, beispielsweise in Form der Schichten 331a, 335, die lokal über den Transistoren 350a ausgebildet sind. Ferner sind erste Bereiche von Kontaktöffnungen 322 in dem Material 321 gebildet, wobei ein Bereich 322 sich in einer mehr oder weniger ausgeprägten Maße in die Schichten 330, 340 abhängig von der Gesamtmaterialzusammensetzung der Materialien 322, 330 und 340 erstreckt. D. h., in einigen Fallen besitzt eine der Schichten oder besitzen beide Schichten 330, 340 ähnliche Ätzeigenschaften, wodurch reduzierte Ätzstoppeigenschaften bereitgestellt werden, was jedoch akzeptabel ist, da die Integrität der Transistorbauelemente durch das dielektrische Material 331 mit großem ε sichergestellt ist. Somit kann im Gegensatz zu konventionellen Lösungen ein hohes Maß an Flexibilität bei der Auswahl geeigneter Materialien für die Schicht 330, 340 und 322 erreicht werden. Das Material 321 und die Kontaktöffnungen 322 können auf der Grundlage eines beliebigen geeigneten Abscheide- und Strukturierungsschemas gebildet werden, wie dies auch zuvor erläutert ist. 3f schematically shows the semiconductor device 300 in a more advanced manufacturing phase. As shown, is another dielectric material 321 over the layers 330 and 340 formed, which in conjunction with the layers 330 . 340 and the dielectric etch stop material 331 with high ε a dielectric interlayer material 320 is formed. It should be noted that the material 321 may have two or more different materials and that also other Ätzstopp- or Ätzsteuermaterialien may be present, for example in the form of layers 331a . 335 locally over the transistors 350a are formed. Furthermore, first areas of contact openings 322 in the material 321 formed, with an area 322 to a greater or lesser degree in the layers 330 . 340 depending on the overall material composition of the materials 322 . 330 and 340 extends. That is, in some cases, one of the layers has or has both layers 330 . 340 Similar etch characteristics, which provide reduced etch stop characteristics, but this is acceptable because the integrity of the transistor devices through the dielectric material 331 is ensured with a large ε. Thus, in contrast to conventional solutions, a high degree of flexibility in the selection of suitable materials for the layer 330 . 340 and 322 be achieved. The material 321 and the contact openings 322 can be formed on the basis of any suitable deposition and patterning scheme, as previously explained.

3g zeigt schematisch das Halbleiterbauelement 300 in einem weiter fortgeschrittenen Herstellungsstadium. Wie gezeigt, erstrecken sich die Öffnungen 322 bis hinab zu dem Dielektrikum 331 mit großem ε, was auf der Grundlage eines beliebigen geeigneten Rezepts erreicht werden kann, beispielsweise mit einem Rezept, das gestaltet ist, durch Siliziumnitridmaterial zu ätzen, wenn die Schichten 330, 340 im Wesentlichen aus verspanntem Siliziumnitridmaterial aufgebaut sind. In anderen Fällen können, wie zuvor erläutert ist, die Öffnungen 322 in einer einzelnen Ätzsequenz gebildet werden, um damit durch das Material 321 und die Schichten 330, 340 auf, der Grundlage eines im Wesentlichen nicht selektiven Ätzrezepts zu ätzen. Des weiteren wird das Bauelement 300 einem Ätzprozess 306 unterzogen der gestaltet ist, das dielektrische Ätzstoppmaterial mit großem ε 331 zu öffnen, um damit die Öffnungen 322 bis hinab zu den Kontaktbereichen 356 zu vertiefen, die auf unter schiedlichen Höhenniveaus angeordnet sind, beispielsweise entsprechend der Höhe der Gateelektroden 351 und der Drain- und Sourcegebiete 354. Der Ätzprozess 306 wird in Form eines Sputter-Ätzprozesses vorgesehen, in welchem eine geeignete Sorte, etwa Argon, verwendet wird, um freigelegte Bereiche des dielektrischen Materials 331 mit großem ε zu entfernen. Während des Sputterprozesses 306 wird die entsprechende Sorte die Sputterumgebung freigesetzt oder kann an Seitenwänden der Kontaktöffnungen 322 erneut sputtern, wobei jedoch die weitere Bearbeitung nicht unerwünscht beeinflusst wird. Es sollte beachtet werden, das der Prozess 306 auf Grundlage einer Ätzmaske bei Bedarf ausgeführt werden kann, während in anderen Fällen auf Grund der geringen Dicke der Schicht 331 eine Ätzschädigung in dem Material 321 akzeptabel ist. Danach werden die Kontaktöffnungen 322 mit einem gewünschten leitenden Material, etwa Wolfram, Kupfer, Aluminium, und dergleichen, abhängig von der gesamten Prozessstrategie gefüllt, wobei typischerweise ein geeignetes Barrierenmaterial ebenfalls vorgesehen wird. Zu diesem Zweck können gut etablierte Prozesstechniken eingesetzt werden. 3g schematically shows the semiconductor device 300 in a more advanced manufacturing stage. As shown, the openings extend 322 down to the dielectric 331 with large ε, which can be achieved on the basis of any suitable recipe, for example with a recipe designed to etch through silicon nitride material when the layers 330 . 340 are essentially constructed of strained silicon nitride material. In other cases, as previously explained, the openings 322 are formed in a single etch sequence so as to pass through the material 321 and the layers 330 . 340 to etch on the basis of a substantially non-selective etch recipe. Furthermore, the component 300 an etching process 306 having been designed, the high-k dielectric-stoppage material 331 to open the openings 322 down to the contact areas 356 to deepen, which are arranged at different height levels, for example, according to the height of the gate electrodes 351 and the drain and source regions 354 , The etching process 306 is provided in the form of a sputter etching process in which a suitable species, such as argon, is used to expose exposed areas of the dielectric material 331 with large ε to remove. During the sputtering process 306 the corresponding species will release the sputtering environment or may be on sidewalls of the contact openings 322 sputter again, but the further processing is not affected undesirable. It should be noted that the process 306 can be performed on the basis of an etching mask if necessary, while in other cases due to the small thickness of the layer 331 an etch damage in the material 321 is acceptable. After that, the contact openings 322 filled with a desired conductive material, such as tungsten, copper, aluminum, and the like, depending on the overall process strategy, with typically a suitable barrier material also being provided. Well-established process techniques can be used for this purpose.

Es gilt also: Die vorliegende Erfindung stellt Techniken bereit, in denen dielektrische Materialien mit großem ε zur Herstellung einer Kontaktebene eines Halbleiterbauelements eingesetzt und anschließend durch Sputter-Prozesse strukturiert werden, d. h. diese Materialien werden zur Herstellung eines dielektrischen Zwischenschichtmaterials und entsprechender Kontaktöffnungen eingesetzt, wobei das hohe Ätzstoppvermögen der dielektrischen Materialien mit großem ε das Vorsehen der Ätzstoppmaterialien mit geringerer Dicke im Vergleich zu konventionellen Strategien ermöglichen, wodurch das Abscheiden nachfolgender Materialien verbessert wird und wodurch ein höheres Maß an Flexibilität bei der Bereitstellung dielektrischer Zwischenschichtmaterialien geschaffen wird. In einigen anschaulichen Ausführungsformen wird ein dielektrisches Material mit großem ε mit Hafnium als eine effiziente Ätzstoppschicht eingesetzt.It Thus, the present invention provides techniques in which high-k dielectric materials for producing a contact plane a semiconductor device used and then by Sputter processes are structured, d. H. these materials will be for producing a dielectric interlayer material and corresponding contact openings used, the high Ätzstoppvermögen the high-k dielectric materials provide the etch stop materials with a smaller thickness compared to conventional strategies enable, whereby the deposition of subsequent materials is improved and causing a higher Measure flexibility in providing interlayer dielectric materials is created. In some illustrative embodiments, a dielectric is used High ε material with hafnium as an efficient etch stop layer used.

Claims (14)

Verfahren mit: Bilden einer dielektrischen Schicht mit einem ε größer 10 (331) über einem ersten Transistor (350a) und einem zweiten Transistor (350b) eines Halbleiterbauelements (300); Bilden einer ersten verformungsinduzierenden Schicht (330) auf der dielektrischen Schicht mit einem ε größer 10 (331), wobei die erste verformungsinduzierende Schicht (330) eine Verformung in einem Kanalgebiet (353) des ersten und des zweiten Transistors (250, 350a, 350b) erzeugt; Entfernen eines Teils der ersten verformungsinduzierenden Schicht (330) von oberhalb des zweiten Transistors (350b) unter Anwendung der dielektrischen Schicht mit einem ε größer 10 (331) als ein Ätzstoppmaterial, Bilden einer Öffnung (322) in der ersten verformungsinduzierenden Schicht (330) und Entfernen eines Teils der dielektrischen Schicht mit einem ε größer 10, der durch die Öffnung (322) freigelegt ist, indem ein Sputter-Ätzprozess (306) ausgeführt wird.Method comprising: forming a dielectric layer having an ε greater than 10 ( 331 ) over a first transistor ( 350a ) and a second transistor ( 350b ) of a semiconductor device ( 300 ); Forming a first strain-inducing layer ( 330 ) on the dielectric layer with an ε greater than 10 ( 331 ), wherein the first strain-inducing layer ( 330 ) a deformation in a channel region ( 353 ) of the first and the second transistor ( 250 . 350a . 350b ) generated; Removing part of the first deformation inductive layer ( 330 ) from above the second transistor ( 350b ) using the dielectric layer with an ε greater than 10 ( 331 ) as an etch stop material, forming an opening ( 322 ) in the first strain-inducing layer ( 330 ) and removing a portion of the dielectric layer having an ε greater than 10 passing through the opening ( 322 ) is exposed by a sputter etching process ( 306 ) is performed. Verfahren nach Anspruch 1, wobei Bilden der dielektrischen Schicht mit einem ε größer 10 umfasst: Abscheiden der dielektrischen Schicht mit einem ε größer 10 mit einer Dicke von 10 nm oder weniger.The method of claim 1, wherein forming the dielectric Layer with an ε greater than 10 includes: deposition the dielectric layer having an ε greater than 10 with a thickness of 10 nm or less. Verfahren nach Anspruch 1, wobei die dielektrische Schicht mit einem ε größer 10 Hafnium und/oder Tantal und/oder Strontium und/oder Zirkon aufweist.The method of claim 1, wherein the dielectric Layer with an ε greater than 10 hafnium and / or Tantalum and / or strontium and / or zirconium has. Verfahren nach Anspruch 3, wobei die dielektrische Schicht mit einem ε größer 10 Hafniumoxid aufweist.The method of claim 3, wherein the dielectric Layer having a ε greater than 10 hafnium oxide. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten dielektrischen Schicht mit einem ε größer 10 (331a) auf der ersten verformungsinduzierenden Schicht (230, 330) vor dem Entfernen des Teils der ersten verformungsinduzierenden Schicht.The method of claim 1, further comprising: forming a second dielectric layer having an ε greater than 10 ( 331a ) on the first strain-inducing layer ( 230 . 330 ) before removing the part of the first strain-inducing layer. Verfahren nach Anspruch 5, das ferner umfasst: Bilden einer zweiten verformungsinduzierenden Schicht (340) über dem ersten und dem zweiten Transistor (350b) und Entfernen eines Teils der zweiten verformungsinduzierenden Schicht (340) von oberhalb des ersten Transistors (350a) unter Anwendung der zweiten dielektrischen Schicht mit einem ε größer 10 (331a) als einen Ätzstopp.The method of claim 5, further comprising: forming a second strain-inducing layer ( 340 ) over the first and second transistors ( 350b ) and removing a part of the second strain-inducing layer ( 340 ) from above the first transistor ( 350a ) using the second dielectric layer with an ε greater than 10 ( 331a ) as an etch stop. Verfahren nach Anspruch 5, wobei für die zweite dielektrische Schicht mit einem ε größer 10 die Dicke 10 nm oder weniger beträgt.The method of claim 5, wherein for the second dielectric layer with ε greater than 10 the thickness 10 nm or less. Verfahren nach Anspruch 5, wobei die zweite dielektrische Schicht mit einem ε größer 10 Hafnium und/oder Tantal und/oder Strontium und/oder Zirkon aufweist.The method of claim 5, wherein the second dielectric Layer with an ε greater than 10 hafnium and / or Tantalum and / or strontium and / or zirconium has. Verfahren mit: Bilden einer dielektrischen Zwischenschicht (320) über einem Transistor (350a, 250b) eines Halbleiterbauelements (300) und einer Kontaktöffnung (322) in der dielektrischen Zwischenschicht durch Ausführen mehrerer Abscheideprozesse und mehrerer Ätzprozesses einschließlich eines Sputter-Ätzprozesses (306), wobei das dielektrische Zwischenschichtmaterial (320) eine dielektrische Materialschicht mit einem ε größer 10 (331) aufweist; Verwenden der dielektrischen Materialschicht mit einem ε größer 10 (331) als ein Ätzstoppmaterial während mindestens einem (304) der mehreren Ätzprozesse und Ätzen durch die dielektrische Materialschicht mit einem ε größer 10 durch Ausführen des Sputter-Ätzprozesses (306).Method with: forming a dielectric interlayer ( 320 ) over a transistor ( 350a . 250b ) of a semiconductor device ( 300 ) and a contact opening ( 322 ) in the dielectric interlayer by performing a plurality of deposition processes and a plurality of etching processes including a sputtering etching process ( 306 ), the dielectric interlayer material ( 320 ) a dielectric material layer with an ε greater than 10 ( 331 ) having; Using the dielectric material layer with an ε greater than 10 ( 331 ) as an etch stop material during at least one ( 304 ) of the plurality of etching processes and etching through the dielectric material layer having an ε greater than 10 by performing the sputtering etching process (FIG. 306 ). Verfahren nach Anspruch 9, das ferner umfasst: Bilden der dielektrischen Materialschicht mit einem ε größer 10 auf dem Transistor und Abscheiden eines oder mehrerer weiterer dielektrischer Materialien, um die dielektrische Zwischenschicht zu bilden.The method of claim 9, further comprising: forming the dielectric material layer with an ε greater than 10 on the transistor and Depositing one or more further dielectric materials, to form the dielectric interlayer. Verfahren nach Anspruch 9, das ferner umfasst: Bilden einer verformungsinduzierenden Schicht (330, 340) als Teil der dielektrischen Zwischenschicht, wobei die verformungsinduzierende Schicht eine Verformung in einem Kanalgebiet des Transistors erzeugt.The method of claim 9, further comprising: forming a strain-inducing layer ( 330 . 340 ) as part of the interlayer dielectric, wherein the strain-inducing layer generates a strain in a channel region of the transistor. Verfahren nach Anspruch 11, das ferner umfasst: Entfernen eines Teils der verformungsinduzierenden Schicht durch mindestens einen (304) der mehreren Ätzprozesse.The method of claim 11, further comprising: removing a portion of the strain-inducing layer by at least one ( 304 ) of the multiple etching processes. Verfahren nach Anspruch 12, das ferner umfasst: Bilden einer zweiten verformungsinduzierenden Schicht (340) als Teil der dielektrischen Zwischenschicht, wobei die zweite verformungsinduzierende Schicht eine andere Art an Verformung im Vergleich zu der ersten verformungsinduzierenden Schicht hervorruft.The method of claim 12, further comprising: forming a second strain-inducing layer ( 340 ) as part of the dielectric interlayer, the second strain-inducing layer causing a different type of deformation as compared to the first strain-inducing layer. Verfahren nach Anspruch 13, das ferner umfasst: Bilden einer zweiten dielektrischen Materialschicht mit einem ε größer 10 (331a) und Verwenden der zweiten dielektrischen Materialschicht mit einem ε größer 10 als ein Ätzsteuermaterial zum Strukturieren der zweiten verformungsinduzierenden Schicht (340) während eines der mehreren Ätzprozesse.The method of claim 13, further comprising: forming a second dielectric material layer having an ε greater than 10 ( 331a ) and using the second dielectric material layer having an ε greater than 10 as an etching control material for patterning the second strain-inducing layer (FIG. 340 ) during one of the multiple etching processes.
DE102008011926A 2008-02-29 2008-02-29 A method of making a high-k layer of lesser thickness for patterning a dielectric material in the fabrication of transistors Active DE102008011926B4 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102008011926A DE102008011926B4 (en) 2008-02-29 2008-02-29 A method of making a high-k layer of lesser thickness for patterning a dielectric material in the fabrication of transistors

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102008011926A DE102008011926B4 (en) 2008-02-29 2008-02-29 A method of making a high-k layer of lesser thickness for patterning a dielectric material in the fabrication of transistors

Publications (2)

Publication Number Publication Date
DE102008011926A1 DE102008011926A1 (en) 2009-09-10
DE102008011926B4 true DE102008011926B4 (en) 2010-11-25

Family

ID=40936102

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008011926A Active DE102008011926B4 (en) 2008-02-29 2008-02-29 A method of making a high-k layer of lesser thickness for patterning a dielectric material in the fabrication of transistors

Country Status (1)

Country Link
DE (1) DE102008011926B4 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010030756B4 (en) * 2010-06-30 2013-06-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg An exchange gate method for large ε metal gate stacks based on a non-conforming inter-layer dielectric

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657267B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Semiconductor device and fabrication technique using a high-K liner for spacer etch stop
DE102004052578A1 (en) * 2004-10-29 2006-05-11 Advanced Micro Devices, Inc., Sunnyvale A technique of creating a different mechanical strain in different channel regions by forming an etch stop layer stack of differently modified internal stress
US7141511B2 (en) * 2004-04-27 2006-11-28 Micron Technology Inc. Method and apparatus for fabricating a memory device with a dielectric etch stop layer
DE102006029235A1 (en) * 2005-07-07 2007-02-15 Infineon Technologies Ag Piezoelectric stress liner for mass and SOI
US20070262391A1 (en) * 2006-05-09 2007-11-15 Kabushiki Kaisha Toshiba Semiconductor device that is advantageous in complex stress engineering and method of manufacturing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6657267B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Semiconductor device and fabrication technique using a high-K liner for spacer etch stop
US7141511B2 (en) * 2004-04-27 2006-11-28 Micron Technology Inc. Method and apparatus for fabricating a memory device with a dielectric etch stop layer
DE102004052578A1 (en) * 2004-10-29 2006-05-11 Advanced Micro Devices, Inc., Sunnyvale A technique of creating a different mechanical strain in different channel regions by forming an etch stop layer stack of differently modified internal stress
DE102006029235A1 (en) * 2005-07-07 2007-02-15 Infineon Technologies Ag Piezoelectric stress liner for mass and SOI
US20070262391A1 (en) * 2006-05-09 2007-11-15 Kabushiki Kaisha Toshiba Semiconductor device that is advantageous in complex stress engineering and method of manufacturing the same

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
WILLIAMS,K.R. (et. al.): Etch Rates for Micromachining Processing Part II. In: IEEE J. Microelectromechanical Systems, 2003, Bd. 12 Nr. 6, S. 761-777
WILLIAMS,K.R. (et. al.): Etch Rates for Micromachining Processing Part II. In: IEEE J. Microelectromechanical Systems, 2003, Bd. 12 Nr. 6, S. 761-777 *

Also Published As

Publication number Publication date
DE102008011926A1 (en) 2009-09-10

Similar Documents

Publication Publication Date Title
DE102007046849B4 (en) Method of making large-gate-gate structures after transistor fabrication
DE102007041207B4 (en) CMOS device with gate insulation layers of different type and thickness and method of manufacture
DE102007041210B4 (en) A method of stress transfer in an interlayer dielectric by providing a strained dielectric layer over a stress neutral dielectric material in a semiconductor device and corresponding semiconductor device
DE102007025342B4 (en) Higher transistor performance of N-channel transistors and P-channel transistors by using an additional layer over a double-stress layer
DE102009031110B4 (en) Improved cover layer integrity in a gate stack by using a hard mask for spacer patterning
DE102007052051B4 (en) Fabrication of stress-inducing layers over a device region with dense transistor elements
DE102010063296B4 (en) Production method with reduced STI topography for semiconductor devices with a channel semiconductor alloy
DE102010001406B4 (en) An exchange gate method based on an early deposited workfunction metal
DE102009046245A1 (en) Metal gate electrode structures with large ε, which are made by a separate removal of Platzhaltermaterialien in transistors of different conductivity
DE102008030852A1 (en) Contact trenches for better stress transmission in transistors with a small distance
DE102009055393B4 (en) Method for manufacturing and semiconductor device with better confinement of sensitive materials of a metal gate electrode structure with high ε
DE102008059648B4 (en) Greater ε gate electrode structure formed after transistor fabrication using a spacer
DE102010063907B4 (en) A method of overcoating gate electrode structures after selectively forming a strain-inducing semiconductor material
DE102009039521A1 (en) Improved filling conditions in an exchange gate process using a tensioned topcoat
DE102005046978B4 (en) A technique for creating a different mechanical deformation by forming a contact etch stop layer stack with differently modified internal stress
DE102007004862A1 (en) A method of fabricating Si-Ge containing drain / source regions in lower Si / Ge loss transistors
DE102010064291B4 (en) A method of fabricating transistors having large ε metal gate electrode structures with a polycrystalline semiconductor material and embedded strain-inducing semiconductor alloys
DE102009046260B4 (en) Semiconductor component and method for producing a semiconductor component
DE102006030264A1 (en) Semiconductor component for producing integrated circuits and transistors with deformed channel area, has crystalline semiconductor area, gate electrode, which is formed in crystalline semiconductor area with channel area
DE102011080440B4 (en) A method of fabricating large gate metal gate electrode structures by early capping
DE102006041006B4 (en) A method of patterning contact etch stop layers using a planarization process
DE102008059649B4 (en) Less topography-dependent irregularities during structuring of two different stress-inducing layers in the contact plane of a semiconductor device
DE102008011813A1 (en) Semiconductor device with a metal gate stack with reduced height and method of manufacturing the device
DE102007057688B4 (en) A method of making a strained interlayer dielectric semiconductor device using an etch control interlayer of increased thickness
DE102011090169A1 (en) Structuring of sensitive metal-containing layers with increased mask material adhesion by providing a modified surface layer

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
R020 Patent grant now final

Effective date: 20110225

R081 Change of applicant/patentee

Owner name: ADVANCED MICRO DEVICES, INC., SANTA CLARA, US

Free format text: FORMER OWNERS: ADVANCED MICRO DEVICES, INC., SUNNYVALE, CALIF., US; AMD FAB 36 LIMITED LIABILITY COMPANY & CO. KG, 01109 DRESDEN, DE

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE