DE102007035857A1 - Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer - Google Patents

Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer Download PDF

Info

Publication number
DE102007035857A1
DE102007035857A1 DE102007035857A DE102007035857A DE102007035857A1 DE 102007035857 A1 DE102007035857 A1 DE 102007035857A1 DE 102007035857 A DE102007035857 A DE 102007035857A DE 102007035857 A DE102007035857 A DE 102007035857A DE 102007035857 A1 DE102007035857 A1 DE 102007035857A1
Authority
DE
Germany
Prior art keywords
layer
structured
mask
conductive
resistance change
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102007035857A
Other languages
German (de)
Inventor
Philippe Blanchard
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
Altis Semiconductor SNC
Original Assignee
Qimonda AG
Altis Semiconductor SNC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qimonda AG, Altis Semiconductor SNC filed Critical Qimonda AG
Priority to DE102007035857A priority Critical patent/DE102007035857A1/en
Publication of DE102007035857A1 publication Critical patent/DE102007035857A1/en
Ceased legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0009RRAM elements whose operation depends upon chemical change
    • G11C13/0011RRAM elements whose operation depends upon chemical change comprising conductive bridging RAM [CBRAM] or programming metallization cells [PMCs]
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/82Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays the switching components having a common active material layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • H10N70/235Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect between different crystalline phases, e.g. cubic and hexagonal
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/24Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies
    • H10N70/245Multistable switching devices, e.g. memristors based on migration or redistribution of ionic species, e.g. anions, vacancies the species being metal cations, e.g. programmable metallization cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8416Electrodes adapted for supplying ionic species
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8822Sulfides, e.g. CuS
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Switching materials based on at least one element of group IIIA, IVA or VA, e.g. elemental or compound semiconductors
    • H10N70/8845Carbon or carbides
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/79Array wherein the access device being a transistor

Landscapes

  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)

Abstract

The method for fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, which comprises a resistance change layer and a first conducting layer, which is intended on or above the resistance change layer, and structuring the second conducting layer in such a manner that a part of the structured second conducting layer is useful as via for contacting the first conducting layer. An insulating layer is intended on the structured second conducting layer. The method for fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, which comprises a resistance change layer and a first conducting layer, which is intended on or above the resistance change layer, and structuring the second conducting layer in such a manner that a part of the structured second conducting layer is useful as via for contacting the first conducting layer. An insulating layer is intended on the structured second conducting layer, and the thickness of the insulating layer is reduced using a chemical-mechanical polishing process, until the vertical level of the top side of the second insulating layer is same or lower than the vertical level of the top sides of the structured second conducting layer. The compound structure is structured, after the second conducting layer is structured. The second conducting layer is separated using a physical vapor deposition-process at 300[deg] C. The structuring of the second conducting layer is implemented, in that a first mask layer is formed on the second conducting layer and is structured using a lithography process. The second conducting layer is structured, where the structured first mask layer acts as mask for structuring the second conducting layer. The structuring of the compound structure is carried out, in which a second mask layer is deposited on the compound structure and is structured using a lithography process. The compound structure is structured, where the structured second mask layer acts as mask for structuring the compound structure. The second mask layer consists of an insulating material. The second mask layer and the insulating layer are made of same material. The insulating layer is formed on the structured second mask layer. Independent claims are included for: (1) an integrated circuit with a memory device; (2) a cell with a compound structure and a conducting via; (3) a memory module; and (4) a computer system.

Description

Die Erfindung betrifft ein Verfahren zum Herstellen einer Speichervorrichtung, eine Speichervorrichtung, eine Zelle, eine integrierte Schaltung, ein Speichermodul sowie ein Computersystem.The The invention relates to a method for producing a memory device, a memory device, a cell, an integrated circuit, a memory module and a computer system.

Der Erfindung zur Grunde liegende Aufgabe ist, ein Verfahren zum Herstellen einer integrierten Schaltung mit einer Widerstandsänderungsspeichervorrichtung anzugeben, das es ermöglicht, integrierte Schaltungen mit erhöhter Zuverlässigkeit herzustellen.Of the Invention underlying task is a method for manufacturing an integrated circuit having a resistance change memory device indicate that it allows integrated circuits with increased reliability manufacture.

Zur Lösung dieser Aufgabe stellt die Erfindung ein Verfahren zur Herstellung einer integrierten Schaltung gemäß Patentanspruch 1 bereit. Weiterhin stellt die Erfindung ein Verfahren zum Herstellen einer integrieren Schaltung gemäß Patentanspruch 14 bereit. Weiterhin stellt die Erfindung eine integrierte Schaltung gemäß Patentanspruch 19 sowie eine Zelle gemäß Patentanspruch 29 bereit. Schließlich stellt die Erfindung ein Speichermodul gemäß Patentanspruch 30 sowie ein Computersystem gemäß Patentanspruch 32 bereit. Vorteilhafte Ausgestaltungen bzw. Weiterbildungen des Erfindungsgedankens finden sich in den Unteransprüchen.to solution To this end, the invention provides a method for the production an integrated circuit according to claim 1 ready. Furthermore, the invention provides a method for manufacturing an integrated circuit according to claim 14 ready. Furthermore, the invention provides an integrated circuit according to claim 19 and a cell according to claim 29 ready. After all the invention provides a memory module according to claim 30 and a Computer system according to claim 32 ready. Advantageous embodiments or further developments of The idea of the invention can be found in the subclaims.

Der Einfachheit halber sei im Folgenden angenommen, dass die Widerstandsänderungsspeichervorrichtung eine Festkörperelektrolytspeichervorrichtung, und die Widerstandänderungsschicht eine Festkörperelektrolytschicht ist. Jedoch ist die Erfindung auch auf andere Widerstandsänderungsspeichervorrichtungen sowie Widerstandsänderungsschichten anwendbar.Of the For the sake of simplicity, it is assumed below that the resistance change memory device a solid state electrolyte storage device, and the resistance change layer a Solid electrolyte layer is. However, the invention is also applicable to other resistance change memory devices and resistance change layers applicable.

Gemäß einer Ausführungsform der Erfindung wird ein Verfahren zum Herstellen einer Festkörperelektrolytspeichervorrichtung bereitgestellt, wobei das Verfahren aufweist: Bereitstellen einer Verbundsstruktur mit einer Festkörperelektrolytschicht und einer ersten Leitungsschicht, die auf oder oberhalb der Festkörperelektrolytschicht vorgesehen ist; Ausbilden einer zweiten Leitungsschicht auf oder oberhalb der ersten Leitungsschicht; und Strukturieren der zweiten Leitungsschicht derart, dass zumindest ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist.According to one embodiment The invention relates to a method for producing a solid state electrolyte storage device provided, the method comprising: providing a Composite structure with a solid electrolyte layer and a first conductive layer disposed on or above the solid electrolyte layer is provided; Forming a second conductive layer on or above the first conductive layer; and structuring the second conductive layer such that at least a part of the structured second conductive layer is usable as a via for contacting the first conductive layer.

Gemäß einer Ausführungsform der Erfindung wird ein Verfahren zum Herstellen einer integrieren Schaltung mit einer Festkörperelektrolytspeichervorrichtung bereitgestellt, wobei das Verfahren aufweist: Bereitstellen einer Verbundsstruktur mit einer Festkörperelektrolytschicht und einer ersten Leitungsschicht, die auf oder oberhalb der Festkörperelektrolytschicht vorgesehen ist; Ausbilden einer zweiten Leitungsschicht auf oder oberhalb der ersten Leitungsschicht; und Strukturieren der zweiten Leitungsschicht derart, dass zumindest ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist.According to one embodiment The invention will integrate a method for producing a Circuit with a solid state electrolyte storage device provided, the method comprising: providing a Composite structure with a solid electrolyte layer and a first conductive layer disposed on or above the solid electrolyte layer is provided; Forming a second conductive layer on or above the first conductive layer; and structuring the second Conductive layer such that at least a part of the structured second conductive layer as a via for contacting the first conductive layer is usable.

Gemäß dieser Ausführungsform werden Vias zum Kontaktieren der ersten Leitungsschicht ausgebildet, indem die zweite Leitungsschicht, die auf der ersten Leitungsschicht aufgebracht wurde, strukturiert wird. Dann können Gebiete zwischen den verbleibenden Teilen der zweiten Leitungsschicht mit isolierendem Material gefüllt werden. Verglichen mit Standardverfahren zum Erzeugen von Vias (gemäß den Standardverfahren wird eine Isolationsschicht auf der ersten Leitungsschicht abgeschieden; dann werden innerhalb der Isolationsschicht Trenches erzeugt; schließlich werden die Trenches mit leitendem Material gefüllt, wobei die gefüllten Trenches die Vias darstellen), hat das Verfahren zum Erzeugen der Vias gemäß dieser Ausführungsform den Effekt, dass eine Delaminierung der Festkörperelektrolytschicht aufgrund der Erzeugung der Vias weniger wahrscheinlich ist. Damit können die Qualität und die Reproduzierbarkeit der Festkörperelektrolytspeichervorrichtungen, die gemäß dem Verfahren dieser Ausführungsform hergestellt werden, verbessert werden.According to this embodiment vias are formed for contacting the first conductor layer, by placing the second conductor layer on top of the first conductor layer was applied, is structured. Then areas between the remaining Parts of the second conductive layer are filled with insulating material. Compared with standard methods for creating vias (according to standard methods an insulating layer is deposited on the first conductive layer; then trenches are generated within the isolation layer; finally become the trenches filled with conductive material, with the filled trenches representing the vias) has the method of generating the vias according to this embodiment the effect that delamination of the solid electrolyte layer due the generation of vias is less likely. So that can quality and the reproducibility of solid state electrolyte storage devices, the according to the procedure this embodiment can be improved.

Gemäß einer Ausführungsform der Erfindung wird eine Isolationsschicht auf der strukturierten zweiten Leitungsschicht bereitstellt. Die Dicke der Isolationsschicht wird reduziert, bis das vertikale Niveau der Oberseite der zweiten Isolationsschicht unterhalb des vertikalen Niveaus der Oberseite der strukturierten zweiten Leitungsschicht fällt oder damit übereinstimmt.According to one embodiment The invention provides an insulating layer on the structured second Provides conductive layer. The thickness of the insulation layer is reduces until the vertical level of the top of the second insulation layer below the vertical level of the top of the textured second conductive layer falls or matches.

Gemäß einer Ausführungsform der Erfindung wird die Verbundsstruktur nach Strukturieren der zweiten Leitungsschicht strukturiert. Auf diese Art und Weise kann die Gefahr einer Delaminierung der Festkörperelektrolytschicht weiter verringert werden.According to one embodiment of the invention, the composite structure after structuring the second Structured conductor layer. In this way the danger can be a delamination of the solid electrolyte layer be further reduced.

Gemäß einer Ausführungsform der Erfindung weist die zweite Leitungsschicht Wolfram (W) auf bzw. besteht hieraus.According to one embodiment the invention, the second conductive layer tungsten (W) on or consists of this.

Gemäß einer Ausführungsform der Erfindung wird die zweite Leitungsschicht abgeschieden unter Verwendung eines PVD (physikalische Dampfabscheidung)-Prozesses. Jedoch können auch andere Abscheidverfahren wie CVD (chemische Dampfabscheidung) zum Einsatz kommen.According to one embodiment According to the invention, the second conductive layer is deposited under Using a PVD (Physical Vapor Deposition) process. However, you can also other deposition methods such as CVD (chemical vapor deposition) be used.

Gemäß einer Ausführungsform der Erfindung wird der PVD-Prozess bei Temperaturen unterhalb von 300°C ausgeführt.According to one embodiment The invention is the PVD process carried out at temperatures below 300 ° C.

Gemäß einer Ausführungsform der Erfindung weist die Festkörperelektrolytschicht Chalcogenid auf bzw. besteht hieraus.According to one embodiment The invention has the solid electrolyte layer Chalcogenide on or consists of this.

Gemäß einer Ausführungsform der Erfindung wird die Dicke der Isolationsschicht verringert unter Verwendung eines chemisch-mechanischen Polierprozesses (CMP).According to one embodiment The invention reduces the thickness of the insulating layer Use of a chemical-mechanical polishing process (CMP).

Gemäß einer Ausführungsform der Erfindung weist das Material der zweiten Maskenschicht isolierendes Material auf bzw. besteht hieraus, beispielsweise Oxid.According to one embodiment According to the invention, the material of the second mask layer is insulating Material on or consists of, for example, oxide.

Gemäß einer Ausführungsform der Erfindung weist das Material der zweiten Maskenschicht das gleiche Material auf wie das der Isolationsschicht bzw. besteht hieraus.According to one embodiment According to the invention, the material of the second mask layer is the same Material on like that of the insulation layer or consists of it.

Gemäß einer Ausführungsform der Erfindung wird die Isolationsschicht auf der strukturierten zweiten Maskenschicht ausgebildet.According to one embodiment the invention, the insulating layer on the structured second Mask layer formed.

Gemäß einer Ausführungsform der Erfindung wird das Strukturieren der zweiten Leitungsschicht ausgeführt, indem eine erste Maskenschicht auf der zweiten Leitungsschicht ausgebildet wird, die erste Maskenschicht unter Verwendung eines Lithographieprozesses strukturiert wird, und die zweite Leitungsschicht strukturiert wird, wobei die strukturierte erste Maskenschicht als Maske zum Strukturieren der zweiten Leitungsschicht dient.According to one embodiment The invention is the structuring of the second conductive layer executed by forming a first mask layer on the second conductor layer is the first mask layer using a lithography process is structured, and the second conductive layer is structured, wherein the structured first mask layer as a mask for structuring the second conductive layer is used.

Gemäß einer Ausführungsform der Erfindung wird das Strukturieren der Verbundsstruktur ausgeführt, indem eine zweite Maskenschicht auf der Verbundsstruktur abgeschieden wird, die zweite Maskenschicht strukturiert wird unter Verwendung eines Lithographieprozesses, und die Verbundsstruktur strukturiert wird, wobei die strukturierte zweite Maskenschicht als Maske zum Strukturieren der Verbundsstruktur dient.According to one embodiment According to the invention, the structuring of the composite structure is carried out by a second mask layer is deposited on the composite structure The second mask layer is patterned using a lithography process, and the composite structure structured with the structured second mask layer as mask for Structuring the composite structure is used.

Gemäß einer Ausführungsform der Erfindung wird ein Verfahren zum Herstellen einer Festkörperelektrolytspeicherzelle bereitgestellt, das aufweist: Bereitstellen einer Verbundsstruktur mit einer Festkörperelektrolytschicht und einer ersten Leitungsschicht, die auf oder oberhalb der Festkörperelektrolytschicht angeordnet ist; Ausbilden einer zweiten Leitungsschicht auf oder oberhalb der ersten Leitungsschicht; Abscheiden einer ersten Maskenschicht auf oder oberhalb der zweiten Maskenschicht; Strukturieren der ersten Maskenschicht (z. B. unter Verwendung eines Lithographieprozesses); Strukturieren der zweiten Leitungsschicht derart, dass zumindest ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist, wobei die strukturierte erste Maskenschicht als Maske zum Strukturieren der zweiten Leitungsschicht dient; Entfernen der strukturierten ersten Maskenschicht, Abscheiden einer zweiten Maskenschicht auf oder oberhalb der Verbundsstruktur, Strukturieren der zweiten Maskenschicht (unter Verwendung beispielsweise eines Lithographieprozesses); Strukturieren der Verbundsstruktur, wobei die strukturierte zweite Maskenschicht als Maske zum Strukturieren der Verbundsstruktur dient; Abscheiden einer Isolationsschicht auf oder oberhalb der strukturierten Verbundsstruktur; und Reduzieren der Dicke der Isolationsschicht, bis das vertikale Niveau der Oberseite der zweiten Isolationsschicht gleich oder niedriger ist als das vertikale Niveau der Oberseite der strukturierten zweiten Leitungsschicht.According to one embodiment The invention relates to a method for producing a solid electrolyte memory cell provided comprising: providing a composite structure with a solid electrolyte layer and a first conductive layer disposed on or above the solid electrolyte layer is arranged; Forming a second conductive layer on or above the first conductive layer; Depositing a first mask layer on or above the second mask layer; Structuring the first Masking layer (eg, using a lithography process); Structure the second conductive layer such that at least a part of structured second conductive layer as a via for contacting the first conductive layer is usable, wherein the structured first mask layer as a mask for patterning the second conductive layer is used; Removing the patterned first mask layer, depositing a second mask layer on or above the composite structure, Patterning the second mask layer (using, for example, a lithography process); Structuring the composite structure, wherein the structured second mask layer as a mask for structuring the composite structure is used; Depositing an insulation layer on or above the structured composite structure; and reduce the Thickness of the insulation layer until the vertical level of the top the second insulating layer is equal to or lower than that vertical level of the top of the structured second conductive layer.

Gemäß einer Ausführungsform der Erfindung wird ein Verfahren zum Herstellen einer integrierten Schaltung bereitgestellt, die eine Festkörperelektrolytspeicherzelle beinhaltet. Das Verfahren weist auf: Bereitstellen einer Verbundsstruktur mit einer Festkörperelektrolytschicht und einer ersten Leitungsschicht, die auf oder oberhalb der Festkörperelektrolytschicht angeordnet ist; Ausbilden einer zweiten Leitungsschicht auf oder oberhalb der ersten Leitungsschicht; Abscheiden einer ersten Maskenschicht auf oder oberhalb der zweiten Maskenschicht; Strukturieren der ersten Maskenschicht (z. B. unter Verwendung eines Lithographieprozesses); Strukturieren der zweiten Leitungsschicht derart, dass zumindest ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist, wobei die strukturierte erste Maskenschicht als Maske zum Strukturieren der zweiten Leitungsschicht dient; Entfernen der strukturierten ersten Maskenschicht, Abscheiden einer zweiten Maskenschicht auf oder oberhalb der Verbundsstruktur, Strukturieren der zweiten Maskenschicht (unter Verwendung beispielsweise eines Lithographieprozesses); Strukturieren der Verbundsstruktur, wobei die strukturierte zweite Maskenschicht als Maske zum Strukturieren der Verbundsstruktur dient; Abscheiden einer Isolationsschicht auf oder oberhalb der strukturierten Verbundsstruktur; und Reduzieren der Dicke der Isolationsschicht, bis das vertikale Niveau der Oberseite der zweiten Isolationsschicht gleich oder niedriger ist als das vertikale Niveau der Oberseite der strukturierten zweiten Leitungsschicht.According to one embodiment The invention relates to a method for producing an integrated Circuitry provided, which is a solid state electrolyte storage cell includes. The method comprises: providing a composite structure with a solid electrolyte layer and a first conductive layer disposed on or above the solid electrolyte layer is arranged; Forming a second conductive layer on or above the first conductive layer; Depositing a first mask layer on or above the second mask layer; Structuring the first Masking layer (eg, using a lithography process); Structure the second conductive layer such that at least a part of structured second conductive layer as a via for contacting the first conductive layer is usable, wherein the structured first mask layer as a mask for patterning the second conductive layer is used; Removing the patterned first mask layer, depositing a second mask layer on or above the composite structure, Patterning the second mask layer (using, for example, a lithography process); Structuring the composite structure, wherein the structured second mask layer as a mask for structuring the composite structure is used; Depositing an insulation layer on or above the structured composite structure; and reduce the Thickness of the insulation layer until the vertical level of the top the second insulating layer is equal to or lower than that vertical level of the top of the structured second conductive layer.

Gemäß einer Ausführungsform der Erfindung wird die Dickenreduzierung ausgeführt unter Verwendung eines chemisch-mechanischen Polierprozesses (CMP).According to one embodiment According to the invention, the thickness reduction is carried out using a chemical mechanical Polishing process (CMP).

Gemäß einer Ausführungsform der Erfindung weist die zweite Leitungsschicht Wolfram auf bzw. besteht hieraus.According to one embodiment the invention, the second conductive layer tungsten on or consists of this.

Gemäß einer Ausführungsform der Erfindung wird die zweite Leitungsschicht abgeschieden unter Verwendung eines PVD-Prozesses.According to one embodiment According to the invention, the second conductive layer is deposited under Using a PVD process.

Gemäß einer Ausführungsform der Erfindung wird der PVD-Prozess ausgeführt bei Temperaturen unterhalb von 300°C.According to an embodiment of the invention The PVD process is carried out at temperatures below 300 ° C.

Gemäß einer Ausführungsform der Erfindung wird eine Festkörperelektrolytspeichervorrichtung bereitgestellt, die aufweist: eine Verbundsstruktur mit einer Festkörperelektrolytschicht und einer Elektrodenschicht, die auf oder oberhalb der Festkörperelektrolytschicht angeordnet ist; und wenigstens einem leitenden Via, das oberhalb der Elektrodenschicht angeordnet ist, wobei das wenigstens eine leitende Via die Elektrodenschicht direkt kontaktiert.According to one embodiment The invention is a solid state electrolyte storage device provided comprising: a composite structure having a solid electrolyte layer and an electrode layer on or above the solid electrolyte layer is arranged; and at least one conductive via, above the electrode layer is arranged, wherein the at least one conductive Directly contacted via the electrode layer.

Der Ausdruck "direkt" bedeutet, dass keine Zwischenschicht leitenden Materials zwischen dem wenigstens einen Via und der Elektrodenschicht und/oder zwischen dem wenigstens einen Via und Isoliermaterial, das wenigstens eine Via umgibt, vorgesehen ist. Beispielsweise ist gemäß einer Ausführungsform der Erfindung keine Haftschicht zwischen dem wenigstens einen Via und der Elektrodenschicht vorgesehen: Normalerweise, wie bereits angedeutet wurde, wird eine Isolationsschicht auf der Elektrodenschicht vorgesehen. Dann wird die Isolationsschicht strukturiert, um eine Trenchstruktur innerhalb der Isolationsstruktur zu erzeugen. Die Trenchstruktur wird mit leitendem Material gefüllt, womit die Vias ausgebildet werden. Um eine ausreichende Haftkraft zwischen den Vias und der Elektrodenschicht und/oder dem Isolationsmaterial, das die Vias umgibt, herzustellen, wird eine Haftschicht auf der Isolationsschicht ausgebildet, nachdem die Trenchstruktur ausgebildet wurde, wodurch die gesamte Oberfläche der Trenchstruktur oder zumindest ein Teil hiervon bedeckt wird. Gemäß dieser Ausführungsform kann eine derartige Haftschicht weggelassen werden. Konsequenterweise vereinfacht sich dadurch der Herstellungsprozess der Festkörperelektrolytspeichervorrichtung. Eine Zwischenschicht kann weggelassen werden, da eine Zwischenschicht wie beispielsweise eine Haftschicht oder Samenschicht nicht notwendigerweise benötigt wird, um die Verfahren zum Erzeugen von Vias gemäß den Ausführungsformen der Erfindung auszuführen.Of the Expression "direct" means that no interlayer conductive material between the at least one via and the electrode layer and / or between the at least one via and insulating material, at least a via is provided. For example, according to a embodiment the invention no adhesive layer between the at least one via and the electrode layer provided: normally, as already has been indicated, an insulating layer on the electrode layer intended. Then, the insulation layer is patterned to a Trench structure to create within the isolation structure. The Trench structure is filled with conductive material, thus forming the vias become. To ensure adequate adhesion between the vias and the Electrode layer and / or the insulating material that the vias surrounds, produces, an adhesive layer on the insulating layer formed after the trench structure has been formed, thereby the entire surface the trench structure or at least a part thereof is covered. According to this Embodiment can such an adhesive layer is omitted. Consequently, thereby simplifies the manufacturing process of the solid state electrolyte storage device. An intermediate layer can be omitted, as an intermediate layer such as an adhesive layer or seed layer, not necessarily needed The methods for generating vias according to embodiments of the invention perform.

Gemäß einer Ausführungsform der Erfindung beinhaltet das wenigstens eine Via Wolfram bzw. besteht hieraus.According to one embodiment of the invention includes the at least one via tungsten thereof.

Gemäß einer Ausführungsform der Erfindung ist das wenigstens eine Via in eine Schicht isolierenden Materials eingebettet, wobei das vertikale Niveau der Oberseite der Schicht isolierenden Materials gleich bzw. niedriger ist als das vertikale Niveau der Oberseite des wenigstens einen Vias.According to one embodiment According to the invention, the at least one via is insulating in a layer Embedded material, with the vertical level of the top the layer of insulating material is equal to or lower than the vertical level of the top of the at least one vias.

Gemäß einer Ausführungsform der Erfindung weist die Elektrodenschicht einen unteren Teil und einen oberen Teil auf, die aus jeweils unterschiedlichen Materialien bestehen.According to one embodiment According to the invention, the electrode layer has a lower part and an upper part made up of different materials consist.

Gemäß einer Ausführungsform der Erfindung weist der untere Teil Silber auf bzw. besteht hieraus, und der obere Teil weist Tantalnitrid oder Kupfer auf bzw. besteht hieraus.According to one embodiment invention, the lower part comprises silver or consists of and the upper part comprises tantalum nitride or copper thereof.

Gemäß einer Ausführungsform der Erfindung beträgt die Dicke des oberen Teils zwischen 50 nm bis 150 nm.According to one embodiment of the invention the thickness of the upper part between 50 nm to 150 nm.

Gemäß einer Ausführungsform der Erfindung beträgt die Dicke des wenigstens einen Vias zwischen 250 nm und 400 nm.According to one embodiment of the invention the thickness of the at least one vias between 250 nm and 400 nm.

Gemäß einer Ausführungsform der Erfindung wird eine Zelle bereitgestellt, die aufweist: eine Verbundstruktur mit einer Festkörperelektrolytschicht und einer Elektrodenschicht, die auf oder oberhalb der Festkörperelektrolytschicht vorgesehen ist; und wenigstens einem leitenden Via, das oberhalb der Elektrodenschicht vorgesehen ist, wobei das wenigstens eine leitende Via die Elektrodenschicht direkt kontaktiert. Die Zelle kann beispielsweise eine Speicherzelle sein. Jedoch ist die Erfindung nicht hierauf beschränkt. Die Zelle kann beispielsweise auch als einstellbare Widerstandseinheit in einer beliebigen elektrischen Vorrichtung wie beispielsweise einem einstellbaren elektrischen Widerstand zum Einsatz kommen.According to one embodiment The invention provides a cell comprising: a composite structure with a solid electrolyte layer and an electrode layer on or above the solid electrolyte layer is provided; and at least one conductive via, above the electrode layer is provided, wherein the at least one conductive via the electrode layer directly contacted. The cell may for example be a memory cell. However, the invention is not limited to this. For example, the cell can also be used as an adjustable resistance unit in any electrical device such as an adjustable electrical resistance are used.

Gemäß einer Ausführungsform der Erfindung wird ein Speichermodul bereitgestellt, das zumindest eine integrierte Schaltung, eine Speichervorrichtung oder eine Speicherzelle gemäß einer Ausführungsform der Erfindung aufweist. Gemäß einer Ausführungsform der Erfindung ist das Speichermodul stapelbar.According to one embodiment The invention provides a memory module which, at least an integrated circuit, a memory device or a memory cell according to a embodiment of the invention. According to one embodiment According to the invention, the memory module is stackable.

Die Erfindung wird im Folgenden unter Bezugnahme auf die Figuren beispielsweise Ausführungsformen näher erläutert.The Invention will be described below with reference to the figures, for example embodiments explained in more detail.

Es zeigenIt demonstrate

1A eine schematische Querschnittsdarstellung einer Festkörperelektrolytspeicherzelle in einem ersten Speicherzustand; 1A a schematic cross-sectional view of a solid state electrolyte storage cell in a first storage state;

1B eine schematische Querschnittsdarstellung einer Festkörperelektrolytspeicherzelle in einem zweiten Speicherzustand; 1B a schematic cross-sectional view of a solid state electrolyte storage cell in a second memory state;

2A ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 2A a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

2B ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 2 B a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

2C ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 2C a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

3A ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 3A a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

3B ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 3B a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

3C ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 3C a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

3D ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 3D a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

3E ein Herstellungsstadium eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 3E a manufacturing stage of a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention;

4 zeigt ein Flussdiagramm eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 4 FIG. 12 shows a flowchart of a method for manufacturing a solid state electrolyte storage device according to an embodiment of the invention; FIG.

5 zeigt ein Flussdiagramm eines Verfahrens zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 5 FIG. 12 shows a flowchart of a method for manufacturing a solid state electrolyte storage device according to an embodiment of the invention; FIG.

6 zeigt eine schematische Querschnittsdarstellung eines Teils einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung; 6 shows a schematic cross-sectional view of a portion of a solid state electrolyte storage device according to an embodiment of the invention;

7 zeigt eine schematische Darstellung eines Computersystems gemäß einer Ausführungsform der Erfindung; 7 shows a schematic representation of a computer system according to an embodiment of the invention;

8A zeigt eine perspektivische Darstellung eines Speichermoduls gemäß einer Ausführungsform der Erfindung; 8A shows a perspective view of a memory module according to an embodiment of the invention;

8B zeigt eine perspektivische Darstellung eines Speichermoduls gemäß einer Ausführungsform der Erfindung; 8B shows a perspective view of a memory module according to an embodiment of the invention;

9 zeigt eine Querschnittsdarstellung einer Phasenänderungsspeicherzelle; 9 shows a cross-sectional view of a phase change memory cell;

10 zeigt eine schematische Darstellung einer Speichervorrichtung mit Widerstandsänderungsspeicherzellen; 10 shows a schematic representation of a memory device with resistance change memory cells;

11A zeigt eine Querschnittsdarstellung einer Kohlenstoffspeicherzelle in einem ersten Schaltzustand; 11A shows a cross-sectional view of a carbon storage cell in a first switching state;

11B zeigt eine Querschnittsdarstellung einer Kohlenstoffspeicherzelle in einem zweiten Schaltzustand; 11B shows a cross-sectional view of a carbon storage cell in a second switching state;

12A zeigt eine schematische Darstellung einer Widerstandsänderungsspeicherzelle; und 12A shows a schematic representation of a resistance change memory cell; and

12B zeigt eine schematische Darstellung einer Widerstandsänderungsspeicherzelle. 12B shows a schematic representation of a resistance change memory cell.

In den Figuren können identische bzw. einander entsprechende Bereiche, Bauteile sowie Bauteilgruppen mit getrennten Bezugsziffern gekennzeichnet sein. Des Weiteren ist anzumerken, dass die Figuren nicht maßstabsgetreu zu sein brauchen.In the characters can identical or corresponding areas, components and Component groups should be marked with separate reference numbers. It should also be noted that the figures are not to scale need to be.

Da die erfindungsgemäßen Ausführungsformen auf programmierbare Metallisierungszellen (PMC's = "programmable metallization cells") wie beispielsweise CBRAM-Vorrichtungen ("conductive bridging random access memory"-Vorrichtungen) anwendbar sind, soll in der folgenden Beschreibung unter Bezugnahme auf 1a und 1b ein wichtiges Prinzip erläutert werden, das CBRAM-Vorrichtungen zugrundeliegt.Since the embodiments of the present invention are applicable to programmable metallization cells (PMCs) such as conductive bridging random access memory (CBRAM) devices, in the following description with reference to FIG 1a and 1b explaining an important principle underlying CBRAM devices.

Eine CBRAM-Zelle weist eine erste Elektrode 101, eine zweite Elektrode 102 sowie einen Festkörperelektrolytblock (auch als Ionenleiterblock bekannt) 103, der zwischen der ersten Elektrode 101 und der zweiten Elektrode 102 angeordnet ist, auf. Der Festkörperelektrolytblock kann auch von mehreren Speicherzellen gemeinsam benutzt werden (hier nicht gezeigt). Die erste Elektrode 101 kontaktiert eine erste Oberfläche 104 des Festkörperelektrolytblocks 103, die zweite Elektrode 102 kontaktiert eine zweite Oberfläche 105 des Festkörperelektrolytblocks 103. Der Festkörperelektrolytblock 103 ist gegenüber seiner Umgebung durch eine Isolationsstruktur 106 isoliert. Die erste Oberfläche 104 ist üblicherweise die Oberseite, die zweite Oberfläche 105 die Unterseite des Festkörperelektrolytblocks 103. Die erste Elektrode 101 ist üblicherweise die obere Elektrode, die zweite Elektrode 102 die untere Elektrode der CBRAM-Zelle. Eine der ersten und zweiten Elektrode 101, 102 ist eine reaktive Elektrode, die jeweils andere eine inerte Elektrode. Beispielsweise ist die erste Elektrode 101 die reaktive Elektrode, und die zweite Elektrode 102 die inerte Elektrode. In diesem Fall kann die erste Elektrode 101 beispielsweise aus Silber (Ag), der Festkörperelektrolytblock 103 aus Chalkogenid-Material, und die Isolationsstruktur 106 aus SiO2 oder Si3N4 bestehen. Die zweite Elektrode 102 kann alternativ bzw. zusätzlich Nickel (Ni), Platin (Pt), Iridium (Ir), Rhenium (Re), Tantal (Ta), Titan (Ti), Ruthenium (Ru), Molybdän (Mo), Vanadium (V), leitende Oxide, Silizide sowie Nitride der zuvor erwähnten Materialien beinhalten, und kann weiterhin Legierungen der zuvor erwähnten Materialien beinhalten. Die Dicke des Ionenleiterblocks 103 kann beispielsweise 5 nm bis 500 nm betragen. Die Dicke der ersten Elektrode 101 kann beispielsweise 10 nm bis 100 nm betragen. Die Dicke der zweiten Elektrode 102 kann beispielsweise 5 nm bis 500 nm, 15 nm bis 150 nm, oder 25 nm bis 100 nm betragen. Die Ausführungsformen der Erfindung sind nicht auf die oben erwähnten Materialien und Dicken beschränkt.A CBRAM cell has a first electrode 101 , a second electrode 102 and a solid electrolyte block (also known as an ion conductor block) 103 that is between the first electrode 101 and the second electrode 102 is arranged on. The solid electrolyte block may also be shared by multiple memory cells (not shown here). The first electrode 101 contacts a first surface 104 of the solid electrolyte block 103 , the second electrode 102 contacts a second surface 105 of the solid electrolyte block 103 , The solid-state electrolyte block 103 is opposite its environment by an isolation structure 106 isolated. The first surface 104 is usually the top, the second surface 105 the bottom of the solid electrolyte block 103 , The first electrode 101 is usually the upper electrode, the second electrode 102 the lower electrode of the CBRAM cell. One of the first and second electrodes 101 . 102 One is a reactive electrode, the other is an inert electrode. For example, the first electrode 101 the reactive electrode, and the second electrode 102 the inert electrode. In this case, the first electrode 101 for example, from silver (Ag), the solid electrolyte block 103 from chalcogenide material, and the isolation structure 106 consist of SiO 2 or Si 3 N 4 . The second electrode 102 may alternatively or additionally nickel (Ni), platinum (Pt), iridium (Ir), rhenium (Re), tantalum (Ta), titanium (Ti), ruthenium (Ru), molybdenum (Mo), vanadium (V), may include conductive oxides, silicides, and nitrides of the aforementioned materials, and may further include alloys of the aforementioned materials. The thickness of the ion conductor block 103 may for example be 5 nm to 500 nm. The thickness of the first electrode 101 may for example be 10 nm to 100 nm. The thickness of the second electrode 102 For example, it may be 5 nm to 500 nm, 15 nm to 150 nm, or 25 nm to 100 nm. The embodiments of the invention are not limited to the above-mentioned materials and thicknesses.

Gemäß einer Ausführungsform der Erfindung ist unter Chalkogenid-Material (allgemeiner: das Material des Ionenleiterblocks 103) eine Verbindung zu verstehen, die Sauerstoff, Schwefel, Selen, Germanium und/oder Tellur aufweist. Gemäß einer Ausführungsform der Erfindung ist Chalkogenid-Material eine Verbindung aus einem Chalkogenid und zumindest einem Metall der Gruppe I oder Gruppe II des Periodensystems, beispielsweise Arsen-Trisulfid-Silber. Alternativ enthält das Chalkogenid-Material Germaniumsulfid (GeSx), Germaniumselenid (GeSex), Wolframoxid (WOx), Kupfersulfid (CuSx) oder ähnliches. Weiterhin kann das Chalkogenid-Material Metallionen enthalten, wobei die Metallionen ein Metall sein können, das aus einer Gruppe gewählt ist, die aus Silber, Kupfer und Zink besteht bzw. aus einer Kombination oder einer Legierung dieser Metalle. Der Ionenleiterblock 103 kann aus Festkörperelektrolytmaterial bestehen.According to one embodiment of the invention, chalcogenide material (more generally: the material of the ion conductor block 103 ) to understand a compound having oxygen, sulfur, selenium, germanium and / or tellurium. According to one embodiment of the invention, chalcogenide material is a compound of a chalcogenide and at least one metal of group I or group II of the periodic table, for example arsenic trisulfide silver. Alternatively, the chalcogenide material contains germanium sulfide (GeS x ), germanium selenide (GeSe x ), tungsten oxide (WO x ), copper sulfide (CuS x ) or the like. Furthermore, the chalcogenide material may include metal ions, wherein the metal ions may be a metal selected from a group consisting of silver, copper, and zinc, or a combination or alloy of these metals. The ion conductor block 103 may consist of solid electrolyte material.

Wenn eine Spannung über dem Festkörperelektrolytblock 103 abfällt, wie in 1a angedeutet ist, wird eine Redoxreaktion in Gang gesetzt, die Ag+-Ionen aus der ersten Elektrode 101 heraus löst und in den Festkörperelektrolytblock 103 hinein treibt, wo diese zu Silber reduziert werden. Auf diese Art und Weise werden silberhaltige Cluster 108 in dem Festkörperelektrolytblock 103 ausgebildet. Wenn die Spannung über dem Festkörperelektrolytblock 103 lange genug abfällt, erhöht sich die Größe und die Anzahl der silberreichen Cluster innerhalb des Festkörperelektrolytblocks 103 so stark, dass eine leitende Brücke (leitender Pfad) 107 zwischen der ersten Elektrode 101 und der zweiten Elektrode 102 ausgebildet wird. Wenn die in 1b gezeigte Spannung über dem Festkörperelektrolytblock 103 abfällt (inverse Spannung verglichen zu der in 1a dargestellten Spannung), wird eine Redoxreaktion in Gang gesetzt, die Ag+-Ionen aus dem Festkörperelektrolytblock 103 hinaus zur ersten Elektrode 101 treibt, an der diese zu Silber reduziert werden. Damit wird die Größe und die Anzahl silberreicher Cluster 108 innerhalb des Festkörperelektrolytblocks 103 verringert. Erfolgt dies lange genug, wird die leitende Brücke 107 gelöscht.When a voltage across the solid electrolyte block 103 falls off, as in 1a is indicated, a redox reaction is set in motion, the Ag + ions from the first electrode 101 comes out and into the solid-state electrolyte block 103 into where they are reduced to silver. In this way, silver-containing clusters 108 in the solid electrolyte block 103 educated. When the voltage across the solid electrolyte block 103 decreases long enough, increases the size and number of silver-rich clusters within the solid electrolyte block 103 so strong that a conductive bridge (conductive path) 107 between the first electrode 101 and the second electrode 102 is trained. When the in 1b shown voltage across the solid electrolyte block 103 drops (inverse voltage compared to the in 1a shown voltage), a redox reaction is set in motion, the Ag + ions from the solid electrolyte block 103 out to the first electrode 101 drives, where they are reduced to silver. This will change the size and number of silver-rich clusters 108 within the solid electrolyte block 103 reduced. If this happens long enough, the conductive bridge becomes 107 deleted.

Um den momentanen Speicherzustand der CBRAM-Zelle festzustellen, wird ein Messstrom durch die CBRAM-Zelle geleitet. Der Messstrom erfährt einen hohen Widerstand, wenn in der CBRAM-Zelle keine leitende Brücke 107 ausgebildet ist, und erfährt einen niedrigen Widerstand, wenn in der CBRAM-Zelle eine leitende Brücke 107 ausgebildet ist. Ein hoher Widerstand repräsentiert beispielsweise logisch "0", wohingegen ein niedriger Widerstand logisch "1" repräsentiert, oder umgekehrt. Anstelle eines Messtroms kann auch eine Messpannung zum Einsatz kommen.To determine the current memory state of the CBRAM cell, a measurement current is passed through the CBRAM cell. The measuring current experiences a high resistance when in the CBRAM cell no conductive bridge 107 is formed, and experiences a low resistance when in the CBRAM cell a conductive bridge 107 is trained. For example, a high resistance represents logic "0", whereas a low resistance logically represents "1" or vice versa. Instead of a measuring current, a measuring voltage can also be used.

In der folgenden Beschreibung sollte Bezugnahme auf die 2A bis 2C ein Verfahren zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung erläutert werden.In the following description reference should be made to 2A to 2C a method for manufacturing a solid state electrolyte storage device according to an embodiment of the invention will be explained.

In einem ersten Prozessstadium (2A) wird eine Verbundsstruktur 201 bereitgestellt, die eine Festkörperelektrolytschicht 202 und eine erste Leitungsschicht 203 aufweist. Die Festkörperelektrolytschicht 202 kann beispielsweise Chalcogenidmaterial aufweisen bzw. daraus bestehen, die erste Leitungsschicht 203 kann beispielsweise Silber (Ag) oder Kupfer (Cu) aufweisen bzw. daraus bestehen. In einem zweiten Prozessstadium (2B) wird eine zweite Leitungsschicht 204 auf der ersten Leitungsschicht 203 angeordnet. Die zweite Leitungsschicht 204 kann beispielsweise Wolfram (W) beinhalten oder daraus bestehen. In einem dritten Prozessstadium (2C) wird die zweite Leitungsschicht 204 strukturiert. Wenigstens ein Teil 205 der zweiten Leitungsschicht 204, die mittels des Strukturierprozesses nicht entfernt wird, kann als Via zum Kontaktierten der ersten Leitungsschicht 203 dienen. Um die Teile 205 als Vias zu verwenden, kann beispielsweise isolierendes Material in die Trenches/Spalten/Gebiete 206 zwischen den Teilen 205 der zweiten Leitungsschicht 204, die durch den Strukturprozess erzeugt werden, gefüllt werden.At a first stage of the process ( 2A ) becomes a composite structure 201 provided a solid electrolyte layer 202 and a first conductive layer 203 having. The solid electrolyte layer 202 For example, it may comprise or consist of chalcogenide material, the first conductive layer 203 For example, it may comprise or consist of silver (Ag) or copper (Cu). In a second stage of the process ( 2 B ) becomes a second conductive layer 204 on the first conductor layer 203 arranged. The second conductive layer 204 For example, it can contain or consist of tungsten (W). In a third stage of the process ( 2C ) becomes the second conductive layer 204 structured. At least a part 205 the second conductive layer 204 , which is not removed by means of the structuring process, can be used as a via to the contact of the first conductor layer 203 serve. To the parts 205 For example, insulating material can be used as vias in the trenches / columns / regions 206 between the parts 205 the second conductive layer 204 , which are generated by the structure process, are filled.

In der folgenden Beschreibung soll unter Bezugnahme auf 3A bis 3E ein Verfahren 300 zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung erläutert zu werden.In the following description is with reference to 3A to 3E a procedure 300 for manufacturing a solid state electrolyte storage device according to an embodiment of the invention.

3A zeigt ein Herstellungsstadium, in dem eine Verbundsstruktur 201 mit einer Festkörperelektrolytschicht 202 und einer ersten Leitungsschicht 203 auf eine Struktur 301 vorgesehen wurden, die mehrere Elektroden, elektrische Leitungen, Auswahleinrichtungen und dergleichen aufweist. Im Detail:
3A zeigt eine Struktur 301 mit einer Bottomelektrodenschicht 313 mit mehreren Bottomelektroden 314. Jede Bottomelektrode 314 weist einen ersten Plug 3141 und einen zweiten Plug 3142 auf, die in dieser Reihenfolge übereinander gestapelt sind. Die zweiten Plugs 3142 kontaktieren die Festkörperelektrolytschicht 202 direkt. Die Bottomelektroden 314 sind gegeneinander mittels einer ersten Isolationsschicht 3181 und einer zweiten Isolationsschicht 3182 isoliert, die in dieser Reihenfolge übereinander gestapelt sind. Die ersten Plugs 3141 werden von ersten Haftschichten 3311 bedeckt mit Ausnahme von deren Oberseiten, die die Festkörperelektrolytschicht 202 direkt kontaktieren. Die Unterseiten der ersten Plugs 3141 sind mit den zweiten Plugs 3142 , die unterhalb der ersten Plugs 3141 angeordnet sind, elektrisch verbunden, wobei die zweiten Plugs 3142 durch zweite Haftschichten 3312 bedeckt sind. Die Unterseiten der zweiten Plugs 3142 sind (indirekt über zweite Haftschichten 3312 ) mit ersten leitenden Kontakten 332 elektrisch verbunden (beispielsweise Polysiliziumkontakte), die sich durch eine Isolationsschicht 333, die unterhalb der ersten Isolationsschicht 3181 angeordnet ist, hindurch in ein Substrat 334 hinein erstreckt. An dem Übergang zwischen den leitenden Kontakten 332 und dem Substrat 334 sind Gebiete 335 des entgegengesetzten Leitungstyps wie der des Substrats 334 innerhalb des Substrats 334 ausgebildet. Bitleitungen 336 sind innerhalb der ersten Isolationsschicht 3181 ausgebildet. Weiterhin sind Wortleitungen 337 innerhalb der Isolationsschicht 333 ausgebildet. Die Bitleitungen 336 sind mit den Gebieten 335 über zweite leitende Kontakte 338 elektrisch verbunden (beispielsweise Polysiliziumkontakte). Die Wortleitungen 337 sind mit leitenden Elementen 339 elektrisch verbunden (beispielsweise Polysiliziumkontakte), die sich zur Oberseite des Substrats 334 hin erstrecken, jedoch durch Isolationsschichten 340 (Gate-Isolationsschichten) gegen das Substrat 334 isoliert sind. Weiterhin sind Isolationsgebiete 341, die aus isolierendem Material bestehen, innerhalb des Substrats 334, der Isolationsgebiete 341, die entsprechende leitende Kontakte 338 deaktivieren, und den leitenden Elementen 339, die oberhalb der Isolationsgebiete 341 angeordnet sind, ausgebildet.
3A shows a manufacturing stage in which a composite structure 201 with a solid electrolyte layer 202 and a first conductive layer 203 on a structure 301 having a plurality of electrodes, electric wires, selection means and the like. In detail:
3A shows a structure 301 with a bottom electrode layer 313 with several bottom electrodes 314 , Each bottom electrode 314 has a first plug 3141 and a second plug 314 2 which are stacked in this order. The second plugs 3142 contact the solid state electrolyte layer 202 directly. The bottom electrodes 314 are against each other by means of a first insulating layer 318 1 and a second insulation layer 318 2 isolated, which are stacked in this order. The first plugs 314 1 be from first adhesive layers 331 1 covered with the exception of their tops, which is the solid electrolyte layer 202 contact directly. The bottoms of the first plugs 314 1 are with the second plugs 314 2 that is below the first plugs 314 1 are arranged, electrically connected, wherein the second plugs 3142 through second adhesive layers 331 2 are covered. The bottoms of the second plugs 314 2 are (indirectly via second adhesive layers 331 2 ) with first conductive contacts 332 electrically connected (eg, polysilicon contacts) extending through an insulating layer 333 , which are below the first insulation layer 318 1 is arranged, through into a substrate 334 extends into it. At the junction between the conductive contacts 332 and the substrate 334 are areas 335 of the opposite conductivity type as that of the substrate 334 within the substrate 334 educated. bit 336 are within the first insulation layer 318 1 educated. Furthermore, wordlines 337 within the insulation layer 333 educated. The bitlines 336 are with the areas 335 via second conductive contacts 338 electrically connected (for example, polysilicon contacts). The wordlines 337 are with conductive elements 339 electrically connected (eg, polysilicon contacts) extending to the top of the substrate 334 extend, but through insulation layers 340 (Gate insulation layers) against the substrate 334 are isolated. Furthermore, isolation areas 341 , which consist of insulating material, within the substrate 334 , the isolation areas 341 , the appropriate senior contacts 338 disable, and the conductive elements 339 , above the isolation areas 341 are arranged, formed.

Die leitenden Elemente 339 fungieren als Gates, die Gebiete 335 als Sourcegebiete und Draingebiete. Jede Bottomelektrode 314 kann ausgewählt werden, indem eine Wortleitung 337 und eine Bitleitung 336 ausgewählt wird. In diesem Fall fließt ein Strom durch die ausgewählte Bottomelektrode 314, den entsprechenden ersten leitenden Kontakt 332, das Gebiet des Substrats 334, das unterhalb der ausgewählten Wortleitung 337 liegt, und den entsprechenden zweiten leitenden Kontakt 332 zu der ausgewählten Bitleitung 336. Beispielsweise kann ein Strompfad 342 ausgebildet werden unter der Annahme, dass die Wortleitung 3371 und die Bitleitung 3361 ausgewählt werden. Jede Struktur, die einen ersten leitenden Kontakt 332, ein Gebiet auf dem Substrat 334, das unterhalb der ausgewählten Wortleitung 337 liegt, einen zweiten leitenden Kontakt 332 sowie eine Bitleitung 336 aufweist, kann als Auswahlvorrichtung interpretiert werden.The guiding elements 339 act as gates, the areas 335 as source areas and drainage areas. Each bottom electrode 314 can be selected by a wordline 337 and a bit line 336 is selected. In this case, a current flows through the selected bottom electrode 314 , the corresponding first conductive contact 332 , the area of the substrate 334 that is below the selected word line 337 is located, and the corresponding second conductive contact 332 to the selected bit line 336 , For example, a rung 342 be formed under the assumption that the word line 337 1 and the bit line 336 1 to be selected. Any structure that has a first conductive contact 332 , an area on the substrate 334 that is below the selected word line 337 lies, a second conductive contact 332 and a bit line 336 can be interpreted as a selection device.

Die erste Leitungsschicht 203 weist eine Topelektrodenschicht 302 auf, die beispielsweise Silber beinhalten oder daraus bestehen kann, und eine Leitungsschicht 303, die oberhalb der Topelektrodenschicht 302, die beispielsweise Tantalnitrid (TaN) aufweist bzw. hieraus besteht, angeordnet ist. Eine zweite Leitungsschicht 204 ist auf der Oberseite der Leitungsschicht 303 vorgesehen, d. h. auf der Oberseite der Verbundsstruktur 201. Die Dicke der zweiten Leitungsschicht 204 kann beispielsweise zwischen 250 nm und 400 nm liegen, d. h. beispielsweise 300 nm betragen. Die zweite Leitungsschicht 204 kann beispielsweise Wolfram aufweisen oder hieraus bestehen. Die zweite Leitungsschicht 204 kann beispielsweise auf der Verbundsstruktur 201 abgeschieden werden unter Verwendung eines PVD(physikalische Dampfabscheidung)-Prozesses. Der PVD-Prozess kann beispielsweise bei Temperaturen unterhalb von 300°C ausgeführt werden. Ein Effekt, der aus der Verwendung von Temperaturen unterhalb von 300°C resultiert, ist, dass die Gefahr einer Delaminierung der Festkörperelektrolytschicht 202 (beispielsweise eine Chalcogenidschicht) stark reduziert werden kann. Die Dicke der Leitungsschicht 303 kann beispielsweise 50 nm bis 150 nm betragen, beispielsweise 100 nm.The first conductor layer 203 has a top electrode layer 302 on, for example, include or may consist of silver, and a conductor layer 303 above the top electrode layer 302 , which is, for example, tantalum nitride (TaN) comprises or consists thereof. A second conductor layer 204 is on top of the conduction layer 303 provided, ie on top of the composite structure 201 , The thickness of the second conductive layer 204 may for example be between 250 nm and 400 nm, ie, for example 300 nm. The second conductive layer 204 For example, tungsten may have or consist of. The second conductive layer 204 For example, on the composite structure 201 are deposited using a PVD (Physical Vapor Deposition) process. For example, the PVD process can be performed at temperatures below 300 ° C. An effect resulting from the use of temperatures below 300 ° C is that the risk of delamination of the solid electrolyte layer 202 (For example, a chalcogenide layer) can be greatly reduced. The thickness of the conductor layer 303 may for example be 50 nm to 150 nm, for example 100 nm.

3B zeigt ein Herstellungsstadium, das erhalten wird, nachdem die zweite Leitungsschicht 204 bis zu einem vertikalen Niveau der Oberseite der Leitungsschicht 303 herunter strukturiert worden ist. Hier wurde die gesamte zweite Leitungsschicht 204 durch den Strukturierungsprozess entfernt, mit der Ausnahme eines Teils 205, der als Via dient. Die Erfindung ist nicht auf das Erzeugen eines einzelnen Teils 205 beschränkt. Stattdessen kann der Strukturierungsprozess der zweiten Leitungsschicht 204 auch so ausgeführt werden, dass die zweite Leitungsschicht 204 in mehrere Teile 205 strukturiert wird, womit zwei oder mehr leitende Vias erzeugt werden. Der Prozess des Strukturierens der zweiten Leitungsschicht 204 kann beispielsweise ausgeführt werden, indem eine erste Maskenschicht (nicht gezeigt) auf der zweiten Leitungsschicht 204 abgeschieden wird, wodurch die erste Maskenschicht unter Verwendung eines Lithographieprozesses strukturiert wird, und die zweite Leitungsschicht 204 strukturiert wird unter Verwendung der strukturierten ersten Maskenschicht als Maske zum Strukturieren der zweiten Leitungsschicht 204. 3B shows a manufacturing stage that is obtained after the second conductive layer 204 up to a vertical level of the top of the conductive layer 303 has been structured down. Here was the entire second conductor layer 204 removed by the structuring process, with the exception of one part 205 that serves as the Via. The invention is not limited to the production of a single part 205 limited. Instead, the structuring process of the second conductive layer 204 also be carried out so that the second conductive layer 204 in several parts 205 is structured, whereby two or more conductive vias are generated. The process of structuring the second conductive layer 204 For example, it may be performed by placing a first mask layer (not shown) on the second conductive layer 204 depositing, thereby patterning the first mask layer using a lithography process, and the second wiring layer 204 is patterned using the patterned first mask layer as a mask for patterning the second conductive layer 204 ,

3C zeigt ein Herstellungsprozessstadium, in dem eine Isolationsschicht 304 auf der Oberseite der in 3B gezeigten Struktur abgeschieden wurde, d. h. auf der Oberseite der frei liegenden Leitungsschicht 303 und auf der Oberseite und Seitenflächen der Teile 205 der zweiten Leitungsschicht 204, die nach dem Strukturierungsprozess der zweiten Leitungsschicht 204 verblieben sind. Die Isolationsschicht 304 kann beispielsweise eine Oxidschicht sein. 3C shows a manufacturing process stage in which an insulation layer 304 on the top of the in 3B has been deposited, ie on the top of the exposed Lei tung layer 303 and on the top and side surfaces of the parts 205 the second conductive layer 204 after the structuring process of the second conductive layer 204 remain. The insulation layer 304 For example, it may be an oxide layer.

3D zeigt ein Herstellungsstadium, in dem die Isolationsschicht 304 strukturiert worden ist (d. h. entfernt worden ist) innerhalb des Randgebiets der Festkörperelektrolytspeichervorrichtung, die hergestellt werden soll (im Gegensatz zu den 3A bis 3C und 3E zeigt 3D im Wesentlichen ein Randgebiet der herzustellenden Speichervorrichtung; lediglich ein Endabschnitt des Zellengebiets (rechter Teil) der Speichervorrichtung ist gezeigt). Der Strukturierungsprozess der Isolationsschicht 304 kann beispielsweise ausgeführt werden unter Verwendung eines Lithographieprozesses. Dann kann die Verbundsstruktur 201 strukturiert werden (beispielsweise unter Verwendung eines Ätzprozesses) unter Verwendung der strukturierten Isolationsschicht 304 als Maske (nicht gezeigt). Innerhalb des Randgebiets werden mehrere leitende Elemente 343 vorgesehen. 3D shows a manufacturing stage in which the insulation layer 304 has been patterned (ie, removed) within the periphery of the solid state electrolyte storage device to be fabricated (as opposed to those in US Pat 3A to 3C and 3E shows 3D essentially a peripheral area of the storage device to be produced; only an end portion of the cell area (right part) of the memory device is shown). The structuring process of the insulation layer 304 For example, it may be carried out using a lithography process. Then the composite structure 201 be patterned (using, for example, an etching process) using the patterned insulating layer 304 as a mask (not shown). Within the outskirts become several conductive elements 343 intended.

3E zeigt ein Herstellungsstadium, in dem nach Strukturierung der Verbundsstruktur 201 eine weitere Isolationsschicht auf der strukturierten Isolationsschicht 304 vorgesehen wurde. Weiterhin wurde eine Verbundsstruktur 305, die aus der Isolationsschicht 304 und weiteren Isolationsschichten besteht, in ihre Dicke verringert, d. h. die Dicke der Verbundsstruktur 305 so lange reduziert, bis das vertikale Niveau der Oberseite der Verbundsstruktur 305 gleich oder niedriger ist als das vertikale Niveau der Oberseite der Vias (Teil 205 der zweiten Leitungsschicht 204). Auf diese Art und Weise wird das Via "geöffnet". 3E shows a manufacturing stage in which after structuring the composite structure 201 another insulation layer on the structured insulation layer 304 was provided. Furthermore, a composite structure 305 coming from the insulation layer 304 and further insulation layers is reduced in thickness, ie the thickness of the composite structure 305 reduced until the vertical level of the top of the composite structure 305 is equal to or lower than the vertical level of the top of the vias (part 205 the second conductive layer 204 ). In this way, the via is "opened".

4 zeigt ein Verfahren zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung. 4 shows a method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention.

In einem ersten Prozess P1 wird eine Verbundsstruktur bereitgestellt, die eine Festkörperelektrolytschicht und eine erste Leitungsschicht, die auf der Festkörperelektrolytschicht angeordnet ist, aufweist. In einem zweiten Prozess P2 wird eine zweite Leitungsschicht auf der ersten Leitungsschicht ausgebildet. In einem dritten Prozess P3 wird die zweite Leitungsschicht so strukturiert, dass zumindest ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist.In a first process P1, a composite structure is provided, a solid electrolyte layer and a first conductive layer disposed on the solid electrolyte layer is arranged. In a second process P2 becomes a second Conductive layer formed on the first conductive layer. In one third process P3, the second conductive layer is structured so that at least a part of the structured second conductive layer is usable as a via for contacting the first conductive layer.

5 zeigt ein weiteres Verfahren zum Herstellen einer Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung. In einem ersten Prozess S1 wird eine Verbundsstruktur mit einer Festkörperelektrolytschicht und einer ersten Leitungsschicht, die auf der Festkörperelektrolytschicht vorgesehen ist, bereitgestellt. In einem zweiten Prozess S2 wird eine zweite Leitungsschicht auf der ersten Leitungsschicht abgeschieden. In einem dritten Prozess S3 wird die erste Maskenschicht auf der zweiten Leitungsschicht abgeschieden. In einem vierten Prozess S4 wird die erste Maskenschicht strukturiert unter Verwendung eines Lithographieprozesses. In einem fünften Prozess S5 wird die zweite Leitungsschicht strukturiert derart, dass zumindest ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist, wobei die strukturierte erste Maskenschicht als Maske zum Strukturieren der zweiten Leitungsschicht dient. In einem sechsten Prozess S6 wird die strukturierte erste Maskenschicht entfernt. In einem siebten Prozess S7 wird die zweite Maskenschicht auf der Verbundsstruktur abgeschieden. In einem achten Prozess S8 wird die zweite Maskenschicht strukturiert unter Verwendung eines Lithographieprozesses. In einem neunten Prozess S9 wird die Verbundsstruktur strukturiert, wobei die strukturierte Maskenschicht als Maske zum Strukturieren der Verbundsstruktur dient. In einem zehnten Prozess S10 wird eine Isolationsschicht auf der strukturierten Verbundsstruktur abgeschieden. In einem elften Prozess S11 wird die Dicke der Isolationsschicht reduziert, bis das vertikale Niveau der Oberseite der zweiten Isolationsschicht gleich oder niedriger ist als das vertikale Niveau der Oberseite der strukturierten zweiten Leitungsschicht. 5 shows another method of manufacturing a solid state electrolyte storage device according to an embodiment of the invention. In a first process S1, a composite structure having a solid electrolyte layer and a first conductive layer provided on the solid electrolyte layer is provided. In a second process S2, a second conductive layer is deposited on the first conductive layer. In a third process S3, the first mask layer is deposited on the second conductor layer. In a fourth process S4, the first mask layer is patterned using a lithography process. In a fifth process S5, the second conduction layer is structured in such a way that at least part of the structured second conduction layer is usable as a via for contacting the first conduction layer, the structured first mask layer serving as a mask for structuring the second conduction layer. In a sixth process S6, the structured first mask layer is removed. In a seventh process S7, the second mask layer is deposited on the composite structure. In an eighth process S8, the second mask layer is patterned using a lithography process. In a ninth process S9, the composite structure is structured, the structured mask layer serving as a mask for structuring the composite structure. In a tenth process S10, an insulation layer is deposited on the structured composite structure. In an eleventh process S11, the thickness of the insulating layer is reduced until the vertical level of the upper surface of the second insulating layer is equal to or lower than the vertical level of the upper surface of the patterned second conductive layer.

6 zeigt eine Festkörperelektrolytspeichervorrichtung gemäß einer Ausführungsform der Erfindung. Die Festkörperelektrolytspeichervorrichtung 600 weist eine Verbundsstruktur 201 mit einer Festkörperelektrolytschicht 202 und eine ersten Leitungsschicht 203, die auf der Festkörperelektrolytschicht 202 vorgesehen ist, auf. Ein leitendes Via 601 ist auf der ersten Leitungsschicht 203 vorgesehen, wobei das Via 601 von isoliertem Material 602 umgeben ist. Das leitende Via 601 kontaktiert die erste Leitungsschicht 203 direkt, d. h. keine Haftschicht oder andere Zwischenschicht wie beispielsweise eine Samenschicht ist zwischen dem Via 601 und dem isolierenden Material 602 und/oder der Oberseite der ersten Leitungsschicht 203 vorgesehen. 6 shows a solid state electrolyte storage device according to an embodiment of the invention. The solid state electrolyte storage device 600 has a composite structure 201 with a solid electrolyte layer 202 and a first conductive layer 203 on the solid electrolyte layer 202 is provided on. A conductive via 601 is on the first conductor layer 203 provided, the Via 601 of isolated material 602 is surrounded. The guiding Via 601 contacts the first conductive layer 203 directly, ie no adhesive layer or other intermediate layer such as a seed layer is between the via 601 and the insulating material 602 and / or the top of the first conductive layer 203 intended.

Gemäß einer Ausführungsform der Erfindung können integrierte Schaltungen/Speichervorrichtungen, die vorangehend beschrieben wurden, in einer Vielzahl von Applikationen oder Systemen zum Einsatz kommen, wie beispielsweise in dem in 7 gezeigten Computersystem. Das Computersystem 700 weist eine integrierte Schaltung/Speichervorrichtung 702 auf. Das System weist ferner eine Verarbeitungseinrichtung 704 (beispielsweise ein Mikroprozessor, eine andere Verarbeitungseinrichtung oder ein Controller), eine Eingabe- und Ausgabeeinrichtung, beispielsweise eine Tastatur 702, eine Anzeige 708 und/oder eine Drahtloskommunikationseinrichtung 710 auf. Die Speichervorrichtung 702, die Verarbeitungseinrichtung 704, die Tastatur 702, die Anzeige 708 sowie die Drahtloskommunikationseinrichtung 710 sind mittels eines Busses 712 miteinander verbunden.In accordance with an embodiment of the invention, integrated circuits / memory devices described above may be used in a variety of applications or systems, such as those disclosed in U.S. Pat 7 shown computer system. The computer system 700 has an integrated circuit / memory device 702 on. The system further comprises a processing device 704 (For example, a microprocessor, other processing device or a controller), an input and output device tion, for example a keyboard 702 , an ad 708 and / or a wireless communication device 710 on. The storage device 702 , the processing device 704 , the keyboard 702 , the ad 708 and the wireless communication device 710 are by means of a bus 712 connected with each other.

Die Drahtloskommunikationseinrichtung 710 kann dazu ausgelegt sein, über ein Telefon-Festnetz, ein WiFi-Drahtlosnetzwerk oder andere drahtlose Netzwerke zu senden oder zu empfangen. Die in 7 gezeigten Eingabe-Ausgabeeinrichtungen sind nur Beispiele. Die integrierten Schaltungen/Speichervorrichtungen, die vorangehend beschrieben wurden, können in alternativen Systemen zum Einsatz kommen. Alternative Systeme können eine Vielzahl unterschiedlicher/alternativer Eingabe- und Ausgabeeinrichtungen, Prozessoren, oder Verarbeitungseinrichtungen sowie Buskonfigurationen aufweisen. Derartige Systeme können zum allgemeinen Gebrauch oder für spezielle Zwecke ausgelegt sein, beispielsweise für drahtlose Kommunikation/Festnetzkommunikation, Fotografie, Abspielen von Musik oder anderer digitaler Information, oder beliebigen anderen bekannten oder noch nicht bekannten Anwendungen im Zusammenhang mit einem Computersystem.The wireless communication device 710 may be configured to transmit or receive over a telephone landline, WiFi wireless network or other wireless networks. In the 7 shown input-output devices are only examples. The integrated circuits / memory devices described above can be used in alternative systems. Alternative systems may include a variety of different / alternative input and output devices, processors, or processing devices, as well as bus configurations. Such systems may be for general or special purpose use, such as for wireless communication / landline communication, photography, playing music or other digital information, or any other known or unknown applications associated with a computer system.

Wie in 8A und 8B gezeigt ist, können Ausführungsformen der erfindungsgemäßen Speichervorrichtungen/integrierten Schaltungen in Modulen zum Einsatz kommen. In 8A ist ein Speichermodul 800 gezeigt, das ein oder mehrere Speichervorrichtungen/integrierte Schaltungen 804 aufweist, die auf einem Substrat 802 angeordnet sind. Jede Speichervorrichtung/integrierte Schaltung 804 kann mehrere Speicherzellen beinhalten. Das Speichermodul 800 kann auch ein oder mehrere elektronische Vorrichtungen 806 aufweisen, die Speicher, Verarbeitungsschaltungen, Steuerschaltungen, Adressschaltungen, Busverbindungsschaltungen oder andere Schaltungen bzw. elektronische Einrichtungen beinhalten, die mit Speichervorrichtung(en) eines Moduls kombiniert werden können, beispielsweise den Speichervorrichtungen/integrierte Schaltungen 804. Weiterhin kann das Speichermodul 800 eine Mehrzahl elektrischer Verbindungen 808 aufweisen, die eingesetzt werden können, um das Speichermodul 800 mit anderen elektronischen Komponenten, beispielsweise anderen Modulen, zu verbinden.As in 8A and 8B 1, embodiments of the memory devices / integrated circuits according to the invention can be used in modules. In 8A is a memory module 800 shown that one or more storage devices / integrated circuits 804 which is on a substrate 802 are arranged. Each storage device / integrated circuit 804 can contain several memory cells. The memory module 800 can also use one or more electronic devices 806 comprising memory, processing circuits, control circuits, address circuits, bus connection circuits, or other circuitry or electronic devices that may be combined with memory device (s) of a module, such as memory devices / integrated circuits 804 , Furthermore, the memory module 800 a plurality of electrical connections 808 which can be used to the memory module 800 to connect with other electronic components, such as other modules.

Wie in 8B gezeigt ist, können diese Module stapelbar ausgestaltet sein, um einen Stapel 850 auszubilden. Beispielsweise kann ein stapelbares Speichermodul 852 ein oder mehrere integrierte Schaltungen/Speichervorrichtungen 856 enthalten, die auf einem stapelbaren Substrat 854 angeordnet sind. Jede integrierte Schaltung/Speichervorrichtung 856 kann mehrere Speicherzellen enthalten. Das stapelbare Speichermodul 852 kann auch ein oder mehrere elektronische Vorrichtungen 858 aufweisen, die Speicher, Verarbeitungsschaltungen, Steuerschaltungen, Adressschaltungen, Busverbindungsschaltungen oder andere Schaltungen bzw. elektronische Einrichtungen beinhalten, und die mit Speichervorrichtungen eines Moduls kombiniert werden können, beispielsweise mit den integrierten Schaltungen/Speichervorrichtungen 856. Elektrische Verbindungen 860 werden dazu benutzt, um das stapelbare Speichermodul 852 mit anderen Modulen innerhalb des Stapels 850 zu verbinden. Andere Module des Stapels 850 können zusätzliche stapelbare Speichermodule sein, die dem oben beschriebenen stapelbaren Speichermodul 852 ähneln, oder andere Typen stapelbarer Module sein, beispielsweise stapelbare Verarbeitungsmodule, Kommunikationsmodule, oder Module, die elektronische Komponenten enthalten.As in 8B As shown, these modules may be stackable to form a stack 850 train. For example, a stackable memory module 852 one or more integrated circuits / memory devices 856 included on a stackable substrate 854 are arranged. Each integrated circuit / memory device 856 can contain several memory cells. The stackable memory module 852 can also use one or more electronic devices 858 comprising memory, processing circuits, control circuits, address circuits, bus connection circuits, or other circuitry, and which may be combined with memory devices of a module, such as integrated circuits / memory devices 856 , Electrical connections 860 are used to make the stackable memory module 852 with other modules within the stack 850 connect to. Other modules of the stack 850 may be additional stackable memory modules that are the stackable memory module described above 852 or other types of stackable modules, such as stackable processing modules, communication modules, or modules containing electronic components.

Gemäß einer Ausführungsform der Erfindung können die Widerstandsänderungsspeicherzellen einer Widerstandsänderungsspeichervorrichtung Phasenänderungsspeicherzellen sein, die Phasenänderungsmaterial aufweisen. Das Phasenänderungsmaterial kann zwischen wenigstens zwei Kristallisierungszuständen geschaltet werden (d. h. das Phasenänderungsmaterial kann wenigstens zwei Kristallisierungsgrade annehmen), wobei jeder Kristallisierungszustand einen Speicherzustand repräsentiert. Wenn die Anzahl möglicher Kristallisierungszustände zwei beträgt, wird der Kristallisierungszustand, der einen hohen Kristallisierungsgrad aufweist, auch als „kristalliner Zustand" bezeichnet, wohin gegen der Kristallisierungszustand, der einen niedrigen Kristallisierungsgrad aufweist, auch als „amorpher Zustand" bezeichnet wird. Unterschiedliche Kristallisierungszustände können durch entsprechende unterschiedliche elektrische Eigenschaften voneinander unterschieden werden, insbesondere durch unterschiedliche Widerstände, die hierdurch impliziert werden. Beispielsweise hat ein Kristallisierungszustand, der einen hohen Kristallisierungsgrad (geordnete atomare Struktur) aufweist, im Allgemeinen einen niedrigeren Widerstand als ein Kristallisierungszustand, der einen niedrigen Kristallisierungsgrad aufweist (ungeordnete atomare Struktur). Der Einfachheit halber soll im Folgenden angenommen werden, dass das Phasenänderungsmaterial zwei Kristallisierungszustände annehmen kann (einen „amorphen Zustand" und einen „kristallinen Zustand"). Jedoch sei erwähnt, dass auch zusätzliche Zwischenzustände verwendet werden können.According to one embodiment of the invention the resistance change memory cells a resistance change memory device phase change memory cells be, the phase change material exhibit. The phase change material can be switched between at least two crystallization states (i.e., the phase change material may assume at least two degrees of crystallization), each one Crystallization state represents a memory state. If the number of possible crystallization states is two, becomes the crystallization state having a high degree of crystallization Also referred to as "crystalline state", where against the crystallization state, which has a low degree of crystallization also known as "amorphous State " becomes. Different crystallization states can be differentiated by corresponding different electrical properties are distinguished from each other, in particular by different resistances, which are implied by this. For example, a crystallization state, a high degree of crystallization (ordered atomic structure) generally has a lower resistance than a crystallization state, which has a low degree of crystallization (disordered atomic structure). For the sake of simplicity, it shall be assumed below that that the phase change material two crystallization states can accept (an "amorphous State "and a" crystalline State "). However be mentioned that also uses additional intermediate states can be.

Phasenänderungsspeicherzellen können vom amorphen Zustand in den kristallinen Zustand (und umgekehrt) überwechseln, wenn Temperaturschwankungen innerhalb des Phasenänderungsmaterials autreten. Derartige Temperaturänderungen können auf unterschiedliche Art und Weisen hervorgerufen werden. Beispielsweise kann ein Strom durch das Phasenänderungsmaterial geleitet werden (oder eine Spannung kann an das Phasenänderungsmaterial angelegt werden). Alternativ hierzu kann einem Widerstandsheizelement, das neben dem Phasenänderungsmaterial vorgesehen ist, ein Strom oder eine Spannung zugeführt werden. Um den Speicherzustand einer Widerstandsänderungsspeicherzelle festzulegen, kann ein Messstrom durch das Phasenänderungsmaterial geleitet werden (oder eine Messspannung kann an das Phasenänderungsmaterial angelegt werden), womit der Widerstand der Widerstandsänderungsspeicherzelle, der den Speicherzustand der Speicherzelle repräsentiert, gemessen wird.Phase change memory cells may transition from the amorphous state to the crystalline state (and vice versa) when temperature variations within the phase change material occur. Such temperature changes can come in different ways be called. For example, a current may be passed through the phase change material (or a voltage may be applied to the phase change material). Alternatively, a current or voltage may be supplied to a resistance heating element provided adjacent to the phase change material. In order to set the memory state of a resistance change memory cell, a sense current may be passed through the phase change material (or a sense voltage may be applied to the phase change material), thereby measuring the resistance of the resistance change memory cell representing the memory state of the memory cell.

9 zeigt eine Querschnittsdarstellung einer beispielhaften Phasenänderungsspeicherzelle 900 (Aktiv-In-Via-Typ). Die Phasenänderungsspeicherzelle 900 weist eine erste Elektrode 902, Phasenänderungsmaterial 904, eine zweite Elektrode 906 sowie isolierendes Material 908 auf. Das Phasenänderungmaterial 904 wird lateral durch das isolierende Material 908 eingeschlossen. Eine Auswahlvorrichtung (nicht gezeigt) wie beispielsweise ein Transistor, eine Diode oder eine andere aktive Vorrichtung kann mit der ersten Elektrode 902 oder der zweiten Elektrode 906 gekoppelt sein, um das Beaufschlagen des Phasenänderungsmaterials 904 mit Strom oder Spannung unter Verwendung der ersten Elektrode 902 und/oder der zweiten Elektrode 906 zu steuern. Um das Phasenänderungsmaterial 904 in den kristallinen Zustand zu überführen, kann das Phasenänderungsmaterial 904 mit einem Strompuls und/oder einem Spannungspuls beaufschlagt werden, wobei die Pulsparameter so gewählt werden, dass die Temperatur des Phasenänderungsmaterials 904 über die Phasenänderungsmaterial-Kristallisisierungstemparatur steigt, jedoch unterhalb der Phasenänderungsmaterial-Schmelztemperatur gehalten wird. Wenn das Phasenänderungsmaterial 904 in den amorphen Zustand überführt werden soll, kann das Phasenänderungsmaterial 904 mit einem Strompuls und/oder einem Spannungspuls beaufschlagt werden, wobei die Pulsparameter so gewählt werden, dass die Temperatur des Phasenänderungsmaterials 904 schnell über die Phasenänderungsmaterial-Schmelztemperatur steigt, wobei das Phasenänderungsmaterial 904 anschließend schnell abgekühlt wird. 9 shows a cross-sectional view of an exemplary phase change memory cell 900 (Active-in-via type). The phase change memory cell 900 has a first electrode 902 , Phase change material 904 , a second electrode 906 as well as insulating material 908 on. The phase change material 904 becomes lateral through the insulating material 908 locked in. A selection device (not shown) such as a transistor, a diode or other active device may be connected to the first electrode 902 or the second electrode 906 be coupled to the application of the phase change material 904 with current or voltage using the first electrode 902 and / or the second electrode 906 to control. To the phase change material 904 into the crystalline state, the phase change material 904 be subjected to a current pulse and / or a voltage pulse, wherein the pulse parameters are selected so that the temperature of the phase change material 904 above the phase change material crystallization temperature, but kept below the phase change material melting temperature. If the phase change material 904 is to be converted into the amorphous state, the phase change material 904 be subjected to a current pulse and / or a voltage pulse, wherein the pulse parameters are selected so that the temperature of the phase change material 904 rises rapidly above the phase change material melting temperature, with the phase change material 904 then cooled quickly.

Das Phasenänderungsmaterial 904 kann eine Vielzahl von Materialien enthalten. Gemäß einer Ausführungsform kann das Phasenänderungsmaterial 904 eine Chalcogenidlegierung aufweisen (oder daraus bestehen), die eine oder mehrere Elemente aus der Gruppe VI des Periodensystems beinhaltet. Gemäß einer weiteren Ausführungsform kann das Phasenänderungsmaterial 904 Chalcogenid-Verbundmaterial aufweisen oder daraus bestehen, wie beispielsweise GeSbTe, SbTe, GeTe oder AbInSbTe. Gemäß einer weiteren Ausführungsform kann das Phasenänderungsmaterial 904 ein chalgogenfreies Material aufweisen oder daraus bestehen, wie beispielsweise GeSb, GaSb, InSb, oder GeGaInSb. Gemäß einer weiteren Ausführungsform kann das Phasenänderungsmaterial 904 jedes geeignetes Material aufweisen oder daraus bestehen, das eines oder mehrere der Elemente Ge, Sb, Te, Ga, Bi, Pb, Sn, Si, P, O, As, In, Se, und S aufweist.The phase change material 904 can contain a variety of materials. According to one embodiment, the phase change material 904 comprise (or consist of) a chalcogenide alloy containing one or more elements of group VI of the periodic table. According to a further embodiment, the phase change material 904 Comprise or consist of chalcogenide composite material such as GeSBTe, SbTe, GeTe or AbInSbTe. According to a further embodiment, the phase change material 904 comprise or consist of a chalcogen-free material, such as GeSb, GaSb, InSb, or GeGaInSb. According to a further embodiment, the phase change material 904 comprise or consist of any suitable material comprising one or more of Ge, Sb, Te, Ga, Bi, Pb, Sn, Si, P, O, As, In, Se, and S.

Gemäß einer Ausführungsform der Erfindung weist zumindest eine der ersten Elektrode 902 und der zweiten Elektrode 906 Ti, V, Cr, Zr, Nb, Mo, Hf, Ta, W oder Mischungen oder Legierungen hieraus auf (oder bestehen hieraus). Gemäß einer weiteren Ausführungsform weist zumindest eine der ersten Elektrode 902 und der zweiten Elektrode 906 Ti, V, Cr, Zr, Nb, Mo, Hf, Ta, W und zwei oder mehrere Elemente der Gruppe: B, C, N, O, Al, Si, P, S und/oder Mischungen und Legierungen hieraus auf (oder bestehen hieraus). Beispiele derartiger Materialien sind TiCN, TiAlN, TiSiN, W-Al2O3, und Cr-Al2O3.According to one embodiment of the invention, at least one of the first electrode 902 and the second electrode 906 Ti, V, Cr, Zr, Nb, Mo, Hf, Ta, W or mixtures or alloys thereof (or consist thereof). According to a further embodiment, at least one of the first electrode 902 and the second electrode 906 Ti, V, Cr, Zr, Nb, Mo, Hf, Ta, W and two or more elements of the group: B, C, N, O, Al, Si, P, S and / or mixtures and alloys thereof (or consist of this). Examples of such materials are TiCN, TiAlN, TiSiN, W-Al 2 O 3 , and Cr-Al 2 O 3 .

10 zeigt ein Blockdiagramm einer Speichervorrichtung 1000, die einen Schreibpulsgenerator 1002, eine Verteilungsschaltung 1004, Phasenänderungsspeicherzellen 1006a, 1006b, 1006c, 1006d (beispielsweise Phasenänderungsspeicherzellen 900 wie in 9 gezeigt) und einen Leseverstärker 1008 aufweist. Gemäß einer Ausführungsform erzeugt der Schreibpulsgenerator 1002 Strompulse oder Spannungspulse, die den Phasenänderungsspeicherzellen 1006a, 1006b, 1006c, 1006d mittels der Verteilungsschaltung 1004 zugeführt werden, wodurch die Speicherzustände der Phasenänderungsspeicherzellen 1006a, 1006b, 1006c, 1006d programmiert werden. Gemäß einer Ausführungsform weist die Verteilungsschaltung 1004 eine Mehrzahl von Transistoren auf, die den Phasenänderungspeicherzellen 1006a, 1006b, 1006c, 1006d bzw. Heizelementen, die neben den Phasenänderungsspeicherzellen 1006a, 1006b, 1006c, 1006d vorgesehen sind, Gleichstrompulse oder Gleichspannungspulse zuführen. 10 shows a block diagram of a memory device 1000 containing a write pulse generator 1002 , a distribution circuit 1004 , Phase change memory cells 1006a . 1006b . 1006c . 1006d (For example, phase change memory cells 900 as in 9 shown) and a sense amplifier 1008 having. According to one embodiment, the write pulse generator generates 1002 Current pulses or voltage pulses representing the phase change memory cells 1006a . 1006b . 1006c . 1006d by means of the distribution circuit 1004 whereby the storage states of the phase change memory cells 1006a . 1006b . 1006c . 1006d be programmed. According to one embodiment, the distribution circuit 1004 a plurality of transistors connecting the phase change memory cells 1006a . 1006b . 1006c . 1006d or heating elements adjacent to the phase change memory cells 1006a . 1006b . 1006c . 1006d are provided to supply DC pulses or DC pulses.

Wie bereits angedeutet wurde, kann das Phasenänderungsmaterial der Phasenänderungsspeicherzellen 1006a, 1006b, 1006c, 1006d von dem amorphen Zustand in den kristallinen Zustand (oder umgekehrt) überführt werden durch Ändern der Temperatur. Allgemeiner kann das Phasenänderungsmaterial von einem ersten Kristallisierungsgrad in einen zweiten Kristallisierungsgrad überführt werden aufgrund einer Temperaturänderung. Beispielsweise kann der Bitwert „Null" dem ersten (niedrigen) Kristallisierungsgrad, und der Bitwert „1" dem zweiten (hohen) Kristallisierungsgrad zugewiesen werden. Da unterschiedliche Kristallisierungsgrade unterschiedliche elektrische Widerstände implizieren, ist der Leseverstärker 1008 dazu im Stande, den Speicherzustand einer der Phasenänderungspeicherzellen 1006a, 1006b, 1006c oder 1006d in Abhängigkeit des Widerstands des Phasenänderungsmaterials zu ermitteln.As already indicated, the phase change material of the phase change memory cells 1006a . 1006b . 1006c . 1006d from the amorphous state to the crystalline state (or vice versa) by changing the temperature. More generally, the phase change material can be converted from a first degree of crystallization to a second degree of crystallinity due to a temperature change. For example, the bit value "zero" may be assigned to the first (low) degree of crystallization, and the bit value "1" to the second (high) degree of crystallization. Since different degrees of crystallization imply different electrical resistances, is the sense amplifier 1008 capable of storing one of the phase change memory cells 1006a . 1006b . 1006c or 1006d depending on the resistance of the phase change material to determine.

Um hohe Speicherdichten zu erzielen, können die Phasenänderungsspeicherzellen 1006a, 1006b, 1006c und 1006d zur Speicherung mehrerer Datenbits ausgelegt sein (d. h. das Phasenänderungsmaterial kann auf unterschiedliche Widerstandswerte programmiert werden). Beispielsweise können, wenn eine Phasenänderungsspeicherzelle 1006a, 1006b, 1006c und 1006d auf einen von drei möglichen Widerstandsleveln programmiert wird, 1.5 Datenbits pro Speicherzelle gespeichert werden. Wenn die Phasenänderungsspeicherzelle auf einen von vier möglichen Widerstandsleveln programmiert wird, können zwei Datenbits pro Speicherzelle gespeichert werden, und so weiter.In order to achieve high storage densities, the phase change memory cells 1006a . 1006b . 1006c and 1006d be designed to store several bits of data (ie the phase change material can be programmed to different resistance values). For example, if a phase change memory cell 1006a . 1006b . 1006c and 1006d is programmed to one of three possible resistance levels, 1.5 data bits per memory cell are stored. If the phase change memory cell is programmed to one of four possible resistance levels, two bits of data per memory cell can be stored, and so on.

Die in 10 gezeigte Ausführungsform kann auf ähnliche Art und Weise auch auf andere Widerstandsänderungsspeicherelemente angewandt werden wie programmierbare Metallisierungszellen (PMCs), magnetorresistive Speicherzellen (beispielsweise MRAMs), organische Speicherzellen (beispielsweise ORAMs), oder Übergangsmetalloxid-Speicherzellen (TMOs).In the 10 The illustrated embodiment may similarly be applied to other resistance change memory elements such as programmable metallization cells (PMCs), magnetoresistive memory cells (eg, MRAMs), organic memory cells (eg, ORAMs), or transition metal oxide memory cells (TMOs).

Ein weiterer Typ von Widerstandsänderungsspeicherzellen, der zum Einsatz kommen kann, besteht darin, Kohlenstoff als Widerstandsänderungsmaterial einzusetzen. Im Allgemeinem hat amorpher Kohlenstoff, der reich an sp3-hybridisiertem Kohlenstoff ist (d. h. tetraedisch gebundener Kohlenstoff) einen hohen Widerstand, wohin gegen amorpher Kohlenstoff, der reich an sp2-hybridisiertem Kohlenstoff ist (das heißt trigonal gebundener Kohlenstoff), einen niedrigen Widerstand. Dieser Widerstandsunterschied kann in Widerstandsänderungsspeicherzellen ausgenutzt werden.Another type of resistance change memory cell that can be used is to use carbon as a resistance change material. In general, amorphous carbon rich in sp 3 -hybridized carbon (ie, tetrahedral bonded carbon) has high resistance, whereas amorphous carbon rich in sp 2 -hybridized carbon (i.e., trigonal-bonded carbon) has low resistance , This resistance difference can be utilized in resistance change memory cells.

Gemäß einer Ausführungsform der Erfindung wird eine Kohlenstoffspeicherzelle auf ähnliche Art und Weise ausgebildet, wie oben im Zusammenhang mit den Phasenänderungsspeicherzellen beschrieben wurde. Eine temperaturinduzierte Änderung zwischen einem sp3-reichen Zustand und einem sp2-reichen Zustand kann dazu genutzt werden, den Widerstand von amorphem Kohlenstoffmaterial zu ändern. Diese variierenden Widerstände können genutzt werden, um unterschiedliche Speicherzustände zu darzustellen. Beispielsweise kann ein sp3-reicher Zustand (Hochwiderstandszustand) "Null" repräsentieren, und ein sp2-reicher Zustand (Niedrigwiderstandszustand) "Eins" repräsentieren. Zwischenwiderstandszustände können dazu genutzt werden, mehrere Bits darzustellen, wie oben beschrieben wurde.According to one embodiment of the invention, a carbon memory cell is formed in a similar manner as described above in connection with the phase change memory cells. A temperature-induced change between an sp 3 -rich state and an sp 2 -rich state can be used to change the resistance of amorphous carbon material. These varying resistances can be used to represent different memory conditions. For example, an sp 3 rich state (high resistance state) may represent "zero", and an sp 2 rich state (low resistance state) may represent "one". Intermediate resistance states can be used to represent multiple bits as described above.

Bei diesem Kohlenstoffspeicherzellentyp verursacht die Anwendung einer ersten Temperatur im Allgemeinem einen Übergang, der sp3-reichen amorphen Kohlenstoff in sp2-reichen amorphen Kohlenstoff überführt. Dieser Übergang kann durch die Anwendung einer zweiten Temperatur, die typischerweise höher ist als die erste Temperatur, rückgängig gemacht werden. Wie oben erwähnt wurde, können diese Temperaturen beispielsweise durch Beaufschlagen des Kohlenstoffmaterials mit einem Strompuls und/oder einem Spannungspuls erzeugt werden. Alternativ können die Temperaturen unter Einsatz eines Widerstandsheizelements, das neben dem Kohlenstoffmaterial vorgesehen ist, erzeugt werden.In this type of carbon storage cell, the use of a first temperature generally causes a transition that converts sp 3 -rich amorphous carbon into sp 2 -rich amorphous carbon. This transition can be reversed by the application of a second temperature, which is typically higher than the first temperature. As mentioned above, these temperatures may be generated by, for example, charging the carbon material with a current pulse and / or a voltage pulse. Alternatively, the temperatures may be generated using a resistance heating element provided adjacent to the carbon material.

Eine weitere Möglichkeit, Widerstandsänderungen in amorphem Kohlenstoff zum Speichern von Information zu nutzen, ist das Feldstärken-induzierte Ausbilden eines leitenden Pfades in einem isolierenden amorphen Kohlenstofffilm. Beispielsweise kann das Anwenden eines Spannungspulses oder Strompulses das Ausbilden eines leitenden sp2-Filaments in isolierendem, sp3-reichem amorphem Kohlenstoff bewirken. Die Funktionsweise dieses Widerstandskohlenstoffspeichertyps ist in den 11A und 11B gezeigt.Another way to utilize resistance changes in amorphous carbon to store information is the field strength induced formation of a conductive path in an insulating amorphous carbon film. For example, applying a voltage pulse or current pulse may cause the formation of a conductive sp 2 filament in insulating, sp 3 -rich amorphous carbon. The operation of this resistance carbon storage type is described in FIGS 11A and 11B shown.

11A zeigt eine Kohlenstoffspeicherzelle 1100, die einen Topkontakt 1102, eine Kohlenstoffspeicherschicht 1104 mit isolierendem amorphem Kohlenstoffmaterial, das reich an sp3-hybridiesierten Kohlenstoffatomen ist, und einen Bottomkontakt 1106 aufweist. Wie in 11B gezeigt ist, kann mittels eines Stroms (oder einer Spannung), der durch die Kohlenstoffspeicherschicht 1104 geleitet wird, ein sp2-Filament 1150 in der sp3-reichen Kohlenstoffspeicherschicht 1104 ausgebildet werden, womit der Widerstand der Speicherzelle geändert wird. Das Anwenden eines Strompulses (oder Spannungspulses) mit hoher Energie (oder mit umgekehrter Polarität) kann das sp2-Filament 1150 zerstören, womit der Widerstand der Kohlenstoffspeicherschicht 1104 erhöht wird. Wie oben diskutiert wurde, können die Änderungen des Widerstands den Kohlenstoffspeicherschicht 1104 dazu benutzt werden, Information zu speichern, wobei beispielsweise ein Hochwiderstandszustand „Null", und ein Niedrigwiderstandszustand „Eins" repräsentiert. Zusätzlich können in einigen Ausführungsformen Zwischengrade der Filamentausbildung oder das Ausbilden mehrerer Filamente in sp3-reichen Kohlenstofffilmen genutzt werden, um mehrere variierende Widerstandslevel bereit zu stellen, womit in einer Kohlenstoffspeicherzelle mehrere Informationsbits speicherbar sind. In einigen Ausführungsformen können alternierend sp3-reiche Kohlenstoffschichten und sp2-reiche Kohlenstoffschichten zum Einsatz kommen, wobei die sp3-reichen Schichten das Ausbilden leitender Filamente anregen, so dass die Stromstärken und/oder Spannungsstärken, die zum Schreiben eines Werts in diesen Kohlenstoffspeichertyp zum Einsatz kommen, reduziert werden können. 11A shows a carbon storage cell 1100 who have a top contact 1102 a carbon storage layer 1104 with insulating amorphous carbon material rich in sp 3 -hybridized carbon atoms and a bottom contact 1106 having. As in 11B can be shown by means of a current (or voltage) passing through the carbon storage layer 1104 is passed, an SP 2 filament 1150 in the sp 3 -rich carbon storage layer 1104 are formed, whereby the resistance of the memory cell is changed. Applying a high energy (or reverse polarity) current pulse (or voltage pulse) may be the sp 2 filament 1150 destroy what the resistance of the carbon storage layer 1104 is increased. As discussed above, the changes in resistance may be to the carbon storage layer 1104 be used to store information, for example, representing a high resistance state "zero", and a low resistance state "one". In addition, in some embodiments, intermediate levels of filament formation or formation of multiple filaments in sp 3 -rich carbon films may be used to provide multiple varying levels of resistance, thereby storing multiple bits of information in a carbon memory cell. In some embodiments, alternating sp 3 -rich carbon layers and sp 2 -rich carbon layers may be employed, with the sp 3 -rich layers exciting the formation of conductive filaments such that the currents and / or voltages, can be reduced to write a value in this carbon storage type used.

Die Widerstandsänderungsspeicherzellen wie beispielsweise die Phasenänderungsspeicherzellen und die Kohlenstoffspeicherzellen, die vorangehend beschrieben wurden, können mit einem Transistor, einer Diode oder einem anderen aktiven Element zum Auswählen der Speicherzelle versehen sein. 12A zeigt eine schematische Darstellung einer derartigen Speicherzelle, die ein Widerstandsänderungsspeicherelement benutzt. Die Speicherzelle 1200 weist einen Auswahltransistor 1202 und ein Widerstandsänderungsspeicherelement 1204 auf. Der Auswahltransistor 1202 weist einen Source-Abschnitt 1206, der mit einer Bitleitung 1208 verbunden ist, einen Drainabschnitt 1210, der mit dem Speicherelement 1204 verbunden ist, und einen Gateabschnitt 1212, der mit einer Wortleitung 1214 verbunden ist, auf. Das Widerstandsänderungsspeicherelement 1204 ist weiterhin mit einer gemeinsamen Leitung 1216 verbunden, die geerdet oder mit einer anderen Schaltung verbunden sein kann, wie beispielsweise einer Schaltung (nicht gezeigt) zum Bestimmen des Widerstands der Speicherzelle 1200, was bei Lesevorgängen zum Einsatz kommen kann. Alternativ kann in einigen Konfigurationen eine Schaltung (nicht gezeigt) zum Ermitteln des Zustands der Speicherzellen 1200 während des Lesevorgangs mit der Bitleitung 1208 verbunden sein.The resistance change memory cells such as the phase change memory cells and the carbon memory cells described above may be provided with a transistor, a diode or other active element for selecting the memory cell. 12A shows a schematic representation of such a memory cell using a resistance change memory element. The memory cell 1200 has a selection transistor 1202 and a resistance change memory element 1204 on. The selection transistor 1202 has a source section 1206 that with a bit line 1208 is connected, a drain section 1210 that with the memory element 1204 connected, and a gate section 1212 that with a wordline 1214 is connected. The resistance change memory element 1204 is still with a common line 1216 which may be grounded or connected to another circuit, such as a circuit (not shown) for determining the resistance of the memory cell 1200 what can be used in reading operations. Alternatively, in some configurations, a circuit (not shown) for determining the state of the memory cells 1200 during the read operation with the bit line 1208 be connected.

Wenn in die Speicherzelle 1200 beschrieben werden soll, wird die Wortleitung 1214 zum Auswählen der Speicherzelle 1200 genutzt, und das Widerstandsänderungsspeicherelement 1204 wird mit einem Strompuls (oder Spannungspuls) unter Verwendung der Bitleitung 1208 beaufschlagt, womit der Widerstand des Widerstandsänderungsspeicherelements 1204 geändert wird. Auf ähnliche Art und Weise wird, wenn aus der Speicherzelle 1200 gelesen wird, die Wortleitung 1214 dazu genutzt, die Zelle 1200 auszuwählen, und die Bitleitung 1208 wird dazu genutzt, das Widerstandsänderungsspeicherelement 1204 mit einer Lesespannung oder einem Lesestrom zu beaufschlagen, um den Widerstand des Widerstandsänderungsspeicherelements 1204 zu messen.When in the memory cell 1200 will be described, the word line 1214 for selecting the memory cell 1200 used, and the resistance change memory element 1204 is done with a current pulse (or voltage pulse) using the bit line 1208 applied, whereby the resistance of the resistance change memory element 1204 will be changed. Similarly, when out of the memory cell 1200 is read, the word line 1214 used the cell 1200 and the bit line 1208 is used to change the resistance change memory element 1204 to apply a read voltage or a read current to the resistance of the resistance change memory element 1204 to eat.

Die Speicherzelle 1200 kann als 1T1J-Zelle bezeichnet werden, da sie einen Transistor und einen Speicherübergang (das Widerstandsänderungsspeicherelement 1204) nutzt. Typischerweise weist eine Speichervorrichtung ein Array auf, das eine Vielzahl derartiger Zellen aufweist. Anstelle einer 1T1J-Speicherzelle können andere Konfigurationen zum Einsatz kommen. Beispielsweise ist in 12B ein alternativer Aufbau einer 1T1J-Speicherzelle 1250 gezeigt, in dem ein Auswahltransistor 1252 und ein Widerstandänderungsspeicherelement 1254 auf andere Art und Weise angeordnet sind, verglichen zu dem in 12A gezeigten Aufbau. In diesem alternativem Aufbau ist das Widerstandsänderungsspeicherelement 1254 mit einer Bitleitung 1258 sowie mit einem Source-Abschnitt 1256 des Auswahltransistors 1252 verbunden. Ein Drainabschnitt 1260 des Auswahltransistors 1252 ist mit einer gemeinsamen Leitung 1266 verbunden, die geerdet oder mit einer anderen Schaltung (nicht gezeigt) verbunden sein kann, wie oben diskutiert wurde. Ein Gateabschnitt 1262 des Auswahltransistors 1252 wird mittels einer Wortleitung 1264 gesteuert.The memory cell 1200 may be referred to as a 1T1J cell because it includes a transistor and a memory transition (the resistance change memory element 1204 ) uses. Typically, a storage device comprises an array having a plurality of such cells. Instead of a 1T1J memory cell, other configurations may be used. For example, in 12B an alternative construction of a 1T1J memory cell 1250 shown in which a selection transistor 1252 and a resistance change memory element 1254 are arranged in a different way compared to that in 12A shown construction. In this alternative construction, the resistance change storage element is 1254 with a bit line 1258 as well as with a source section 1256 of the selection transistor 1252 connected. A drain section 1260 of the selection transistor 1252 is with a common line 1266 which may be grounded or connected to another circuit (not shown) as discussed above. A gate section 1262 of the selection transistor 1252 is by means of a wordline 1264 controlled.

Gemäß einer Ausführungsform der Erfindung werden Speichervorrichtungen erhöhter Qualität und erhöhter Reproduzierbarkeit bereitgestellt.According to one embodiment The invention provides storage devices of increased quality and increased reproducibility.

In der folgenden Erfindung sollen weitere beispielhafte Ausführungsformen der Erfindung erläutert werden.In The following invention is intended to further exemplary embodiments of the invention explained become.

Gemäß einer Ausführungsform der Erfindung wird eine Delaminierung von Chalcogenid während der VC-Via-Ausbildung vermieden.According to one embodiment The invention relates to a delamination of chalcogenide during the VC via education avoided.

In Standardherstellungsverfahren wird der Top-BEOL-Kontakt zur CBRAM-Chalcogenidplatte ausgebildet, nachdem der PL-Strukturierprozess (Strukturierprozess der Verbundsstruktur, die eine Festkörperelektrolytschicht und eine Elektrodenschicht, die auf der Festkörperelektrolytschicht angeordnet ist, aufweist) ausgeführt wurde. Wenn nach dem VC-Ätzen die Standard-Wolfram-Abscheidung zum Einsatz kommt, kann eine Delaminierung des strukturierten Chalcogenids auftreten.In Standard manufacturing process, the top BEOL contact is made to the CBRAM chalcogenide plate, after the PL structuring process (structuring process the composite structure comprising a solid electrolyte layer and a Electrode layer disposed on the solid electrolyte layer is, has) executed has been. If after VC etching the Standard tungsten deposition is used, can cause delamination of the structured chalcogenide.

Gemäß einer Ausführungsform der Erfindung wird der VC-Wolfram-Plug erzeugt werden, bevor das Chalcogenid strukturiert wird, und die Wolfram-Abscheidung kann ausgeführt werden unter Verwendung eines PVD-Prozesses bei niedriger Temperatur (weniger als 300°C). Ohne das Strukturieren des Chalcogenids und unter Verwendung einer Wolfram-Niedrigtemperaturabscheidung kann die Delamination vermieden werden.According to one embodiment The invention is the VC tungsten plug be generated before the chalcogenide is structured, and the Tungsten deposition can be performed are using a PVD process at low temperature (less than 300 ° C). Without structuring chalcogenide and using a Tungsten low temperature deposition can avoid delamination become.

Gemäß einer Ausführungsform der Erfindung kann der VC-Kontakt ausgeführt werden, bevor das PL strukturiert wird unter Verwendung einer PVD-Wolfram-Abscheidung. Das VC- Strukturieren kann ausgeführt werden mittels Ätzens von Wolfram und einer nachfolgenden Oxidabscheidung. Das abgeschiedene Oxid wird als Hartmaske während des PL-Ätzprozesses eingesetzt. Nach dem PL-Ätzprozess wird eine neue Oxidabscheidung ausgeführt und ein Planarisierungsprozess (beispielsweise ein CMP-Prozess) ausgeführt, womit der VC-Plug „geöffnet" wird.According to one embodiment According to the invention, the VC contact can be performed before the PL structures is using a PVD tungsten deposition. The VC structuring can accomplished be by etching of tungsten and a subsequent oxide deposition. The secluded Oxide is used as a hard mask during of the PL etching process used. After the PL etching process a new oxide deposition is performed and a planarization process (for example, a CMP process), which "opens" the VC plug.

Gemäß einer Ausführungsform der Erfindung erfolgt zuerst PL-Ätzen, dann VC-Ätzen und einen Auffüllprozess von Wolfram bei einer Abscheidetemperatur von 350°C.According to one embodiment of the invention, first PL etching, then VC etching and ei a filling process of tungsten at a deposition temperature of 350 ° C.

Gemäß einer Ausführungsform der Erfindung ist das Integrationsschema wie folgt:
Chalcogenid-Abscheidung und Silberabscheidung
TaN-Abscheidung (Heizprozess kann ausgeführt werden, wenn notwendig)
PVD-Wolfram-Abscheidung
Lithographie-Ätz-Strukturierung (VC-Level)
Oxidabscheidung (Hartmaske)
Lithographie-Ätz-Strukturierung (PL-Level)
Oxidabscheidung (VC-ILD)
Oxid-CMP-Planarisierung (Beenden auf W)
According to one embodiment of the invention, the integration scheme is as follows:
Chalcogenide deposition and silver deposition
TaN deposition (heating process can be performed if necessary)
PVD tungsten deposition
Lithography etching structuring (VC level)
Oxide deposition (hard mask)
Lithography Etching Structuring (PL Level)
Oxide deposition (VC-ILD)
Oxide CMP Planarization (Exit to W)

Im Rahmen der Erfindung bedeuten die Begriffe "Verbinden" und "Koppeln" sowohl direktes als auch indirektes Verbinden und Koppeln.in the In the context of the invention, the terms "connecting" and "coupling" mean both direct and indirect Connect and couple.

100100
CBRAM-ZelleCBRAM cell
101101
erste Elektrodefirst electrode
102102
zweite Elektrodesecond electrode
103103
IonenleiterblockIon conductor block
104104
erste Oberflächefirst surface
105105
zweite Oberflächesecond surface
106106
Isolationsstrukturisolation structure
107107
leitender Pfadsenior path
108 108
Clustercluster
200 200
Verfahrenmethod
201201
Verbundsstrukturcomposite structure
202202
FestkörperelektrolytschichtSolid electrolyte layer
203203
erste Leitungsschichtfirst conductive layer
204204
zweite Leitungsschichtsecond conductive layer
205 205
Teilpart
206206
Trench/Spalte/GebietTrench / column / field
300 300
Verfahrenmethod
301301
Strukturstructure
313313
BottomelektrodenschichtBottom electrode layer
314314
Bottomelektrodebottom electrode
318318
Isolationsschichtinsulation layer
331331
Haftschichtadhesive layer
333333
Isolationsschichtinsulation layer
334 334
Substratsubstratum
335 335
Gebietarea
336 336
Bitleitungbit
337337
Wortleitungwordline
338338
Leitungskontaktline contact
339339
Leitungselementline element
340340
Isolationsschichtinsulation layer
341341
Isolationsgebietisolation region
342342
leitender Pfadsenior path
302302
Elektrodenschichtelectrode layer
303303
Leitungsschichtconductive layer
304304
Isolationsschichtinsulation layer
305305
Verbundsstrukturcomposite structure
600600
FestkörperelektrolytspeichervorrichtungSolid electrolyte memory device
601 601
ViaVia
602602
Isoliermaterialinsulating material
700700
Computersystemcomputer system
702702
WiderstandsänderungsspeichervorrichtungResistance change memory device
704704
Verarbeitungseinrichtungprocessing device
706706
Tastaturkeyboard
708 708
Anzeigedisplay
710710
Kommunikationseinrichtungcommunicator
712 712
Busbus
800800
Speichermodulmemory module
802802
Substratsubstratum
804804
Speichervorrichtung/Speicherzelle/integrierte SchaltungStorage device / memory cell / integrated circuit
806806
elektronische Vorrichtungelectronic contraption
808808
elektrische Verbindungelectrical connection
850 850
Stapelstack
852852
Speichermodulmemory module
854854
Substratsubstratum
856856
Speichervorrichtungstorage device
858858
elektronische Vorrichtungelectronic contraption
860860
elektrische Verbindungelectrical connection
900900
PhasenänderungsspeicherzellePhase change memory cell
902902
erste Elektrodefirst electrode
904904
PhasenänderungsmaterialPhase change material
906906
zweite Elektrodesecond electrode
908908
Isoliermaterialinsulating material
10001000
Speichervorrichtungstorage device
10021002
SchreibpulserzeugerWrite pulse generator
10041004
Verteilungsschaltungdistribution circuit
10061006
PhasenänderungsspeicherzellePhase change memory cell
11001100
KohlenstoffspeicherzelleCarbon memory cell
11021102
Topkontakttop contact
11041104
KohlenstoffspeicherschichtCarbon storage layer
1106 1106
Bottomkontaktbottom Contact
11501150
Filamentfilament
1200 1200
Speicherzellememory cell
1202 1202
Auswahltransistorselection transistor
12041204
WiderstandsänderungsspeicherelementResistance change memory element
1206 1206
Sourcesource
1208 1208
Bitleitungbit
1210 1210
Draindrain
1212 1212
Gategate
1214 1214
Wortleitungwordline
1216 1216
gemeinsame Leitungcommon management
1250 1250
Speicherzellememory cell
1252 1252
Auswahltransistorselection transistor
12541254
WiderstandsänderungsspeicherelementResistance change memory element
1256 1256
Sourcesource
1258 1258
Bitleitungbit
1260 1260
Draindrain
1262 1262
Gategate
1264 1264
Wortleitungwordline
1266 1266
gemeinsame Leitungcommon management

Claims (33)

Verfahren zum Herstellen einer integrierten Schaltung mit einer Widerstandsänderungsspeichervorrichtung, wobei das Verfahren aufweist: Bereitstellen einer Verbundsstruktur mit einer Widerstandsänderungsschicht und einer ersten Leitungsschicht, die auf oder oberhalb der Widerstandsänderungsschicht vorgesehen ist; Ausbilden einer zweiten Leitungsschicht auf oder oberhalb der ersten Leitungsschicht; und Strukturieren der zweiten Leitungsschicht derart, dass wenigstens ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist.A method of fabricating an integrated circuit having a resistance change memory device, the method comprising: providing a composite structure having a resistance change layer and a first line layer provided on or above the resistance change layer; Forming a second conductive layer on or above the first conductive layer; and patterning the second conductive layer such that at least a portion of the structured second conductive layer is usable as a via for contacting the first conductive layer. Verfahren nach Anspruch 1, wobei eine Isolationsschicht auf der strukturierten zweiten Leitungsschicht vorgesehen ist, und die Dicke der Isolationsschicht reduziert wird, bis das vertikale Niveau der Oberseite der zweiten Isolationsschicht gleich oder niedriger ist als das vertikalen Niveau der Oberseiten der strukturierten zweiten Leitungsschicht.The method of claim 1, wherein an insulating layer is provided on the structured second conductive layer, and the thickness of the insulation layer is reduced until the vertical Level of the top of the second insulation layer equal or lower is structured as the vertical level of the tops second conductive layer. Verfahren nach Anspruch 1 oder 2, wobei die Verbundsstruktur strukturiert wird, nachdem die zweite Leitungsschicht strukturiert wurde.The method of claim 1 or 2, wherein the composite structure is structured after the second conductive layer is structured has been. Verfahren nach einem der Ansprüche 1 bis 3, wobei die zweite Leitungsschicht Wolfram (W) enthält bzw. daraus besteht.Method according to one of claims 1 to 3, wherein the second Conductive layer tungsten (W) contains or it consists. Verfahren nach einem der Ansprüche 1 bis 4, wobei die zweite Leitungsschicht abgeschieden wird unter Verwendung eines PVD-Prozesses.Method according to one of claims 1 to 4, wherein the second Conductive layer is deposited using a PVD process. Verfahren nach Anspruch 5, wobei der PVD-Prozess ausgeführt wird bei Temperaturen unterhalb 300°C.The method of claim 5, wherein the PVD process accomplished becomes at temperatures below 300 ° C. Verfahren nach einem der Ansprüche 1 bis 6, wobei die Widerstandsänderungsschicht Chalcogenid aufweist bzw. hieraus besteht.Method according to one of claims 1 to 6, wherein the resistance change layer Has or consists of chalcogenide. Verfahren nach einem der Ansprüche 2 bis 7, wobei die Dicke der Isolationsschicht reduziert unter Verwendung eines chemisch-mechanischen Polierprozesses (CMP).Method according to one of claims 2 to 7, wherein the thickness the insulation layer is reduced using a chemical-mechanical Polishing process (CMP). Verfahren nach einem der Ansprüche 1 bis 8, wobei das Strukturieren der zweiten Leitungsschicht ausgeführt wird, indem eine erste Maskenschicht auf der zweiten Leitungsschicht ausgebildet wird, wobei die erste Maskenschicht strukturiert wird unter Verwendung eines Lithographieprozesses, und die zweite Leitungsschicht strukturiert wird, wobei die strukturierte erste Maskenschicht als Maske zum Strukturieren der zweiten Leitungsschicht dient.Method according to one of claims 1 to 8, wherein structuring the second conductive layer is performed by a first Mask layer is formed on the second conductive layer, wherein the first mask layer is patterned using a lithography process, and the second conductive layer structured with the structured first mask layer as mask for Structuring the second conductive layer is used. Verfahren nach einem der Ansprüche 3 bis 9, wobei das Strukturieren der Verbundsstruktur ausgeführt wird, indem eine zweite Maskenschicht auf der Verbundsstruktur abgeschieden wird, die zweite Maskenschicht strukturiert wird unter Verwendung eines Lithographieprozesses, und die Verbundstruktur strukturiert wird, wobei die strukturierte zweite Maskenschicht als Maske zum Strukturieren der Verbundsstruktur dient.Method according to one of claims 3 to 9, wherein structuring the composite structure is deposited by depositing a second mask layer on the composite structure The second mask layer is patterned using a lithography process, and the composite structure structured with the structured second mask layer as mask for Structuring the composite structure is used. Verfahren nach Anspruch 10, wobei das Material der zweiten Maskenschicht Isoliermaterial aufweist bzw. daraus besteht.The method of claim 10, wherein the material of second mask layer comprises or consists of insulating material. Verfahren nach Anspruch 10 oder 11, wobei das Material der zweiten Maskenschicht das gleiche Material wie das der Isolationsschicht aufweist oder daraus besteht.A method according to claim 10 or 11, wherein the material the second mask layer, the same material as that of the insulating layer or consists of. Verfahren nach einem der Ansprüche 2 bis 12, wobei die Isolationsschicht auf der strukturierten zweiten Maskenschicht ausgebildet wird.Method according to one of claims 2 to 12, wherein the insulating layer is formed on the patterned second mask layer. Verfahren zum Herstellen einer integrierten Schaltung mit einer Widerstandsänderungsspeicherzelle, wobei das Verfahren aufweist: Bereitstellen einer Verbundsstruktur mit einer Widerstandsänderungsschicht und einer ersten Leitungsschicht, die auf oder oberhalb der Widerstandsänderungsschicht vorgesehen ist; Ausbilden einer zweiten Leitungsschicht auf oder oberhalb der ersten Leitungsschicht; Abscheiden einer ersten Maskenschicht auf oder oberhalb der zweiten Leitungsschicht; Strukturieren der ersten Maskenschicht; Strukturieren der zweiten Leitungsschicht derart, dass zumindest ein Teil der strukturierten zweiten Leitungsschicht als Via zum Kontaktieren der ersten Leitungsschicht verwendbar ist, wobei die strukturierte erste Maskenschicht als Maske zum Strukturieren der zweiten Leitungsschicht dient; Entfernen der strukturierten ersten Maskenschicht; Abscheiden einer zweiten Maskenschicht auf oder oberhalb der Verbundsstruktur; Strukturieren der zweiten Maskenschicht; Strukturieren der Verbundsstruktur, wobei die strukturierte zweite Maskenschicht als Maske zum Strukturieren der Verbundsstruktur dient; Abscheiden einer Isolationsschicht auf oder oberhalb der strukturierten Verbundsstruktur; und Reduzieren der Dicke der Isolationsschicht, bis das vertikale Niveau der Oberseite der zweiten Isolationsschicht gleich oder niedriger ist als das vertikale Niveau der Oberseite der strukturierten zweiten Leitungsschicht.Method for producing an integrated circuit with a resistance change memory cell, the method comprising: providing a composite structure with a resistance change layer and a first conductive layer provided on or above the resistance change layer is; Forming a second conductive layer on or above the first conductive layer; Depositing a first mask layer on or above the second conductive layer; Structure the first mask layer; Structuring the second conductive layer such that at least a part of the structured second conductive layer is usable as a via for contacting the first conductive layer, wherein the structured first mask layer serves as a mask for structuring the second conductive layer is used; Remove the textured first mask layer; Depositing a second mask layer or above the composite structure; Structuring the second Mask layer; Structuring the composite structure, where the structured second mask layer as a mask for structuring the Composite structure is used; Depositing an insulation layer on or above the structured composite structure; and To reduce the thickness of the insulation layer until the vertical level of the top the second insulating layer is equal to or lower than that vertical level of the top of the structured second conductive layer. Verfahren nach Anspruch 14, wobei die Reduktion der Dicke ausgeführt wird unter Verwendung eines chemisch-mechanischen Polierprozesses.The method of claim 14, wherein the reduction the thickness is executed is using a chemical-mechanical polishing process. Verfahren nach Anspruch 14 oder 15, wobei die zweite Leitungsschicht Wolfram aufweist oder daraus besteht.The method of claim 14 or 15, wherein the second Conductive layer comprises or consists of tungsten. Verfahren nach einem der Ansprüche 14 bis 16, wobei die zweite Leitungsschicht abgeschieden wird unter Verwendung eines PVD-Prozesses.A method according to any one of claims 14 to 16, wherein the second Conductive layer is deposited using a PVD process. Verfahren nach Anspruch 17, wobei der PVD-Prozess ausgeführt wird bei Temperaturen unterhalb von 300°C.The method of claim 17, wherein the PVD process accomplished is at temperatures below 300 ° C. Integrierte Schaltung mit einer Speichervorrichtung, die aufweist: eine Verbundsstruktur mit einer Widerstandsänderungsschicht und einer Elektrodenschicht, die oberhalb der Widerstandsänderungsschicht vorgesehen ist; wobei wenigstens ein Via oberhalb der Elektrodenschicht vorgesehen ist, und wobei das wenigstens eine leitende Via die Elektrodenschicht direkt kontaktiert.Integrated circuit with a memory device, comprising: a composite structure having a resistance change layer and an electrode layer overlying the resistance change layer is provided; wherein at least one via is above the electrode layer is provided, and wherein the at least one conductive via the electrode layer contacted directly. Integrierte Schaltung nach Anspruch 19, wobei zwischen dem wenigstens einen Via und der Elektrodenschicht keine Haftschicht vorgesehen ist.An integrated circuit according to claim 19, wherein between the at least one via and the electrode layer no adhesive layer is provided. Integrierte Schaltung nach Anspruch 19 oder 20, wobei das wenigstens eine Via Wolfram aufweist bzw. daraus besteht.Integrated circuit according to Claim 19 or 20, characterized wherein the at least one via comprises or consists of tungsten. Integrierte Schaltung nach einem der Ansprüche 19 bis 21, wobei das wenigstens eine Via in eine Schicht aus Isoliermaterial eingebettet ist, wobei das vertikale Niveau der Oberseite der Schicht des isolierenden Materials gleich oder niedriger ist als das vertikale Niveau der Oberseite des wenigstens einen Vias.Integrated circuit according to one of Claims 19 to 21, wherein the at least one via in a layer of insulating material is embedded, with the vertical level of the top of the layer of the insulating material is equal to or lower than the vertical one Level of the top of the at least one vias. Integrierte Schaltung nach einem der Ansprüche 19 bis 22, wobei die Elektrodenschicht einen unteren Teil und einen oberen Teil aufweist, die aus jeweils unterschiedlichen Materialien bestehen.Integrated circuit according to one of Claims 19 to 22, wherein the electrode layer has a lower part and an upper part Part has, each consisting of different materials. Integrierte Schaltung nach Anspruch 23, wobei der untere Teil Silber aufweist bzw. hieraus besteht, und der obere Teil Tantalnitrid oder Kupfer aufweist bzw. daraus besteht.The integrated circuit of claim 23, wherein the lower part comprises or consists of silver, and the upper part Part of tantalum nitride or copper or consists thereof. Integrierte Schaltung nach Anspruch 23 oder 24, wobei die Dicke des oberen Teils 100 nm ist.Integrated circuit according to Claim 23 or 24, characterized wherein the thickness of the upper part is 100 nm. Integrierte Schaltung nach einem der Ansprüche 19 bis 25, wobei die Dicke des wenigstens einen Vias 300 nm beträgt.Integrated circuit according to one of Claims 19 to 25, wherein the thickness of the at least one vias is 300 nm. Integrierte Schaltung nach einem der Ansprüche 19 bis 26, wobei die Speichervorrichtung eine programmierbare Metallisierungsvorrichtung ist.Integrated circuit according to one of Claims 19 to 26, wherein the memory device comprises a programmable metallization device is. Integrierte Schaltung nach einem der Ansprüche 19 bis 27, wobei die programmierbare Metallisierungsvorrichtung eine Festkörperelektrolytspeichervorrichtung ist.Integrated circuit according to one of Claims 19 to 27, wherein the programmable metallization device is a solid state electrolyte storage device is. Zelle, mit: eine Verbundsstruktur mit einer Widerstandsänderungsschicht und eine Elektrodenschicht, die oberhalb der Widerstandsänderungsschicht vorgesehen ist; wenigstens einem leitenden Via, das oberhalb der Elektrodenschicht vorgesehen ist, wobei das wenigstens eine leitende Via die Elektrodenschicht direkt kontaktiert.Cell, with: a composite structure with a Resistance change layer and an electrode layer overlying the resistance change layer is provided; at least one conductive Via, above the electrode layer is provided, wherein the at least one conductive via the electrode layer directly contacted. Speichermodul mit wenigstens einer Widerstandsänderungsschicht, die aufweist: eine Verbundsstruktur mit einer Widerstandsänderungsschicht und einer Elektrodenschicht, die oberhalb der Widerstandsänderungsschicht vorgesehen ist; wenigstens ein leitendes Via, das oberhalb der Elektrodenschicht vorgesehen ist, wobei das wenigstens eine leitende Via die Elektrodenschicht direkt kontaktiert.Memory module with at least one resistance change layer, which has: a composite structure with a resistance change layer and an electrode layer overlying the resistance change layer is provided; at least one conductive via, above the electrode layer is provided, wherein the at least one conductive via the electrode layer directly contacted. Speichermodul nach Anspruch 30, wobei das Speichermodul stapelbar ist.The memory module of claim 30, wherein the memory module is stackable. Computersystem, mit: einer Eingabeeinrichtung; einre Ausgabeeinrichtung; einer Verarbeitungseinrichtung; und einem Speicher, wobei der Speicher eine Verbundsstruktur mit einer Widerstandsänderungsschicht und einer Elektrodenschicht, die auf der Widerstandsänderungsschicht vorgesehen ist, aufweist, wobei wenigstens ein leitendes Via oberhalb der Elektrodenschicht vorgesehen ist, und das wenigstens eine leitende Via die Elektrodenschicht direkt kontaktiert.Computer system, with: an input device; einre Output device; a processing device; and one Memory, wherein the memory is a composite structure with a resistance change layer and an electrode layer disposed on the resistance change layer is provided, wherein at least one conductive via above the electrode layer is provided, and the at least one conductive Directly contacted via the electrode layer. Computersystem nach Anspruch 32, wobei die wenigstens eine Eingabeeinrichtung und die Ausgabeeinrichtung eine drahtlose Kommunikationseinrichtung aufweisen.The computer system of claim 32, wherein the at least an input device and the output device a wireless Have communication device.
DE102007035857A 2007-07-31 2007-07-31 Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer Ceased DE102007035857A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102007035857A DE102007035857A1 (en) 2007-07-31 2007-07-31 Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007035857A DE102007035857A1 (en) 2007-07-31 2007-07-31 Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer

Publications (1)

Publication Number Publication Date
DE102007035857A1 true DE102007035857A1 (en) 2009-02-05

Family

ID=40175724

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007035857A Ceased DE102007035857A1 (en) 2007-07-31 2007-07-31 Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer

Country Status (1)

Country Link
DE (1) DE102007035857A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110165223A (en) * 2018-02-13 2019-08-23 宁德时代新能源科技股份有限公司 Current collector, pole piece, battery and current collector manufacturing method

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19728473A1 (en) * 1997-07-03 1999-01-07 Siemens Ag Layer structuring by dry etching process
US20020146851A1 (en) * 2001-04-06 2002-10-10 Takeshi Okazawa Method of forming magnetic memory
US20040043526A1 (en) * 2002-08-29 2004-03-04 Applied Materials, Inc. Method of patterning a layer of magnetic material
EP1463110A2 (en) * 2003-03-24 2004-09-29 Kabushiki Kaisha Toshiba Semiconductor memory device comprising magneto resistive element and its manufacturing method
EP1748488A1 (en) * 2004-05-14 2007-01-31 Renesas Technology Corp. Semiconductor memory
DE102006028971A1 (en) * 2005-06-20 2007-02-15 Samsung Electronics Co., Ltd., Suwon Integrated circuit device and phase change memory cell with a vertical diode and manufacturing method
US20070045606A1 (en) * 2005-08-30 2007-03-01 Michele Magistretti Shaping a phase change layer in a phase change memory cell

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19728473A1 (en) * 1997-07-03 1999-01-07 Siemens Ag Layer structuring by dry etching process
US20020146851A1 (en) * 2001-04-06 2002-10-10 Takeshi Okazawa Method of forming magnetic memory
US20040043526A1 (en) * 2002-08-29 2004-03-04 Applied Materials, Inc. Method of patterning a layer of magnetic material
EP1463110A2 (en) * 2003-03-24 2004-09-29 Kabushiki Kaisha Toshiba Semiconductor memory device comprising magneto resistive element and its manufacturing method
EP1748488A1 (en) * 2004-05-14 2007-01-31 Renesas Technology Corp. Semiconductor memory
DE102006028971A1 (en) * 2005-06-20 2007-02-15 Samsung Electronics Co., Ltd., Suwon Integrated circuit device and phase change memory cell with a vertical diode and manufacturing method
US20070045606A1 (en) * 2005-08-30 2007-03-01 Michele Magistretti Shaping a phase change layer in a phase change memory cell

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110165223A (en) * 2018-02-13 2019-08-23 宁德时代新能源科技股份有限公司 Current collector, pole piece, battery and current collector manufacturing method
CN110165223B (en) * 2018-02-13 2024-06-07 宁德时代新能源科技股份有限公司 Current collector, pole piece, battery and current collector manufacturing method

Similar Documents

Publication Publication Date Title
DE102007040826B9 (en) Integrated circuit having a cell with a layer of variable resistivity and method of manufacture
EP1685569B1 (en) Phase change memory, phase change memory assembly, phase change memory cell, 2d phase change memory cell array, 3d phase change memory cell array and electronic component
DE102007035611A1 (en) Method of manufacturing an integrated circuit, integrated circuit and memory module
EP1708292B1 (en) Connection electrode for phase change material, corresponding phase change memory element and production method thereof
DE102007036246B4 (en) A method of manufacturing an integrated circuit with a resistive memory element, an integrated circuit, use in a method of storing information, and a memory module
DE102008033129B4 (en) Integrated circuit, method for operating an integrated circuit, and memory module
DE102004059428A1 (en) Manufacturing method for a microelectronic electrode structure, in particular for a PCM memory element, and corresponding microelectronic electrode structure
DE102006028971A1 (en) Integrated circuit device and phase change memory cell with a vertical diode and manufacturing method
DE102008026889A1 (en) Phase change-memory device i.e. phase change RAM, manufacturing method, involves heating phase change-material to temperature sufficient to fuse material in opening, and structuring material to define phase change-element in opening
DE102008026432A1 (en) Integrated circuit for use in e.g. magnetoresistive RAM module, has set of resistance change memory elements, and set of memory element selection devices that are floating-body-selection devices such as FETs or thyristors
DE102007049786A1 (en) Integrated circuit has multiple resistance change memory cells, where each memory cell has top electrode, bottom electrode and resistance changing material provided between top electrode and bottom electrode
DE102007037245A1 (en) Integrated circuit, resistance change memory device, memory module and method for producing an integrated circuit
DE102007037117B4 (en) Temperature sensor, integrated circuit, memory module and method for collecting temperature treatment data
DE102008027012A1 (en) Integrated circuit with logic part and memory part
DE102006048384A1 (en) Sandwich structure e.g. memory cell such as conductive bridging RAM-cell, has layer isolated from another layer and containing silver and tantalum, which reduces mobility of silver atoms and silver ions
DE102007019825B4 (en) Integrated circuit
DE102007034164A1 (en) Integrated circuit, method for operating an integrated circuit, method for producing an integrated circuit, active element, memory module and computer system
DE102004037450A1 (en) Switching or amplifier component, in particular transistor
DE102007036047A1 (en) Integrated circuit, resistance change memory device, memory module and method for producing an integrated circuit
DE112021005509T5 (en) Resistive switching memory cell
DE102007035857A1 (en) Fabricating an integrated circuit with a resistance change memory device, comprises forming a second conducting layer on or above a first conducting layer of a compound structure, and structuring the second conducting layer
DE102007022532A1 (en) Integrated circuit, memory cell array, memory cell module and method for operating an integrated circuit
DE602005005676T2 (en) Microelectronic device with memory elements and method for its production
DE102007032784A1 (en) Integrated circuit, memory module, method of operating an integrated circuit, method of manufacturing an integrated circuit, and computer program
DE102008013559B4 (en) Method for manufacturing an integrated circuit, memory module and integrated circuit

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection