DE102004024886A1 - Method for applying photoactive multilayer coatings to substrates comprises applying nitrogen-free, non-stoichiometric silicon oxide dielectric anti-reflection layer to substrate with surface to which photoactive resist can be applied - Google Patents

Method for applying photoactive multilayer coatings to substrates comprises applying nitrogen-free, non-stoichiometric silicon oxide dielectric anti-reflection layer to substrate with surface to which photoactive resist can be applied Download PDF

Info

Publication number
DE102004024886A1
DE102004024886A1 DE102004024886A DE102004024886A DE102004024886A1 DE 102004024886 A1 DE102004024886 A1 DE 102004024886A1 DE 102004024886 A DE102004024886 A DE 102004024886A DE 102004024886 A DE102004024886 A DE 102004024886A DE 102004024886 A1 DE102004024886 A1 DE 102004024886A1
Authority
DE
Germany
Prior art keywords
layer
photoactive
substrate
resist layer
applying
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102004024886A
Other languages
German (de)
Inventor
Stephan Hartmann
Mirko Vogt
Marcel Heller
Lars Voelkl
Hermann Sachse
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to DE102004024886A priority Critical patent/DE102004024886A1/en
Publication of DE102004024886A1 publication Critical patent/DE102004024886A1/en
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography

Abstract

Method for applying photoactive multilayer coatings (6) to substrates (3) for transfer of structures from a photomask into the substrate comprises applying a nitrogen-free dielectric anti-reflection layer (1) to the substrate. This consists of non-stoichiometric silicon oxide and has a surface (4) to which a photoactive resist layer (2) can be applied. An independent claim is included for multilayer coating systems as described.

Description

Die Erfindung betrifft ein Verfahren zum Aufbringen eines photoaktiven Schichtstapels auf ein Substrat für eine Übertragung von Strukturen auf einer Photomaske in das Substrat.The The invention relates to a method for applying a photoactive Layer stack on a substrate for a transfer of structures a photomask in the substrate.

Mikroelektronische Bauteile, wie beispielsweise DRAM (dynamic random access memory)-Speicherzellen weisen strukturierte Schichten aus unterschiedlichen Substraten, wie Metalle, Dielektrika oder Halbleitermaterial, die auf einem Halbleiterwafer angeordnet sind, auf. Zur Strukturierung der Schichten kann beispielsweise ein photolithographisches Verfahren angewendet werden. Dabei wird eine auf das zu strukturierende Substrat aufgebrachte, auch als Resist bezeichnete lichtempfindliche Polymerschicht mittels einer Photomaske, die die in das Substrat zu übertragenden Strukturen aufweist, und einer lithographischen Abbildungsvorrichtung, abschnittsweise einer Lichtstrahlung ausgesetzt. Bei einem Positivresist wird das Resistmaterial durch die Einwirkung des Lichtes in der Weise verändert, dass das Resistmaterial an den belichteten Stellen mit einer geeigneten Entwicklerflüssigkeit entfernt werden kann.microelectronic Devices such as DRAM (dynamic random access memory) memory cells have structured layers of different substrates, such as metals, dielectrics or semiconductor material, on a Semiconductor wafers are arranged on. For structuring the layers For example, a photolithographic process can be used become. In this case, an applied to the substrate to be structured, Also referred to as resist photosensitive polymer layer means a photomask having the structures to be transferred into the substrate, and a lithographic imaging device, section by section exposed to light radiation. In a positive resist is the Resist material changed by the action of light in such a way that the Resist material at the exposed areas with a suitable developer liquid can be removed.

Nach der Entwicklung des Resists sind die Strukturen von der Photomaske in die Resistschicht in Form von Öffnungen übertragen worden. Das Übertragen der Strukturen von der Resistschicht in das Substrat erfolgt durch einen Ätzschritt. Die Resistschicht hat dann die Funktion einer Ätzmaske. An den Stellen, an denen die Resistschicht durch die Entwicklerflüssigkeit entfernt wurde, liegt das Substrat frei und kann beätzt werden.To The development of the resist are the structures of the photomask transferred to the resist layer in the form of openings. The transferring the structures from the resist layer into the substrate are made by an etching step. The resist layer then has the function of an etching mask. In the places, on where the resist layer has been removed by the developer liquid lies the substrate is free and can be etched become.

Bei einem Negativresist wird der belichtete Abschnitt für ein Lösungsmittel unlöslich gemacht, während die unbelichteten Abschnitte durch das Lösungsmittel entfernt werden.at a negative resist becomes the exposed portion for a solvent insoluble made while the unexposed portions are removed by the solvent.

Die Funktionsweise handelsüblicher Resistmaterialien basiert auf Polymerketten an denen Schutzmoleküle angehängt sind, die die Polymerketten unlöslich gegenüber einer Entwicklerflüssigkeit machen. Durch Einwirkung von Licht werden diese Schutzmoleküle zerstört oder abgespalten und das Polymer wird an den Stellen, an denen die Schutzmoleküle zerstört bzw. nicht mehr vorhanden sind, löslich.The Functionality of commercial Resist materials based on polymer chains with attached protective molecules the polymer chains insoluble across from make a developer liquid. By the action of light these protective molecules are destroyed or split off and the polymer is at the points where the protective molecules destroyed or are no longer present, soluble.

Der Wunsch nach immer höheren Integrationsdichten und eine damit einhergehende Verkleinerung der Strukturen machen es notwendig, dass Abbildungen von Strukturen auf der Photomaske auf die Resistschicht mit immer kürzeren Belichtungswellenlängen unterhalb von 248 Nanometern vorgenommen werden. Bei kürzeren Wellenlängen verliert der oben beschriebene Resist jedoch seine Transparenz. Das bedeutet, dass nicht mehr jedes Lichtquant auf ein Schutzmolekül trifft und dieses zerstört, sondern das Lichtquanten von den Polymerketten absorbiert werden. Dies hat zur Folge, dass der Resist um vollständig löslich zu sein, eine längere Belichtungszeit bzw. eine höhere Belichtungsdosis benötigt.Of the Desire for ever higher Integration densities and a concomitant reduction of the Structures make it necessary for images of structures on the photomask on the resist layer with shorter and shorter exposure wavelengths below be made of 248 nanometers. Loses at shorter wavelengths however, the resist described above has its transparency. That means, that not every quantum of light hits a protection molecule anymore and destroy this, but the light quanta are absorbed by the polymer chains. This has the consequence that the resist to be completely soluble, a longer exposure time or a higher one Exposure dose needed.

Chemisch verstärkte Resists wurden entwickelt, um eine vollständige Löslichkeit des Resists bei einer nichtverlängerten Belichtungszeit zu erhalten. Der chemisch verstärkte Resist enthält neben den Polymerketten mit den Schutzmolekülen noch sogenannte Photosäuregeneratoren. Der Photosäuregenerator ist ein Molekül, das beim Auftreffen eines Lichtquantes ein positiv geladenes Wasserstoffion, auch Proton genannt, freisetzt. Trifft das Wasserstoffion auf ein Schutzmolekül, so werden unter Wärmeeinfluss zwei Wasserstoffionen gebildet und die Schutzgruppe entfernt. Diese zwei Wasserstoffionen reagieren mit weiteren Schutzgruppen und erzeugen weitere Wasserstoffionen, wodurch die Wirkung eines einzigen Lichtquantes verstärkt wird. Der durch die als Säure wirkenden positiv geladenen Wasserstoff H+-Ionen hervorgerufene Verstärkungseffekt kann durch das Einwirken von basisch wirkenden Funktionalitäten, die die Säure neutralisieren, gestört werden.Chemically enhanced resists have been developed to obtain complete solubility of the resist at a non-extended exposure time. The chemically amplified resist contains, in addition to the polymer chains with the protective molecules, so-called photoacid generators. The photoacid generator is a molecule that liberates a positively charged hydrogen ion, also called a proton, when a light quantum hits. If the hydrogen ion hits a protective molecule, two hydrogen ions are formed under heat and the protective group is removed. These two hydrogen ions react with other protecting groups to produce additional hydrogen ions, thereby enhancing the effect of a single light quantum. The reinforcing effect caused by the positively charged hydrogen H + ions acting as the acid can be disturbed by the action of basic functionalities that neutralize the acid.

Lichtwellen, die durch die Resistschicht propagieren, können an der Grenzfläche zum unter der Resistschicht liegenden Substrat reflektiert werden. Die sich durch Reflektion ausbildenden stehenden Wellen in der Resistschicht wirken sich störend bei der Strukturübertragung in das Substrat aus. Um Rückreflektionen zu vermeiden, wird eine dielektrische Antireflektionsschicht auf das Substrat und auf die Antireflektionsschicht die Resistschicht aufgebracht.Light waves which propagate through the resist layer may be at the interface with the Substrate underlying the resist layer are reflected. The reflected waves forming in the resist layer have a disturbing effect in the structure transfer into the substrate. To return reflections To avoid this, a dielectric antireflection layer is formed the substrate and on the anti-reflection layer, the resist layer applied.

Die üblicherweise mittels einer Gasphasenabscheidung in einer CVD (Chemical Vapour Deposition)-Anlage aufgebrachte dielektrische Antireflektionsschicht besteht im allgemeinen aus einer nicht stöchiometrischen Verbindung aus Silizium, Sauerstoff, Stickstoff und eventuell Wasserstoff. Wird die Resistschicht nun direkt auf die dielektrische Antireflektionsschicht aufgetragen, so kann es an einer Grenzfläche zwischen dielektrischer Antireflektionsschicht und Resistschicht zu einem Einfangen von Protonen in der Resistschicht durch NHx- und OH-Funktionalitäten an einer Oberfläche der Antireflektionsschicht kommen. In einem Bereich der Resistschicht nahe der Grenzfläche kann es durch das Einfangen der Protonen zu einer Verarmung an Säure kommen. Das hat zur Folge, dass in den Bereichen der Resistschicht, wo die Säure durch das Einfangen von Protonen neutralisiert wird, die Resistschicht bei der Entwicklung nicht mehr vollständig entfernt werden kann. Es bleiben Reste der Resistschicht nach der Entwicklung übrig. Diese Reste führen zu Effekten, die als Scumming und Footing bezeichnet werden.The dielectric antireflection layer usually applied by means of a vapor deposition in a CVD (Chemical Vapor Deposition) system generally consists of a non-stoichiometric compound of silicon, oxygen, nitrogen and possibly hydrogen. If the resist layer is now applied directly to the dielectric antireflection layer, proton capture in the resist layer by NH x and OH functionalities on one surface of the antireflection layer may occur at an interface between the dielectric antireflection layer and resist layer. In a region of the resist layer near the interface, the capture of the protons can lead to a depletion of acid. As a result, in the areas of the resist layer where the acid is neutralized by the trapping of protons, the resist layer is no longer complete in development can be removed. Remains of the resist layer remain after development. These residues lead to effects called scumming and footing.

In der 1 sind die beiden Effekte veranschaulicht. Die 1a zeigt einen Schichtstapel 6, bei dem auf dem Substrat 3 die dielektrische Antireflektionsschicht 1 und auf der Antireflektionsschicht die Resistschicht 2 aufgebracht sind. An einer Oberfläche 4 der Antireflektionsschicht 1 sind die NHx- und OH-Funktionalitäten durch kleine Kreise mit einem Minuszeichen veranschaulicht. In der Resistschicht 2 sind die durch Lichteinwirkung induzierten säurebildenden Protonen durch eingekreiste Pluszeichen dargestellt. Der Pfeil an den Funktionalitäten deutet freie Valenzen an. In der Nähe der Oberfläche 4 werden also Protonen neutralisiert, was zu einer Säureverarmung in dem Bereich führt.In the 1 the two effects are illustrated. The 1a shows a layer stack 6 in which on the substrate 3 the dielectric anti-reflection layer 1 and on the anti-reflection layer, the resist layer 2 are applied. On a surface 4 the anti-reflection layer 1 the NH x and OH functionalities are illustrated by small circles with a minus sign. In the resist layer 2 For example, the acid-forming protons induced by exposure to light are represented by circled plus signs. The arrow on the functionalities indicates free valences. Near the surface 4 Thus, protons are neutralized, resulting in acid depletion in the area.

Der durch die Säureverarmung hervorgerufene als Footing bezeichnete Effekt ist in der 1b dargestellt. Zu sehen ist die auf der Antireflektionsschicht 1 angeordnete Resistschicht 2, nach der Entwicklung. Ausgebildet sind zwei Linien 2a und ein Spalt 2b. Wie es der Figur zu entnehmen ist, weisen die Linien 2a im Bereich der Oberfläche 4 eine Verbreiterung auf. Diese Verbreiterung wird durch eine nicht vollständige Resistentwicklung aufgrund von Säureverarmung in dem Bereich verursacht. Die Verbreiterung der Linien wird auch als Footing bezeichnet. Die gestrichelte Linie unterhalb der Verbreiterungen deutet an, wie die Resistschicht 2 ohne den Footing-Effekt entwickelt werden müsste.The effect caused by the acid depletion called footing effect is in the 1b shown. You can see it on the anti-reflection layer 1 arranged resist layer 2 , after the development. Trained are two lines 2a and a gap 2 B , As can be seen from the figure, the lines indicate 2a in the area of the surface 4 a broadening up. This broadening is caused by incomplete resist development due to acid depletion in the area. The broadening of the lines is also referred to as footing. The dashed line below the broadening indicates how the resist layer 2 without the footing effect would have to be developed.

In der 1c ist der als Scumming bezeichnete Effekt veranschaulicht. Zu sehen ist die entwickelte Resistschicht 2 mit einer Linie 2a. Der Bereich rechts und links der Linie 2a ist bis auf die als Hügel und Täler angedeuteten Bereiche entfernt. Die Hügel und Täler werden genau wie beim Footing- Effekt durch Resistreste verursacht, die aufgrund einer unvollständigen Lackentwicklung, hervorgerufen durch Säureneutralisation, entstehen.In the 1c is the effect called scumming. You can see the developed resist layer 2 with a line 2a , The area to the right and left of the line 2a is located except for those indicated as hills and valleys areas. The hills and valleys, like the footing effect, are caused by resist strata, which are created by incomplete paint development caused by acid neutralization.

Footing- und Scumming-Effekte verhindern eine korrekte Übertragung der Strukturen in die Antireflektionsschicht, die bei dem Ätzschritt zur Strukturübertragung in das Substrat auch als Hartmaske verwendet wird.Footing- and scumming effects prevent proper transfer of the structures into the antireflection layer used in the structure transfer etching step in the substrate is also used as a hard mask.

SiON(H)-Schichten werden als Antireflektionsschichten eingesetzt, da sich optische Eigenschaften, wie Reflektion und Absorption durch den Siliziumgehalt der Schicht und durch die Schichtdicke einstellen lassen. Der Siliziumgehalt kann durch eine Variation der Gasflüsse in der CVD-Anlage eingestellt werden. Aufgrund einer höheren Ätzselektivität zum Substrat im Vergleich zur Resistschicht werden SiON-Schichten auch als Hartmaske bei einem Ätzprozess eingesetzt.SiON (H) layers are used as anti-reflection layers, since optical Properties such as reflection and absorption by the silicon content the layer and the layer thickness. The silicon content can be adjusted by a variation of the gas flows in the CVD plant become. Due to a higher etch selectivity to the substrate In comparison to the resist layer, SiON layers are also used as a hard mask in an etching process used.

Zur Vermeidung der durch die Resistreste hervorgerufenen Scumming- und Footing-Effekte, die sich mit einer zunehmenden Verkleinerung der Strukturen bei der Strukturübertragung sehr nachteilig auswirken, können die an der Oberfläche der SiON-Antireflektionsschicht aktiven, die genannten Effekte hervorrufenden NH2- oder OH-Funktionalitäten durch eine Plasmabehandlung teilweise inaktiviert werden. Verschiedene Plasmabehandlungen zeigen zwar Verbesserungen, aber keine vollständige Vermeidung der genannten Effekte.In order to avoid the scumming and footing effects caused by the resist residues, which have a very disadvantageous effect with an increasing reduction of the structures in the structure transfer, the NH 2 or OH active on the surface of the SiON antireflection layer can cause the said effects. Functionalities are partially inactivated by a plasma treatment. Although various plasma treatments show improvements, but not complete avoidance of the effects mentioned.

Daher wird herkömmlicherweise eine zusätzliche Barrierenschicht zur Vermeidung einer negativen Wechselwirkung zwischen Antireflektionsschicht und Resistschicht aufgebracht. Da durch die Plasmabehandlung die Oberfläche der Antireflektionsschicht polarisiert wird und dies zu einer schlechten Haftung von der auf die Oberfläche aufzubringenden Schicht führt, wird eine Behandlung mit einem Hexamethyldisilazan (HMDS) Haftvermittler durchgeführt. Der Haftvermittler verringert die Polarität der Oberfläche und ermöglicht dadurch das Aufbringen von weiteren Schichten.Therefore becomes conventional an additional Barrier layer to avoid a negative interaction between Antireflection layer and resist layer applied. Because of the Plasma treatment the surface the antireflection layer is polarized and this to a bad Adhesion from the surface layer to be applied, becomes a treatment with a hexamethyldisilazane (HMDS) adhesion promoter carried out. The primer reduces the polarity of the surface and allows thereby the application of further layers.

Wie es der 2 zu entnehmen ist, weist ein herkömmlicher Schichtstapel 6 für eine Übertragung von Strukturen auf der Photomaske in das Substrat 3 mindestens drei Schichten auf. In der 2 ist das Substrat 3 dargestellt, auf das die stickstoffhaltige Antireflektionsschicht 1 abgeschieden wird. Auf der Antireflektionsschicht 1 befindet sich die dargestellte Barrierenschicht 5, die eine Wechselwirkung zwischen stickstoffhaltiger Antireflektionsschicht 1 und Resistschicht 2, die auf der Barrierenschicht aufgebracht ist, verhindern soll.Like the 2 can be seen, has a conventional layer stack 6 for transferring structures on the photomask into the substrate 3 at least three layers up. In the 2 is the substrate 3 represented on the nitrogen-containing antireflection layer 1 is deposited. On the anti-reflection layer 1 is the illustrated barrier layer 5 that involves an interaction between nitrogen-containing antireflection layer 1 and resist layer 2 , which is applied to the barrier layer, should prevent.

Mit dem aus drei Schichten bestehenden Schichtstapel, der die Schichtenfolge Antireflektionsschicht, Barrierenschicht und chemisch verstärkte Resistschicht aufweist, ist es nur schwer möglich, die optischen Eigenschaften der einzelnen Schichten genau aufeinander abzustimmen. Weiterhin treten noch immer schädigende Wechselwirkungen von basisch wirkenden NHx- und OH-Funktionalitäten, die durch die Barrierenschicht auf die Resistschicht einwirken, auf. Ein weiterer wesentlicher Nachteil besteht darin, dass das Aufbringen eines aus vielen Schichten bestehenden Schichtstapels eine aufwändigere Prozessierung erfordert, als das Aufbringen eines Schichtstapels, der eine geringere Anzahl von Schichten aufweist.With the layer stack consisting of three layers, which has the layer sequence antireflection layer, barrier layer and chemically amplified resist layer, it is very difficult to exactly match the optical properties of the individual layers. Furthermore, damaging interactions of basic-acting NH x and OH functionalities, which act on the resist layer through the barrier layer, still occur. Another significant disadvantage is that the application of a layer stack consisting of many layers requires a more elaborate processing than the application of a layer stack which has a smaller number of layers.

Um die trotz der Barrierenschicht auftretenden Wechselwirkungen zwischen basischen NHx-Funktionalitäten und der Resistischicht zu vermeiden, wurden stickstofffreie Antireflektionsschichten vorgeschlagen. Aber auch bei diesen Antireflektionsschichten bildeten sich Scumming- und Footing-Effekte in der entwickelten Resistschicht aus. Hervorgerufen werden diese Effekte durch OH-Funktionalitäten, die sich an die Oberfläche der Antireflektionsschichten beispielsweise durch Wasseradsorption anlagern, mit der Resistschicht wechselwirken und die Säuren neutralisieren.In order to avoid the interactions between basic NH x functionalities and the resist layer that occur despite the barrier layer, nitrogen-free antireflection layers have been proposed. But even with these antireflective On the other hand, scumming and footing effects developed in the developed resist layer. These effects are evoked by OH functionalities which attach to the surface of the anti-reflection layers, for example by water adsorption, interact with the resist layer and neutralize the acids.

Aufgabe der vorliegenden Erfindung ist es, ein vereinfachtes Verfahren zum Aufbringen eines photoaktiven Schichtstapels auf ein Substrat zur Verfügung zu stellen, bei dem eine gegenüber herkömmlichen Schichtstapeln verbesserte Strukturausbildung in einer Resistschicht auch bei Belichtungswellenlängen unterhalb von 248 Nanometern erfolgt. Von der Aufgabe wird weiterhin ein mit dem Verfahren hergestellter Schichtstapel umfasst.task It is the object of the present invention to provide a simplified method for Applying a photoactive layer stack to a substrate for disposal to face, in which one opposite usual Layer stacking improved pattern formation in a resist layer also at exposure wavelengths below 248 nanometers. The task will continue comprises a layer stack produced by the method.

Diese Aufgabe wird gelöst durch ein Verfahren gemäß Patentanspruch 1 und durch einen photoaktiven Schichtstapel gemäß Patentanspruch 11. Vorteilhafte Weiterbildungen der Erfindung ergeben sich aus den jeweiligen Unteransprüchen.These Task is solved by a method according to claim 1 and by a photoactive layer stack according to claim 11. Advantageous Further developments of the invention will become apparent from the respective dependent claims.

Es wird ein Verfahren zum Aufbringen eines photoaktiven Schichtstapels auf ein Substrat für eine Übertragung von Strukturen auf einer Photomaske in das Substrat zur Verfügung gestellt. Zur Durchführung des Verfahrens wird das Substrat, das beispielsweise ein Halbleiterwafer, oder eine auf den Halbleiterwafer aufgebrachte Schicht sein kann, bereitgestellt. Auf das Substrat wird eine stickstofffreie dielektrische Antireflektionsschicht aufgebracht, wobei erfindungsgemäß Prozessbedingungen zum Aufbringen der Antireflektionsschicht in der Weise vorgesehen werden, dass die Antireflektionsschicht durch eine nicht stöchiometrische Silanoxidschicht SixOy mit einer Oberfläche mit einer genügend geringen Oberflächenaktivität zum erfolgreichen Ausführen eines folgenden Verfahrensschrittes ausgebildet wird. Bei dem folgenden Ver fahrensschritt wird erfindungsgemäß eine photoaktive Resistschicht auf die Oberfläche der Antireflektionsschicht aufgebracht.It is a method for applying a photoactive layer stack on a substrate for a transmission provided by structures on a photomask in the substrate. To carry out of the method, the substrate, which is for example a semiconductor wafer, or a layer applied to the semiconductor wafer, provided. On the substrate is a nitrogen-free dielectric Antireflection layer applied, wherein according to the invention process conditions for applying the antireflection layer in the manner provided be that the antireflection layer by a non-stoichiometric Silane oxide layer SixOy with a surface with a sufficiently low surface activity to successful To run a subsequent process step is formed. At the following Process step according to the invention is a photoactive resist layer on the surface applied to the anti-reflection layer.

Bei dem erfindungsgemäßen Verfahren werden die Prozessbedingungen zum Abscheiden der Antireflektionsschicht so eingestellt, dass die Oberfläche der Antireflektionsschicht eine genügend geringe Oberflächenaktivität aufweist. Unter der geringen Oberflächenaktivität wird hier verstanden, dass sich im Vergleich zu herkömmlichen Oberflächen nur wenige freie Valenzen ausbilden, an die sich beispielsweise basisch wirkende OH-Funktionalitäten anlagern, die dann eine Strukturentwicklung in der Resistschicht stören.at the method according to the invention become the process conditions for depositing the antireflection layer adjusted so that the surface the antireflection layer has a sufficiently low surface activity. Below the low surface activity is here understood that compared to conventional surfaces only a few form free valencies to which, for example, basic acting Attach OH functionalities, which then disturb a structure development in the resist layer.

Da sich nur noch wenige wasserstoffhaltige Adsorbate an der Oberfläche bilden, kann bei dem erfindungsgemäßen Verfahren auf weitere Behandlungen der Oberfläche zum Absättigen der wasserstofftragenden Adsorbate, beispielsweise mit Lachgas oder mit einem sauerstoffhaltigen Plasma, verzichtet werden. Die Behandlung mit Sauerstoff hat eine Polarisierung der Oberfläche zur Folge. Eine polarisierte Oberfläche weist eine schlechte Haftung für die aufzubringende photoaktive Resistschicht oder für andere Schichten auf. Aus diesem Grunde wird herkömmlicherweise eine Behandlung mit einem Haftvermittler durchgeführt, der die Oberfläche wieder entpolarisiert. Da bei dem erfindungsgemäßen Verfahren eine Behandlung zur Inaktivierung der Oberfläche nicht mehr nötig ist, kann auch auf die Behandlung mit dem Haftvermittler verzichtet werden. Mit dem erfindungsgemäßen Verfahren werden dadurch Prozessschritte eingespart und damit das gesamte Verfahren vereinfacht.There only a few hydrogen-containing adsorbates form on the surface, can in the inventive method on further treatments of the surface to saturate the hydrogen-bearing Adsorbates, for example with nitrous oxide or with an oxygen-containing Plasma, be dispensed with. The treatment with oxygen has one Polarization of the surface result. A polarized surface has poor adhesion for the to be applied photoactive resist layer or for other layers. Out This reason is conventionally a treatment performed with a bonding agent, the the surface depolarized again. As in the inventive method, a treatment for inactivation of the surface no longer necessary is, can also be dispensed with the treatment with the adhesion agent become. With the method according to the invention This process steps are saved and thus the entire Simplified procedure.

Auf die Oberfläche der dielektrischen Antireflektionsschicht kann nun direkt die photoaktive Resistschicht aufgebracht werden. Daraus ergibt sich ein aus zwei Schichten bestehender photoaktiver Schichtstapel, der gegenüber herkömmlichen aus drei Schichten bestehenden photoaktiven Schichtstapeln für lithographische Abbildungsprozesse mit Wellenlängen unterhalb von 248 Nanometer mehrere Vorteile aufweist. Wie sich gezeigt hat, treten bei dem erfindungsgemäß aufgebrachten Schichtstapel aufgrund der geringen Oberflächenaktivität der Antireflektionsschicht keine Scumming- und Footing-Effekte bei der Strukturausbildung in der Resistschicht mehr auf. Ein bei herkömmlich aufgebrachten Antireflektionsschichten beobachteter Alterungseffekt, also eine Änderung der Eigenschaften der Antireflektionsschicht mit der Zeit, der die weitere Prozessierung erschwert, wurde bei der erfindungsgemäß aufgebrachten Antireflektionsschicht nicht mehr beobachtet. Ein Verfahren, bei dem zur Ausbildung eines photoaktiven Schichtstapels nur zwei Schichten aufgebracht werden, ist im Vergleich zu einem Verfahren, bei dem drei Schichten aufgebracht werden, weniger aufwändig. Das Prozessfenster für einen lithographischen Abbildungsprozess mit Wellenlängen unterhalb von 248 Nanometer wird gegenüber dem herkömmlichen aus drei Schichten bestehenden photoaktiven Schichtstapel vergrößert. Die optischen Eigenschaften von zwei Schichten lassen sich leichter aufeinander abstimmen, als die optischen Eigenschaften von drei Schichten. Beispielsweise können der Brechungsindex n und die mit dem Brechungsindex verknüpfte Dielektrizitätskonstante k direkt zwischen den beiden Schichten angeglichen werden.On the surface The dielectric antireflection layer can now directly the photoactive Resist layer can be applied. This results in one out of two Layers of existing photoactive layer stack, compared to conventional Three layer photoactive layer stacks for lithographic Imaging processes with wavelengths below 248 nanometers has several advantages. Like yourself has shown occur in the inventively applied layer stack due to the low surface activity of the antireflection layer no scumming and footing effects in structural training in the resist layer more on. A conventionally applied anti-reflection coatings observed aging effect, ie a change in the properties of Antireflection layer with time, the further processing was difficult in the inventively applied antireflection layer no longer observed. A procedure in which to form a photoactive layer stack only two layers are applied is compared to a process where three layers are applied become less complicated. The process window for a lithographic imaging process with wavelengths below of 248 nanometers is opposite the conventional one enlarged from three layers photoactive layer stack. The optical properties of two layers are easier to match vote as the optical properties of three layers. For example can the Refractive index n and the refractive index-associated dielectric constant k be aligned directly between the two layers.

Vorzugsweise wird zur Ausbildung der photoaktiven Resistschicht eine chemisch verstärkte Resistschicht aufgebracht. Mit der chemisch verstärkten Resistschicht kann der photoaktive Schichtstapel beispielsweise für eine 193 Nanometer Lithographie zur Ausbildung von Strukturen im Bereich von 90 Nanometern angewendet werden.Preferably, a chemically amplified resist layer is applied to form the photoactive resist layer. With the chemically amplified resist layer, the photoactive layer stack can be used, for example, for a 193 nanometer lithography to form structures in the range of 90 Na nometern be used.

In vorteilhafter Weise wird die Antireflektionsschicht in einer PECVD (Plasma Enhanced Chemical Vapour Deposition)-Anlage unter Ausschluss von stickstoffhaltigen Gasen abgeschieden. Das Abscheiden in einer PECVD-Anlage stellt eine plasmaunterstützte Gasphasenabscheidung dar. Werden die gasförmigen Reaktionskomponenten bei einer CVD-Abscheidung in einem Plasma angeregt, so spricht man von einer PECVD-Abscheidung.In Advantageously, the antireflection layer is in a PECVD (Plasma Enhanced Chemical Vapor Deposition) plant under exclusion separated from nitrogenous gases. The deposition in one PECVD system represents a plasma assisted vapor deposition. Be the gaseous Reaction components excited in a CVD deposition in a plasma, This is called a PECVD deposition.

Vorteilhafterweise wird zur Abscheidung der Antireflektionsschicht als eine Gaskomposition in der PECVD-Anlage ein sauerstoffhaltiges Gas, ein Silangas und ein Edelgas, vorgesehen.advantageously, becomes a gas composition for depositing the antireflection layer in the PECVD plant an oxygen-containing gas, a silane gas and a noble gas, provided.

Vorzugsweise wird als das sauerstoffhaltige Gas ein CO2-Gas und als das Edelgas Helium vorgesehen.Preferably, the CO 2 gas is provided as the oxygen-containing gas and helium as the noble gas.

Zum Zünden des Plasmas in der PECVD-Anlage wird vorzugsweise eine Hochfrequenzleistung mit 13,56 MHz im Bereich von 50 bis 125 Watt eingekoppelt.To the Ignite of the plasma in the PECVD system is preferably a high-frequency power with 13.56 MHz coupled in the range of 50 to 125 watts.

Der Kammerdruck in der PECVD-Anlage wird vorzugsweise mit einem Wert zwischen 5 und 7 Torr vorgesehen.Of the Chamber pressure in the PECVD plant is preferably given a value between 5 and 7 Torr.

In vorteilhafter Weise werden Werte für Gasflüsse in die PECVD-Anlage aus folgenden Wertebereichen vorgesehen: Der Gasfluss von Silan in einem Bereich zwischen 10 und 25 sccm (Standardkubikzentimeter pro Minute), der Gasfluss von CO2 in einem Bereich zwischen 250 bis 500 sccm und von Helium in einem Bereich zwischen 2000 und 3000 sccm.Values for gas flows into the PECVD system are advantageously provided from the following value ranges: The gas flow of silane in a range between 10 and 25 sccm (standard cubic centimeters per minute), the gas flow of CO 2 in a range between 250 to 500 sccm and Helium in a range between 2000 and 3000 sccm.

Der Abstand zwischen dem mit der Antireflektionsschicht zu beschichtenden Substrat und der Gasverteilungseinheit kann zwischen 7,62 bis 15,24 millimeter variieren.Of the Distance between that to be coated with the anti-reflection coating Substrate and the gas distribution unit can be between 7.62 to 15.24 millimeters vary.

In vorteilhafter Weise wird nach einem Entfernen der photoaktiven Resistschicht zur erneuten Herstellung der geringen Oberflächenaktivität für ein erneutes Aufbringen einer photoaktiven Resistschicht auf die Oberfläche, die Oberfläche durch einen reduktiven Prozessschritt in ihren ursprünglichen Zustand zurückversetzt.In Advantageously, after removal of the photoactive resist layer for restoring the low surface activity for reapplication of a photoactive resist layer on the surface, the surface through reset a reductive process step to its original state.

Treten bei der Abbildung von Strukturen auf die photoaktive Resistschicht Fehler auf, so wird üblicherweise die Resistschicht mit Hilfe einer nasschemischen Behandlung mit einer sogenannten Piranha-Lösung (Schwefelsäure/Wasserstoffperoxid) entfernt. Möglich ist auch eine Veraschung der Resistschicht in einem Sauerstoffplasma.To step in the mapping of structures onto the photoactive resist layer Errors, it usually becomes the resist layer by means of a wet-chemical treatment with a so-called piranha solution (Sulfuric acid / hydrogen peroxide) away. Possible is also an ashing of the resist layer in an oxygen plasma.

Nachdem die belichtete Resistschicht entfernt ist, wird eine neue Resistschicht aufgebracht und belichtet. Es zeigte sich, dass bei dem herkömmlichen Prozess bei der erneuten Belichtung der neuen Resistschicht eine erhöhte Rauhigkeit der Strukturwände auftrat. Dieses Phänomen ist bei der nasschemischen Anwendung stärker ausgeprägt. Weiterhin zeigte sich, dass ein Aufbringen der neuen Resistschicht ohne eine vorhergehende Behandlung der Antireflektionsschicht mit dem Haftvermittler HMDS nicht mehr möglich ist. Die neue Resistschicht haftet nur noch mit einer HMDS-Vorbehandlung zur Verringerung der Polarität der Oberfläche.After this the exposed resist layer is removed, a new resist layer is formed applied and exposed. It turned out that in the conventional Reexposure process of the new resist layer increased Roughness of the structure walls occurred. This phenomenon is more pronounced in wet-chemical application. Farther showed that applying the new resist layer without a previous treatment of the antireflection layer with the adhesion promoter HMDS not possible anymore is. The new resist layer only sticks with an HMDS pretreatment to reduce the polarity the surface.

Eine mögliche Ursache für diese Effekte ist eine Änderung der Eigenschaften der Oberfläche der stickstofffreien Antireflektionsschicht durch den Einfluss von Sauerstoff auf die Oberfläche bei der Entfernung der Resistschicht.A possible Cause for these effects is a change the properties of the surface the nitrogen-free anti-reflection layer by the influence of Oxygen on the surface in the removal of the resist layer.

Durch die Einführung des erfindungsgemäßen reduktiven Schrittes nach dem Entfernen der belichteten ersten Resistschicht wird die durch Oxidation veränderte Oberfläche der stickstofffreien Antireflektionsschicht in ihren ursprünglichen Zustand zurückversetzt. Die oxidative Passivierung der Oberfläche wird rückgängig gemacht.By the introduction the inventive reductive Step after removing the exposed first resist layer which changed by oxidation surface the nitrogen-free anti-reflection coating in its original Condition set back. The oxidative passivation of the surface is reversed.

Es konnte gezeigt werden, dass die Einführung des reduktiven Schrittes nach dem standardmäßigen Entfernen der belichteten ersten Resistschicht eine lithographische Performance bei erneuter Resistbeschichtung und Belichtung bewirkt, die vergleichbar zu der lithographischen Performance der ersten Resistschicht ist. Das bedeutet, dass eine mögliche Rauhigkeit der Strukturwände in der neuen Resistschicht sich nicht von der Rauhigkeit der Strukturwände in der belichteten ersten Resistschicht unterscheiden.It could be shown that the introduction of the reductive step after the standard removal the exposed first resist layer a lithographic performance with resists coating and exposure causes the comparable to the lithographic performance of the first resist layer. That means a possible Roughness of the structure walls in the new resist layer is not affected by the roughness of the structural walls in the differentiate exposed first resist layer.

Auch eine Dosisverschiebung bei der Belichtung der neuen Resistschicht, die nach dem herkömmlichen Entfernen der belichteten ersten Resistschicht ohne reduktiven Schritt beobachtet wurde, wird durch den reduktiven Schritt rückgängig gemacht. Es hat sich gezeigt, dass mit der reduktiven Behandlung die Haftung der photoaktiven Resistschicht auf der stickstofffreien Antireflektionsschicht entscheidend verbessert wird.Also a dose shift in the exposure of the new resist layer, the after the conventional one Removing the exposed first resist layer without reductive step observed is reversed by the reductive step. It has been shown that with the reductive treatment the adhesion the photoactive resist layer on the nitrogen-free anti-reflection layer crucial is improved.

Vorzugsweise wird der reduktive Prozessschritt mittels einer Behandlung der Oberfläche mit verdünnter Flusssäure durchgeführt. Der das zu strukturierende Substrat aufweisende Halbleiterwafer kann beispielsweise in einfacher Weise in ein Bad aus Flusssäure getaucht werden.Preferably the reductive process step is carried out by means of a treatment of the surface with dilute hydrofluoric acid. Of the the substrate to be structured having semiconductor wafer can For example, immersed in a simple way in a bath of hydrofluoric acid become.

Es wird ein photoaktiver Schichtstapel auf einem Substrat für eine Übertragung von Strukturen auf einer Photomaske in das Substrat zur Verfügung gestellt. Erfindungsgemäß weist der photoaktive Schichtstapel zwei Schichten, eine stickstofffreie dielektrische Antireflektionsschicht und eine chemisch verstärkte Resistschicht auf und ist mit dem erfindungsgemäßen Verfahren aufgebracht worden. Durch das erfindungsgemäße Aufbringen der Antireflektionsschicht werden die für eine Strukturausbildung in der Resistschicht störenden Scumming- und Footing-Effekte ohne ein Aufbringen einer zusätzlichen Barrierenschicht zwischen Antireflektionsschicht und Resistschicht, weitgehend vermieden. Mit dem photoaktiven Schichtstapel der nur aus zwei Schichten besteht, können die optischen Eigenschaften, die durch den Brechungsindex n und der mit dem Brechungsindex verknüpften Dielektrizitätskonstante k definiert sind, gut aufeinander abgestimmt werden. Das Prozessfenster für die lithographische Abbildung ist bei dem erfindungsgemäßen Schichtstapel im Vergleich zu herkömmlichen aus drei Schichten bestehenden Schichtstapeln erweitert. Der erfindungsgemäße Schichtstapel eignet sich besonders für die lithographische Abbildung von Strukturen mit einer Lichtwellenlänge von 193 Nanometern.A photoactive layer stack is provided on a substrate for transferring structures on a photomask into the substrate. According to the invention, the photoactive Layer stack has two layers, a nitrogen-free dielectric antireflection layer and a chemically amplified resist layer and has been applied by the method according to the invention. By applying the antireflection layer according to the invention, the scumming and footing effects which disturb the structure formation in the resist layer are largely avoided without the application of an additional barrier layer between the antireflection layer and the resist layer. With the photoactive layer stack consisting of only two layers, the optical properties defined by the refractive index n and the refractive index-associated dielectric constant k can be well matched. The process window for the lithographic imaging is extended in the layer stack according to the invention in comparison to conventional layer stacks consisting of three layers. The layer stack according to the invention is particularly suitable for the lithographic imaging of structures with a light wavelength of 193 nanometers.

Nachfolgend wird die Erfindung anhand der Figuren näher erläutert. Es zeigen:following the invention will be explained in more detail with reference to FIGS. Show it:

1 Eine schematische Veranschaulichung von Scumming- und Footing-Effekt, 1 A schematic illustration of scumming and footing effect,

2 eine schematische Darstellung eines herkömmlichen Schichtstapels und 2 a schematic representation of a conventional layer stack and

3 eine schematische Darstellung des erfindungsgemäßen Schichtstapels. 3 a schematic representation of the layer stack according to the invention.

Die 1 und 2 sind in der Beschreibungseinleitung bereits erläutert worden.The 1 and 2 have already been explained in the introduction to the description.

Die 3 zeigt einen erfindungsgemäßen Schichtstapel 6 für eine photolithographische Übertragung von Strukturen auf einer Photomaske in das Substrat 3. Zu sehen ist der Schichtstapel 6, der aus aus zwei Schichten besteht, einer stickstofffreien, dielektrischen Antireflektionsschicht 1 und einer chemisch verstärkten photoaktiven Resistschicht 2. Die Antireflektionsschicht 1 wurde in einer PECVD-Anlage unter Bedingungen abgeschieden bei denen sich eine nicht stöchiometrische Silanoxidschicht SixOy ausbildet. Diese Bedingungen werden durch einzustellende Parameter an der PECVD-Anlage, wie Druck, Leistung, Gasflüsse, erzeugt. Die Parameter sind so gewählt, dass eine Oberfläche 4 der nicht stöchiometrischen Silanoxidschicht mit einer geringen Oberflächenaktivität ausgebildet wird. Aufgrund der geringen Oberflächenaktivität lässt sich direkt auf die Oberfläche 4 der Antireflektionsschicht 1 die Resistschicht 2 aufbringen.The 3 shows a layer stack according to the invention 6 for a photolithographic transfer of structures on a photomask into the substrate 3 , You can see the layer stack 6 consisting of two layers, a nitrogen-free dielectric antireflection layer 1 and a chemically amplified photoactive resist layer 2 , The antireflection layer 1 was deposited in a PECVD plant under conditions in which a non-stoichiometric silane oxide layer SixOy is formed. These conditions are generated by parameters to be set on the PECVD system, such as pressure, power, gas flows. The parameters are chosen so that a surface 4 the non-stoichiometric silane oxide layer having a low surface activity is formed. Due to the low surface activity can be directly on the surface 4 the anti-reflection layer 1 the resist layer 2 muster.

Der 3 sind das Substrat 3, die Antireflektionsschicht 1 mit der Oberfläche 4 und die Resistschicht 2 entnehmbar.Of the 3 are the substrate 3 , the anti-reflection coating 1 with the surface 4 and the resist layer 2 removable.

Um die Antireflektionsschicht 1 in der PECVD-Anlage abzuscheiden, können die Parameter beispielsweise folgendermaßen gewählt werden: Kammerdruck 6 Torr, eingekoppelte Hochfrequenzleistung bei einer Frequenz von 13,56 MHz, 100 W, Abstand zwischen Gasverteilungseinheit zu Substrat 9,906 millimeter, Silanfluss 21 sccm, Kohlendioxidfluss 500 sccm, Helium 2500 sccm.To the anti-reflection layer 1 in the PECVD system, the parameters can be selected, for example, as follows: chamber pressure 6 Torr, coupled radio frequency power at a frequency of 13.56 MHz, 100 W, distance between gas distribution unit to substrate 9.906 millimeters, silane flux 21 sccm, carbon dioxide flow 500 sccm, helium 2500 sccm.

Wird die Antireflektionsschicht 1 unter den genannten Bedingungen abgeschieden, so bildet sich die stickstofffreie Antireflektionsschicht 1 mit der genügend geringen Oberflächenaktivität zum erfolgreichen Aufbringen der Resistschicht 2 aus. Obwohl bei dem Schichtstapel 6 gemäß 3 auf eine Barrierenschicht 5, wie sie bei herkömmlichen Schichtstapeln 6 vor gesehen ist, verzichtet wurde, weist die Resistschicht 2 im erfindungsgemäßen Schichtstapel 6, aufgrund der geringen Oberflächenaktivität der Antireflektionsschicht, keine oder deutlich verringerte Scumming- und Footing-Effekte auf.Becomes the antireflection layer 1 deposited under the conditions mentioned, the nitrogen-free antireflection layer is formed 1 with enough surface activity to successfully apply the resist layer 2 out. Although at the layer stack 6 according to 3 on a barrier layer 5 as with conventional layer stacks 6 before is seen, has the resist layer 2 in the layer stack according to the invention 6 due to the low surface activity of the antireflection layer, no or significantly reduced scumming and footing effects.

11
AntireflektionsschichtAnti-reflection layer
22
Resistschichtresist layer
2a2a
Linieline
2b2 B
Spaltgap
33
Substratsubstratum
44
Oberflächesurface
55
Barrierenschichtbarrier layer
66
Schichtstapellayer stack

Claims (12)

Verfahren zum Aufbringen eines photoaktiven Schichtstapels (6) auf ein Substrat (3) für eine Übertragung von Strukturen auf einer Fotomaske in das Substrat (3), umfassend die Schritte: a) Bereitstellen des Substrats (3), b) aufbringen einer stickstofffreien, dielektrischen Antireflektionsschicht (1) auf das Substrat (3), wobei Prozessbedingungen zum Aufbringen der Antireflektionsschicht (1) in der Weise vorgesehen werden, dass die Antireflektionsschicht (1) durch eine nicht stöchiometrische Silanoxidschicht SixOy mit einer Oberfläche (4) mit einer genügend geringen Oberflächenaktivität zum Ausführen von Schritt c) ausgebildet wird und c) aufbringen einer photoaktiven Resistschicht (2) auf die Oberfläche (4) der Antireflektionsschicht (1).Method for applying a photoactive layer stack ( 6 ) on a substrate ( 3 ) for transferring structures on a photomask into the substrate ( 3 ), comprising the steps of: a) providing the substrate ( 3 b) applying a nitrogen-free, dielectric antireflection coating ( 1 ) on the substrate ( 3 ), wherein process conditions for applying the antireflection layer ( 1 ) are provided in such a way that the antireflection coating ( 1 ) by a non-stoichiometric silane oxide layer Si x O y having a surface ( 4 ) is formed with a sufficiently low surface activity to carry out step c) and c) applying a photoactive resist layer ( 2 ) on the surface ( 4 ) of the anti-reflection layer ( 1 ). Verfahren nach Anspruch 1, dadurch gekennzeichnet, dass zur Ausbildung der photoaktiven Resistschicht (2), eine chemisch verstärkte Resistschicht (2) aufgebracht wird.A method according to claim 1, characterized in that for the formation of the photoactive resist layer ( 2 ), a chemically amplified resist layer ( 2 ) is applied. Verfahren nach einem der Ansprüche 1 oder 2, dadurch gekennzeichnet, dass die Antireflektionsschicht (1) in einer PECVD-Anlage unter Ausschluß von stickstoffhaltigen Gasen abgeschieden wird.Method according to one of claims 1 or 2, characterized in that the anti-reflection coating ( 1 ) is deposited in a PECVD system with the exclusion of nitrogen-containing gases. Verfahren nach Anspruch 3, dadurch gekennzeichnet, dass zur Abscheidung der Antireflektionsschicht (1) als eine Gaskomposition in der PECVD-Anlage ein sauerstoffhaltiges Gas, ein Silangas und ein Edelgas vorgesehen wird.Method according to claim 3, characterized in that for depositing the antireflection layer ( 1 ) is provided as a gas composition in the PECVD system an oxygen-containing gas, a silane gas and a noble gas. Verfahren nach Anspruch 4, dadurch gekennzeichnet, dass als das sauerstoffhaltige Gas ein CO2-Gas vorgesehen wird.A method according to claim 4, characterized in that as the oxygen-containing gas, a CO 2 gas is provided. Verfahren nach Anspruch 4 oder 5, dadurch gekennzeichnet, dass als das Edelgas Helium vorgesehen wird.Method according to claim 4 or 5, characterized that is provided as the noble gas helium. Verfahren nach einem der Ansprüche 3 bis 6, dadurch gekennzeichnet, dass in die PECVD-Anlage eine Hochfrequenzleistung mit 13,56 MHz im Bereich von 50 bis 125 Watt eingekoppelt wird.Method according to one of claims 3 to 6, characterized that in the PECVD system a high frequency power with 13.56 MHz in the range of 50 to 125 watts is coupled. Verfahren nach einem der Ansprüche 3 bis 7, dadurch gekennzeichnet, dass ein Druck in der PECVD-Anlage mit einem Wert zwischen 5 und 7 Torr vorgesehen wird.Method according to one of claims 3 to 7, characterized that a pressure in the PECVD plant with a value between 5 and 7 Torr is provided. Verfahren nach einem der Ansprüche 3 bis 8, dadurch gekennzeichnet, dass Werte für Gasflüsse in der PECVD-Anlage aus folgenden Wertebereichen vorgesehen werden: Der Gasfluß von Silan in einem Bereich zwischen 10 und 25 sccm, von CO2 in einem Bereich zwischen 250 bis 500 sccm und von He in einem Bereich zwischen 2000 und 3000 sccm.Method according to one of claims 3 to 8, characterized in that values are provided for gas flows in the PECVD system from the following value ranges: The gas flow of silane in a range between 10 and 25 sccm, of CO 2 in a range between 250 to 500 sccm and he in a range between 2000 and 3000 sccm. Verfahren nach einem der Ansprüche 1 bis 9, dadurch gekennzeichnet, dass nach einem Entfernen der photoaktiven Resistschicht (2) zur erneuten Herstellung der geringen Oberflächenaktivität für ein erneutes Aufbringen einer photoaktiven Resistschicht (2) auf die Oberfläche (4), die Oberfläche (4) durch einen reduktiven Prozessschritt in ihren ursprünglichen Zustand zurückversetzt wird.Method according to one of claims 1 to 9, characterized in that after removal of the photoactive resist layer ( 2 ) for restoring the low surface activity for re-application of a photoactive resist layer ( 2 ) on the surface ( 4 ), the surface ( 4 ) is restored to its original state by a reductive process step. Verfahren nach Anspruch 10, dadurch gekennzeichnet, dass der reduktive Prozessschritt mittels einer Behandlung der Oberfläche (4) mit verdünnter Flußsäure durchgeführt wird.A method according to claim 10, characterized in that the reductive process step by means of a treatment of the surface ( 4 ) is carried out with dilute hydrofluoric acid. Photoaktiver Schichtstapel (6) auf einem Substrat (3) für eine Übertragung von Strukturen auf einer Fotomaske in das Substrat (3), dadurch gekennzeichnet, dass der photoaktive Schichtstapel (6) zwei Schichten, eine stickstofffreie, dielektrische Antireflektionsschicht (1) und eine chemisch verstärkte Resistschicht (2) aufweist und mit einem Verfahren gemäß einem der Ansprüche 1 bis 10 aufgebracht worden ist.Photoactive layer stack ( 6 ) on a substrate ( 3 ) for transferring structures on a photomask into the substrate ( 3 ), characterized in that the photoactive layer stack ( 6 ) two layers, a nitrogen-free dielectric antireflection coating ( 1 ) and a chemically amplified resist layer ( 2 ) and has been applied by a method according to any one of claims 1 to 10.
DE102004024886A 2004-05-19 2004-05-19 Method for applying photoactive multilayer coatings to substrates comprises applying nitrogen-free, non-stoichiometric silicon oxide dielectric anti-reflection layer to substrate with surface to which photoactive resist can be applied Withdrawn DE102004024886A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE102004024886A DE102004024886A1 (en) 2004-05-19 2004-05-19 Method for applying photoactive multilayer coatings to substrates comprises applying nitrogen-free, non-stoichiometric silicon oxide dielectric anti-reflection layer to substrate with surface to which photoactive resist can be applied

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004024886A DE102004024886A1 (en) 2004-05-19 2004-05-19 Method for applying photoactive multilayer coatings to substrates comprises applying nitrogen-free, non-stoichiometric silicon oxide dielectric anti-reflection layer to substrate with surface to which photoactive resist can be applied

Publications (1)

Publication Number Publication Date
DE102004024886A1 true DE102004024886A1 (en) 2005-12-15

Family

ID=35404299

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004024886A Withdrawn DE102004024886A1 (en) 2004-05-19 2004-05-19 Method for applying photoactive multilayer coatings to substrates comprises applying nitrogen-free, non-stoichiometric silicon oxide dielectric anti-reflection layer to substrate with surface to which photoactive resist can be applied

Country Status (1)

Country Link
DE (1) DE102004024886A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046381A1 (en) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Method for reducing lacquer poisoning during structuring of strutted nitrogen-containing layers in semiconductor component, involves forming stressed layer by two transistors, where stressed layer has silicon and nitrogen

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040009676A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US6720251B1 (en) * 2001-06-28 2004-04-13 Novellus Systems, Inc. Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
US20040087139A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6720251B1 (en) * 2001-06-28 2004-04-13 Novellus Systems, Inc. Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
US20040009676A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US20040087139A1 (en) * 2002-11-04 2004-05-06 Applied Materials, Inc. Nitrogen-free antireflective coating for use with photolithographic patterning

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
MOREAU,Wayne M.: Semiconductor Lithography, Principles Practices, and Materials. New York, Plenum Press, 1988, S.646-651. ISBN 0-306-42185-2 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006046381A1 (en) * 2006-09-29 2008-04-03 Advanced Micro Devices, Inc., Sunnyvale Method for reducing lacquer poisoning during structuring of strutted nitrogen-containing layers in semiconductor component, involves forming stressed layer by two transistors, where stressed layer has silicon and nitrogen
DE102006046381B4 (en) * 2006-09-29 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale A method of reducing "paint poisoning" during patterning strained nitrogen-containing layers in a semiconductor device
US7871941B2 (en) 2006-09-29 2011-01-18 Advanced Micro Devices, Inc. Method for reducing resist poisoning during patterning of stressed nitrogen-containing layers in a semiconductor device

Similar Documents

Publication Publication Date Title
DE4410274C2 (en) Method of making a multilayer resist pattern
DE4414808B4 (en) Use of an antireflective coating composition and method for producing an antireflective layer and a semiconductor device
DE102006049920A1 (en) Hardening of a paint after its irradiation
EP0394741A2 (en) Deep UV etch resistant system
DE1696489B2 (en) METHOD OF PRODUCING A POSITIVE RESIST IMAGE
DE4300983A1 (en)
DE10361257B4 (en) Process for the production of fine patterns
DE3315118A1 (en) METHOD FOR PRODUCING PATTERNS ON A SUBSTRATE
DE4317925C2 (en) Method of manufacturing a semiconductor device
DE4041409C2 (en) Process for producing a relief image
DE10134501A1 (en) Method for forming micro-patterns of a semiconductor device
DE10225925B4 (en) Etching process using a photoresist etching barrier
DE10243159A1 (en) A method of forming a photoresist pattern and a method of manufacturing a semiconductor device
DE10339988B4 (en) Method for producing an antireflecting layer
DE10037957C1 (en) Process for the anisotropic dry etching of organic anti-reflection layers
DE2643811A1 (en) METHOD FOR PRODUCING A PATTERN IN A PHOTO-LACQUER LAYER AND A MASK SUITABLE FOR IT
DE102004024886A1 (en) Method for applying photoactive multilayer coatings to substrates comprises applying nitrogen-free, non-stoichiometric silicon oxide dielectric anti-reflection layer to substrate with surface to which photoactive resist can be applied
DE3015034C2 (en) Process for the production of microstructures on solid bodies
EP0003759B1 (en) Process for the exposure of a radiation-sensitive layer to Röntgen rays
DE102018123498B4 (en) Deep ultraviolet photoresist composition and method of manufacturing a semiconductor device
DE10307523B4 (en) Process for producing a resist mask for the patterning of semiconductor substrates
DE69917944T2 (en) ELECTRON RESIST
EP1509815A1 (en) Photosensitive lacquer for providing a coating on a semiconductor substrate or a mask
WO2002029879A1 (en) Method for etching organic anti-reflecting coatings
DE3028308A1 (en) Integrated semiconductor circuit prodn. - by electron bombardment, UV irradiation and alkali treatment of a phenolic condensation resin having a photosensitive lacquer

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8139 Disposal/non-payment of the annual fee