CN220233194U - Three-dimensional integrated circuit - Google Patents

Three-dimensional integrated circuit Download PDF

Info

Publication number
CN220233194U
CN220233194U CN202321892207.5U CN202321892207U CN220233194U CN 220233194 U CN220233194 U CN 220233194U CN 202321892207 U CN202321892207 U CN 202321892207U CN 220233194 U CN220233194 U CN 220233194U
Authority
CN
China
Prior art keywords
layer
insulating layer
device layer
integrated circuit
dimensional integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202321892207.5U
Other languages
Chinese (zh)
Inventor
岳丹诚
张耀辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Suzhou Huatai Electronics Co Ltd
Original Assignee
Suzhou Huatai Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Suzhou Huatai Electronics Co Ltd filed Critical Suzhou Huatai Electronics Co Ltd
Priority to CN202321892207.5U priority Critical patent/CN220233194U/en
Application granted granted Critical
Publication of CN220233194U publication Critical patent/CN220233194U/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The embodiment of the application provides a three-dimensional integrated circuit, which comprises: an underlying device layer; the lower device layer comprises a lower device layer body and an annealing barrier layer, the annealing barrier layer is positioned at the upper part in the lower device layer body, and the annealing barrier layer avoids the vertical through holes to keep a distance from the vertical through holes; an upper device layer formed over the lower device layer; the annealing barrier layer of the lower device layer covers the structure below the annealing barrier layer of the lower device layer. The embodiment of the application solves the technical problem that the traditional 3D packaging chip cannot adapt to the development direction of the chip.

Description

Three-dimensional integrated circuit
Technical Field
The present application relates to the field of semiconductor device technology, and in particular, to a three-dimensional integrated circuit.
Background
Electronic products are currently being developed toward miniaturization, high density, high reliability and low power consumption, so that the development direction of chips is also miniaturization, high density, high reliability and low power consumption. In order to reduce the chip size, multilayer chip stack packaging technology is invented in the industry.
At first, stacking and packaging are to stack a plurality of chip bare chips together, connect signals between chips through bonding technology to form an internal complete system, and then externally connect external signals through packaging pins, finally packaging to form a complete chip.
Later, the industry invented Through Silicon Via (TSV) technology, where signals between stacked die are connected through TSVs, resulted in a more compact multi-chip stacked package chip. Such 3D packaged chips are formed by multilayer chip die stack packaging at the packaging stage, and such 3D packaged chips can only be regarded as pseudo 3D chips from the chip manufacturing point of view.
The 3D packaged chip has the following defects:
1. the main challenge faced by the thinning technology is the thinning capability of <50um required by the ultrathin technology, the unsupported thinned silicon wafer can generate serious warpage after assembly, and larger residual stress can be generated on the interconnection (micro-convex point) between the thinned silicon wafer and the substrate, so that the reliability problem of the device structure is caused.
2. Since Cu is easily oxidized and forms various oxides (CuO and Cu 2O) at high temperature, a cu—cu hybrid bonding process with high vacuum degree and high cleanliness is required.
3. The alignment accuracy of different chip bare dies in the packaging process is low, the bare dies can have displacement in the packaging process, so that drilling holes or pins are not aligned, and the influence of the coverage accuracy on wiring and interconnection spacing is limited to a few micrometers. The pitch of the Intel state-of-the-art QMC process is 3um, so the number of bonded I/os is limited by pitch and the integration cannot be improved any more.
4. The 3D package is composed of a large number of different materials with different material properties such as Coefficient of Thermal Expansion (CTE), thermal conductivity, electrical conductivity, and elastic modulus, which can generate large thermo-mechanical forces on the chip and cause chip-package interactions (CPI), resulting in cracking of low K dielectric materials, metal structure delamination, etc. In addition, the package itself may also undergo severe warpage, thereby adding additional stress, especially for larger area packages.
Therefore, the conventional 3D package chip cannot adapt to the development direction of the chip, and a real 3D chip is urgently needed, which is a technical problem that needs to be solved by those skilled in the art.
The above information disclosed in the background section is only for enhancement of understanding of the background of the application and therefore it may contain information that does not form the prior art that is already known to a person of ordinary skill in the art.
Disclosure of Invention
The embodiment of the application provides a three-dimensional integrated circuit to solve the technical problem that a traditional 3D packaging chip cannot adapt to the development direction of the chip.
The embodiment of the application provides a three-dimensional integrated circuit, which comprises:
an underlying device layer; the lower device layer comprises a lower device layer body and an annealing barrier layer, the annealing barrier layer is positioned at the upper part in the lower device layer body, and the annealing barrier layer avoids the vertical through holes to keep a distance from the vertical through holes;
An upper device layer formed over the lower device layer;
the annealing barrier layer of the lower device layer covers the structure below the annealing barrier layer of the lower device layer.
In implementation, the annealing barrier layer of the lower device layer covers the metal silicide region and the low-melting-point metal region of the lower device layer;
the metal silicide region comprises, but is not limited to, a source region, a drain region and a gate metal silicide, and the low-melting-point metal region comprises a metal through hole, a metal layer, a metal gate region and a metal interconnection line.
By adopting the technical scheme, the embodiment of the application has the following technical effects:
the three-dimensional integrated circuit comprises a lower device layer and an upper device layer which are arranged from bottom to top. The lower device layer comprises a lower device layer body and an annealing barrier layer, wherein the annealing barrier layer is positioned at the upper part in the lower device layer body and covers a structure below the annealing barrier layer of the lower device layer. In the preparation of the three-dimensional integrated circuit of the embodiments of the present application, the lower device layer is prepared first, and then the upper device layer is prepared. Because the annealing barrier layer exists in the lower device layer body, a large amount of heat generated by light of an annealing process in the preparation process of the upper device layer can be blocked by the annealing barrier layer of the lower device layer, and the heat is limited at the annealing barrier layer position of the lower device layer, so that the heat cannot secondarily heat a structure below the prepared annealing barrier layer of the lower device layer, the lower device layer is prevented from being damaged, and the three-dimensional integrated circuit of the embodiment of the application can be prepared. According to the three-dimensional integrated circuit, the annealing barrier layer is arranged on the upper portion in the lower device layer body, so that the structure of the lower device layer body is protected while the upper device layer is formed above the lower device layer, a plurality of device layers are formed in the vertical direction, and a structural foundation is provided for realizing a real 3D chip.
Drawings
The accompanying drawings, which are included to provide a further understanding of the application and are incorporated in and constitute a part of this application, illustrate embodiments of the application and together with the description serve to explain the application and do not constitute an undue limitation to the application. In the drawings:
FIG. 1 is a schematic diagram of a three-dimensional integrated circuit according to an embodiment of the present application;
FIG. 2 is a flow chart of a method of fabricating the three-dimensional integrated circuit of FIG. 1;
FIG. 3 is a cross-sectional view of the three-dimensional integrated circuit of FIG. 1, after completion of step S1-2;
FIG. 4 is a cross-sectional view of the three-dimensional integrated circuit of FIG. 1, after completion of steps S1-3;
FIG. 5 is a cross-sectional view of the three-dimensional integrated circuit of FIG. 1, after completion of steps S1-4;
FIG. 6 is a cross-sectional view of the three-dimensional integrated circuit of FIG. 1, after completion of step S2-1;
FIG. 7 is a cross-sectional view of the three-dimensional integrated circuit of FIG. 1, after completion of step S2-3;
FIG. 8 is a cross-sectional view of the three-dimensional integrated circuit of FIG. 1, after completion of steps S3-6;
FIGS. 9 and 10 are cross-sectional views of the method of fabricating the three-dimensional integrated circuit of FIG. 1 to complete steps S3-7;
fig. 11 is a cross-sectional view of the method for manufacturing the three-dimensional integrated circuit shown in fig. 1, after completion of step S4.
Reference numerals:
the semiconductor device comprises a bottom substrate epitaxial layer 1, a functional device 2 of a bottom functional device layer, an oxide insulating layer 3, a tungsten through hole 4, a metal interconnection line 5 in the oxide insulating layer, a low dielectric constant insulating layer 6, a metal interconnection line 7 in the low dielectric constant insulating layer, a silicon dioxide island isolation layer 8, a first thin silicon layer 9, a device isolation layer 10, a functional device 11 of the first functional device layer, a first interlayer TSV through hole 12, an aluminum cushion layer 13, a passivation layer 14, an H+ ion implantation layer 15 and an annealing barrier layer 16.
Detailed Description
In order to make the technical solutions and advantages of the embodiments of the present application more apparent, the following detailed description of exemplary embodiments of the present application is given with reference to the accompanying drawings, and it is apparent that the described embodiments are only some of the embodiments of the present application and not exhaustive of all the embodiments. It should be noted that, in the case of no conflict, the embodiments and features in the embodiments may be combined with each other.
The traditional 3D packaging chip is essentially packaging of a plurality of layers of chips, namely each chip is independent before packaging, and a certain gap is reserved between two layers of chips all the time, so that the 3D packaging itself cannot realize close adhesion between the two layers of chips, and cannot adapt to the development direction of miniaturization of the chips. In each individual chip, there is a respective substrate, and the substrate needs to be kept at a certain thickness, resulting in that the thickness of the individual chip is also a certain thickness; in addition, the proportion of the substrate in the chip is between 40 and 50 percent, so that the cost of the 3D packaged chip is high.
The inventors of the present application did not consider how to achieve a smaller chip on the basis of a conventional 3D packaged chip. But opens up another brand-new development direction, creates a real 3D chip, and adapts to the development direction of chip miniaturization, high density, high reliability and low power consumption.
Example 1
The inventor of the present application has developed a true 3D chip, that is, in the same chip, there are multiple device layers, and the functions of each device layer may be the same or different. The inventors of the present application have found during development that a significant difficulty encountered is that the heat of the light during the annealing process in the fabrication of the upper device layer damages the functional devices in the next device layer, as well as the low-melting metal vias and metal interconnect lines. The inventor of the present application has paid a great deal of creative effort to overcome this difficulty.
As shown in fig. 1, a three-dimensional integrated circuit according to an embodiment of the present application includes:
an underlying device layer; the lower device layer comprises a lower device layer body and an annealing barrier layer 16, the annealing barrier layer 16 is positioned at the upper part in the lower device layer body, and the annealing barrier layer avoids the vertical through holes so as not to be connected with the vertical through holes, namely, the annealing barrier layer keeps a distance from the vertical through holes in the three-dimensional integrated circuit;
An upper device layer formed over the lower device layer;
the annealing barrier layer 16 of the lower device layer covers the structure under the annealing barrier layer of the lower device layer, and the annealing barrier layer 16 of the lower device layer blocks light of an annealing process in the preparation process of the upper device layer from secondarily heating the structure under the annealing barrier layer of the lower device layer.
The three-dimensional integrated circuit comprises a lower device layer and an upper device layer which are arranged from bottom to top. The lower device layer comprises a lower device layer body and an annealing barrier layer, wherein the annealing barrier layer is positioned at the upper part in the lower device layer body and covers a structure below the annealing barrier layer of the lower device layer. This masking is done as long as the underlying device layer is likely to be damaged by light from the annealing process during fabrication of the overlying device layer. In the preparation of the three-dimensional integrated circuit of the embodiments of the present application, the lower device layer is prepared first, and then the upper device layer is prepared. Because the annealing barrier layer exists in the lower device layer body, a large amount of heat generated by light of an annealing process in the preparation process of the upper device layer can be blocked by the annealing barrier layer of the lower device layer, the heat is limited at the annealing barrier layer position of the lower device layer, and the structure below the annealing barrier layer of the lower device layer is covered to realize protection, so that the heat can not heat the structure below the prepared annealing barrier layer of the lower device layer, the damage of the lower device layer is avoided, and the three-dimensional integrated circuit of the embodiment of the application can be prepared. According to the three-dimensional integrated circuit, the annealing barrier layer is arranged on the upper portion in the lower device layer body, so that the structure of the lower device layer body is protected while the upper device layer is formed above the lower device layer, a plurality of device layers are formed in the vertical direction, and a structural foundation is provided for realizing a real 3D chip.
In implementation, the annealing barrier layer of the lower device layer covers the metal silicide region and the low-melting-point metal region of the lower device layer;
the metal silicide region comprises, but is not limited to, a source region, a drain region and a gate metal silicide, and the low-melting-point metal region comprises a metal through hole, a metal layer, a metal gate region and a metal interconnection line.
Structures in the underlying device layer that may be damaged by light from the annealing process during fabrication of the overlying device layer include, but are not limited to, metal silicide regions, low melting point metal regions.
In practice, as shown in fig. 1, the three-dimensional integrated circuit further comprises:
an island isolation layer 8, wherein the island isolation layer 8 is formed between the lower device layer and the upper device layer;
the island isolation layer 8 covers the entire underlying device layer.
The lower device layer is prepared, then the island isolation layer is prepared, and then the upper device layer is prepared. Due to the existence of the island isolation layer, a large amount of heat generated by light of an annealing process in the preparation process of the upper device layer can be blocked by the island isolation layer, and a part of heat is limited at the position of the island isolation layer, so that the heat which can enter the lower device layer is greatly reduced. The heat entering the lower device layer is blocked once by the annealing barrier layer of the lower device layer, so that the heat cannot heat the structure below the prepared annealing barrier layer of the lower device layer, and the lower device layer is prevented from being burnt.
In practice, as shown in fig. 1, the lower device layer body includes a lower semiconductor layer, a lower functional device layer, and a lower insulating layer that are disposed from bottom to top; wherein, the lower insulating layer is provided with an electric connection structure connected with the functional devices of the lower functional device layer;
the annealing barrier layer 8 of the lower device layer is located in the lower insulating layer and at a height above the electrical connection structure of the lower insulating layer, and covers the functional devices of the lower functional device layer.
This height of the anneal-blocking layer arrangement of the underlying device layer enables masking of structures that may need to be masked by the underlying device layer body.
As an alternative way, the annealing barrier layer of the lower device layer covers the metal silicide region and the low-melting-point metal region of the functional device of the lower functional device layer; the melting point of the low-melting-point metal area is lower than the annealing temperature of an annealing process in the preparation process of the upper device layer;
the metal silicide region comprises a source region, a drain region and a grid metal silicide, and the low-melting-point metal region comprises a metal through hole, a metal layer, a metal grid region and a metal interconnection line; the electric connection structure of the lower insulating layer comprises a metal through hole and a metal interconnection line.
The metal silicide region and the low-melting-point metal region of the functional device of the lower functional device layer are regions where heat needs to be blocked mainly in the functional device of the lower functional device layer, and therefore the annealing blocking layer of the lower functional device layer needs to cover the metal silicide region and the low-melting-point metal region of the functional device of the lower functional device layer.
The high-melting-point metal region in the functional device of the underlying functional device layer may be uncovered or may be selected to be uncovered. Considering that the high-melting-point metal region may be staggered with the metal silicide region and the low-melting-point metal region, the shape of the annealing barrier layer may be too complex by avoiding the high-melting-point metal region alone, and the whole functional device of the underlying functional device layer may be considered to be covered. Thus, an alternative way is created in which the annealing barrier layer of the underlying device layer masks the functional devices of the underlying functional device layer and the electrical connection structures in the underlying insulating layer.
The annealing barrier layer protects the functional devices of the lower functional device layer and the whole electric connection structure in the lower insulating layer, and is simple in shape and convenient to process and manufacture.
It should be noted that, in the embodiment of the present application, the lower device layer and the upper device layer only define the relative positional relationship of the two device layers. The lower device layer may be the bottom device layer of the three-dimensional integrated circuit or any layer of the three-dimensional integrated circuit other than the top device layer.
The following description will be made with respect to the case where the lower device layer is used as the bottom device layer of the three-dimensional integrated circuit.
In practice, the lower device layer is a bottom device layer; the bottom device layer comprises a bottom substrate, a bottom functional device layer and a bottom insulating layer which are arranged from bottom to top; wherein, the bottom insulating layer is provided with an electric connection structure connected with a functional device of the bottom functional device layer;
the annealing barrier layer of the bottom device layer is positioned in the bottom insulating layer and is positioned at a height above the electrical connection structure of the bottom insulating layer; the annealing barrier layer of the bottom device layer covers the functional devices of the bottom functional device and the electrical connection structures in the bottom insulating layer.
The upper device layer is an upper first device layer, and the upper first device layer comprises a first semiconductor layer, a first functional device layer and a first insulating layer which are arranged from bottom to top; wherein the first insulating layer is provided with an electric connection structure connected with the functional devices of the first functional device layer;
The annealing barrier layer of the upper first device layer is positioned in the first insulating layer and at a height above the electrical connection structure of the first insulating layer, and covers the functional devices of the first functional device layer and the electrical connection structure in the first insulating layer.
The three-dimensional integrated circuit further comprises a first interlayer through hole and a conductive substance filled in the first interlayer through hole, and the first interlayer through hole is connected with the electric connection structure of the bottom insulating layer and the electric connection structure of the first insulating layer; wherein the vertical through hole includes the first interlayer through hole. The annealing barrier layer of the bottom device layer is not connected with the conductive substance in the first interlayer through hole.
The three-dimensional integrated circuit of the present application is essentially a chip, with only one substrate being the bottom substrate. The first semiconductor layer thickness is not only smaller than the thickness of the base substrate but also much smaller than the thickness of the base substrate. The bottom device layer is simply a layer structure in a three-dimensional integrated circuit, and the upper first device layer is a layer structure above the bottom device layer, i.e., the bottom device layer and the upper first device layer are vertically aligned and formed during fabrication, and are bonded through island isolation layers. The island isolation layer bonding connection mode has the advantages that on one hand, connection between the bottom device layer and the upper first device layer is conveniently achieved, on the other hand, the bottom device layer and the upper first device layer are effectively isolated, and heat transfer and electric leakage from the upper first device layer to the bottom device layer are avoided. Thus, the island isolation layer is an important element for realizing the integration of the bottom device layer and the upper first device layer in the vertical direction. The first interlayer through hole and the conductive substance therein realize the electric connection of the electric connection structure of the lower insulating layer and the electric connection structure of the first insulating layer, and realize the connection of the functional device of the bottom functional device layer and the functional device of the first functional device layer. Namely, the electric connection of the three-dimensional integrated circuit in the vertical direction is realized. The three-dimensional integrated circuit of the embodiment of the application is not a 3D packaged chip, but a real 3D chip, namely a three-dimensional integrated circuit. The whole three-dimensional integrated circuit has only one bottom substrate, so that the vertical height of the whole three-dimensional integrated circuit can be smaller, and the size of the whole three-dimensional integrated circuit is smaller; and simultaneously, the substrate cost of the three-dimensional integrated circuit is lower.
In an implementation, the three-dimensional integrated circuit further includes:
an upper second device layer, … …, an upper nth device layer arranged in order from above the upper first device layer; wherein, the value range of n is more than or equal to 2 and less than or equal to 50; corresponding to:
an annealing barrier layer is respectively arranged in the insulating layers of the upper first device layer, the upper second device layer, the … … and the upper n device layer;
island isolation layers are respectively arranged between the upper first device layer, the upper second device layer, … … and the upper n device layer adjacent layers;
the upper first device layer, the upper second device layer, … … and the upper n device layer are connected through the second interlayer through hole and the conductive substance therein, and … … and the n device layer through hole and the conductive substance therein. The vertical through holes further comprise second interlayer through holes, … … and nth interlayer through holes.
Specifically, the island isolation layer is a silicon dioxide island isolation layer, and the first interlayer through hole and the conductive substance filled therein are first interlayer TSV through holes.
The application adopts the structure of bottom device layer, silicon dioxide island isolation layer, top first device layer, silicon dioxide island isolation layer, top second device layer, silicon dioxide island isolation layer, … …, top nth device layer multilayer circulation. The silicon dioxide island isolation layer can well isolate heat. Compared with a 3D packaging chip in the prior art, on one hand, the three-dimensional integrated circuit efficiently utilizes the vertical space, so that the integration density of the prepared three-dimensional integrated circuit is higher; on the other hand, the three-dimensional integrated circuit avoids an ultrathin thinning process, so that the stress warpage of the three-dimensional integrated circuit is smaller.
With respect to annealing the material of the barrier layer.
In practice, the annealing barrier layer is an annealing barrier layer of a high specific heat capacity material; the specific heat capacity of the annealing barrier layer is in a value range of more than or equal to 0.1KJ/Kg. ℃ and less than or equal to 3.5KJ/Kg. ℃;
or the annealing barrier layer is made of opaque metal material;
or the annealing barrier layer is an opaque annealing barrier layer of a narrow bandgap semiconductor.
The annealing barrier layer of the material has strong capability of absorbing heat and can better play a role of blocking heat.
The structure of the bottom device layer is described in detail below.
In practice, as shown in fig. 1, the bottom device layer further includes:
a bottom substrate epitaxial layer 1 is formed on a bottom substrate (not shown in the figure), and the functional devices 2 of the bottom functional device layer are located on the bottom substrate epitaxial layer 1.
In practice, as shown in fig. 1, the bottom insulating layer includes an oxide insulating layer 3 and a low dielectric constant insulating layer 6 disposed from bottom to top;
as shown in fig. 1, the electrical connection structure of the bottom insulating layer includes:
a tungsten via 4 disposed within the oxide insulating layer 3 and over the functional device of the first functional device layer, the annealing barrier layer being unconnected to the tungsten via;
A metal interconnect line 5 disposed in the oxide insulating layer 3 and connected to the tungsten via hole;
a metal interconnect line 7 provided in the low dielectric constant insulating layer 6, and the metal interconnect line 7 in the low dielectric constant insulating layer 6 is connected to the metal interconnect line 5 in the oxide insulating layer 3;
the metal interconnection line in the low dielectric constant insulating layer of the bottom insulating layer is completely wrapped by the low dielectric constant insulating layer in the transverse direction, and the metal interconnection line in the oxide insulating layer of the bottom insulating layer is completely wrapped by the oxide insulating layer in the transverse direction.
Specifically, by controlling the exposure area of the three-dimensional integrated circuit to be located within the side edge of the three-dimensional integrated circuit, the metal interconnection line 7 in the low dielectric constant insulating layer close to the side edge of the three-dimensional integrated circuit is completely wrapped by the low dielectric constant insulating layer 6, and the metal interconnection line 5 in the oxide insulating layer of the bottom insulating layer is completely wrapped by the oxide insulating layer 3 in the transverse direction.
The metal interconnect 7 in the low dielectric constant insulating layer of the bottom insulating layer near the side edges of the three-dimensional integrated circuit is completely surrounded by the low dielectric constant insulating layer 6, which has the advantage of preventing metal ions of the metal interconnect 7 in the low dielectric constant insulating layer of the bottom device layer near the side edges of the three-dimensional integrated circuit from being exposed from the side edges of the three-dimensional integrated circuit and causing pollution when forming structures above the bottom functional device layer. In particular, when the three-dimensional integrated circuit is a cylinder, the side edges are the sides of the cylinder. The position of the exposure area in the side surface of the cylinder is controlled, so that a preset distance is kept between the outer side edge of the pattern of the three-dimensional integrated circuit and the side surface of the cylinder, and the metal interconnection lines 7 in the low-dielectric-constant insulating layer of the bottom device layer are all wrapped by the low-dielectric-constant insulating layer. Similarly, the metal interconnect 5 in the oxide insulating layer of the bottom insulating layer is completely surrounded by the oxide insulating layer 3 in the lateral direction.
In the bottom functional device layer, a plurality of low dielectric constant insulating layers 6 and metal interconnect lines 7 within the low dielectric constant insulating layers may be required. According to practical needs, several layers are needed, and several layers of low dielectric constant insulating layers 6 and metal interconnection lines 7 in the low dielectric constant insulating layers are prepared. As in the bottom functional device layer, seven layers and seven or more low dielectric constant insulating layers 6 and metal interconnect lines 7 in the low dielectric constant insulating layers are provided.
The structure of the upper first device layer is described in detail below.
In practice, as shown in fig. 1, the first semiconductor layer has a device isolation 10 extending through the first semiconductor layer, the device isolation 10 surrounding the outside of the functional device 11 of the first functional device layer;
wherein the device isolation is formed by STI, or the device isolation is formed by oxygen implantation.
The Shallow Trench Isolation (STI) is formed as follows:
a shallow trench is formed from the upper surface of the first semiconductor layer down through the first semiconductor layer, and a Shallow Trench Isolation (STI) is formed by filling deposited oxide in the shallow trench. I.e. the shallow trench isolation down to the upper surface of the silicon dioxide island isolation layer 8; the process of forming the shallow trench isolation does not itself require high temperature annealing nor does the process of shallow trench isolation require high temperature annealing thereafter.
Specifically, shallow trench isolation, shallow trench isolation, STI for short. Typically for a process below 0.25um, the trench is formed by depositing, patterning, etching silicon using a silicon nitride mask, and filling the trench with deposited oxide for isolation from the silicon.
Under the condition that the silicon dioxide island isolation layer 8 is not arranged, ion damage is caused to the crystal lattice of the first semiconductor layer by etching in the process of forming the shallow trench isolation, and then the source electrode and the drain electrode of the functional device 11 of the first functional device layer of the integrated circuit finally formed have ion damage, so that the source electrode and the drain electrode of the upper first device layer are leaked to the bottom device layer through the silicon layer. In order to solve the problem of electric leakage, the general solution idea is to repair ion damage by high-temperature annealing after shallow trench isolation is formed. The present application does not employ such a solution.
The silicon lattice is destroyed by oxygen injection and cannot be repaired, so that oxygen atoms cannot provide carriers and cannot conduct electricity, and an isolation effect between devices is formed.
Similarly, under the condition that the silicon dioxide island isolation layer 8 is not arranged, ion damage can be caused to the crystal lattice of the first semiconductor layer by etching in the process of forming the device isolation, and then the source electrode and the drain electrode of the functional device 11 of the first functional device layer of the integrated circuit finally formed have ion damage, so that the source electrode and the drain electrode of the upper first device layer are leaked to the bottom device layer through the silicon layer. In order to solve the problem of electric leakage, the general solution idea is to repair ion damage by high-temperature annealing after device isolation is formed. The present application does not employ such a solution.
The application sets up silicon dioxide island isolation layer 8, because silicon dioxide island isolation layer 8 sets up between first thin silicon layer 9 and bottom device layer, the source and the drain electrode of the functional device 11 of the first functional device layer of integrated circuit of final formation form in first thin silicon layer 9, and the path of electric leakage has been cut off to silicon dioxide island isolation layer 8 between the source and the drain electrode of the functional device 11 of first functional device layer and bottom device layer, can not produce the electric leakage. In this way, it is achieved that no high temperature anneal is required after the shallow trench isolation is formed. And the use of high temperature anneals can damage the functional devices of the bottom functional device layer. The high-temperature annealing is not needed any more, so that the damage to the functional devices of the bottom functional device layer during the preparation of the upper first device layer is avoided, and the method is an important link in the preparation method of the three-dimensional integrated circuit.
The silicon dioxide island isolation layer 8 is formed in a wafer bonding mode, electric leakage between the upper first device layer and the bottom device layer of the three-dimensional integrated circuit is avoided, vertical arrangement of the bottom device layer and the upper first device layer is achieved, a metal interconnection path between the bottom device layer and the upper first device layer is enabled to be short, a corresponding signal transmission path is enabled to be short, metal interconnection delay and power consumption can be managed and controlled better, and improvement of overall performance and speed of the three-dimensional integrated circuit is facilitated.
In implementation, in the annealing process after ion implantation and the stress relief annealing process in the process of forming the functional device 11 of the first functional device layer, a low thermal budget flash millisecond annealing process is adopted, and the range of the annealing temperature of the low thermal budget flash millisecond annealing process is more than or equal to 750 ℃ and less than or equal to 1200 ℃.
The silicon dioxide island isolation layer 8 is arranged between the bottom device layer and the upper first device layer for isolation, and the heat of the flash millisecond annealing with low thermal budget cannot be transmitted to the metal interconnection line 7 in the low dielectric constant insulation layer of the bottom device layer, so that the problem that the heat in the manufacturing process of the upper first device layer affects the metal interconnection line 7 in the low dielectric constant insulation layer of the bottom device layer is solved, and the method is an important link in the manufacturing method of the three-dimensional integrated circuit.
The low thermal budget flash millisecond anneal process (Low Thermal Budget Flash Millisecond Annealing) is an anneal process for integrated circuits. It heats and rapidly cools the semiconductor device by flash millisecond annealing techniques in a short period of time to improve the performance and reliability of the device.
In practice, as shown in fig. 1, ohmic contacts are formed in the source and drain (i.e., doped regions) of the functional device 11 of the first functional device layer at locations where vertical tungsten vias 4 are desired.
The ohmic contact is formed as follows:
performing Ge ion implantation on the K nano surface layer of the position where the vertical tungsten through hole 4 is required to be arranged in the source electrode and the drain electrode (namely doped region) of the functional device 11 of the first functional device layer, and realizing amorphization to form an amorphized region; wherein the value range of K is more than or equal to 3 and less than or equal to 20;
depositing a titanium (Ti) film and a titanium nitride (TiN) film in the amorphized region from bottom to top sequentially by adopting an ALD technology;
and carrying out low-temperature rapid annealing on the titanium nitride (TiN) film in the range of the annealing temperature of more than or equal to 500 ℃ and less than or equal to 580 ℃ to form the ohmic contact with ultralow contact resistivity.
The preparation process for forming ohmic contact is a method for doping amorphized low-temperature low-resistance contact.
In practice, as shown in fig. 1, the first insulating layer includes an oxide insulating layer 3 and a low dielectric constant insulating layer 6 disposed from bottom to top;
the electrical connection structure of the first insulating layer includes:
tungsten vias disposed over ohmic contact locations of the oxide insulating layer;
a metal interconnect line disposed within the oxide insulating layer and over the tungsten via;
a metal interconnect line disposed in the low dielectric constant insulating layer, and the metal interconnect line in the low dielectric constant insulating layer is connected with the metal interconnect line in the oxide insulating layer;
The metal interconnection line in the low-dielectric-constant insulating layer of the first insulating layer is completely wrapped by the low-dielectric-constant insulating layer in the transverse direction; the metal interconnection lines in the oxide insulating layer of the first insulating layer are completely wrapped by the oxide insulating layer in the transverse direction;
the first interlayer through hole is connected with the metal interconnection line of the low dielectric constant insulating layer in the bottom device layer and the metal interconnection line of the oxide insulating layer in the upper first device layer.
Specifically, by controlling the exposure area of the three-dimensional integrated circuit to be located within the side edge of the three-dimensional integrated circuit, the metal interconnection line 7 in the low dielectric constant insulating layer close to the side edge of the three-dimensional integrated circuit is completely wrapped by the low dielectric constant insulating layer 6 in the transverse direction, and the metal interconnection line in the oxide insulating layer of the first insulating layer is completely wrapped by the oxide insulating layer in the transverse direction.
The metal interconnection line 7 in the low dielectric constant insulating layer of the first insulating layer close to the side edge of the three-dimensional integrated circuit is completely wrapped by the low dielectric constant insulating layer 6, so that the metal ions of the metal interconnection line 7 in the low dielectric constant insulating layer of the first device layer above the side edge of the three-dimensional integrated circuit are prevented from being exposed from the side edge of the three-dimensional integrated circuit, and pollution is caused when the structure above the first functional device layer is formed. In particular, when the three-dimensional integrated circuit is a cylinder, the side edges are the sides of the cylinder. The position of the exposure area in the side surface of the cylinder is controlled, so that a preset distance is kept between the outer side edge of the pattern of the three-dimensional integrated circuit and the side surface of the cylinder, and the metal interconnection lines 7 in the low-dielectric-constant insulating layer of the upper first device layer are all wrapped by the low-dielectric-constant insulating layer.
In the upper first device layer, a plurality of low dielectric constant insulating layers 6 and metal interconnect lines 7 within the low dielectric constant insulating layers may be required. According to practical needs, several layers are needed, and several layers of low dielectric constant insulating layers 6 and metal interconnection lines 7 in the low dielectric constant insulating layers are prepared. In the first device layer above, seven layers and seven or more low dielectric constant insulating layers 6 and metal interconnect lines 7 in the low dielectric constant insulating layers are provided.
The integration density of the three-dimensional integrated circuit is higher, and the problem is that the power consumption density and the heat density are higher. The vertical connection vertical heat dissipation channel (composed of the tungsten through hole 4, the metal interconnection line 5 in the oxide insulating layer, the metal interconnection line 7 in the low dielectric constant insulating layer and the first interlayer through hole 12) of the three-dimensional integrated circuit prepared by the preparation method of the three-dimensional integrated circuit is made of high-temperature resistant materials, so that the stability of the three-dimensional integrated circuit is improved. The integration density of the tungsten through hole 4, the metal interconnection line 5 in the oxide insulating layer, the metal interconnection line 7 in the low dielectric constant insulating layer and the first interlayer through hole 12 is higher, so that the areas of the bottom substrate, the first thin silicon layer, the second thin silicon layer, the … … and the n-th thin silicon layer are smaller, the cost of the three-dimensional integrated circuit is reduced, and meanwhile, the parasitic capacitance is also reduced.
In addition, the fabrication process of the three-dimensional integrated circuit of the present application is completely free of packaging facilities for handling backside redistribution layers and micro-bumps. Therefore, multilayer manufacturing can be directly realized at a three-dimensional integrated circuit manufacturer, stricter process control can be realized, high-density interconnection can be realized once the technology is mature, the calculation force of the three-dimensional integrated circuit is greatly improved, and high-speed memory calculation integration is realized.
In addition, the fabrication process of the three-dimensional integrated circuit of the present application is completely free of packaging facilities for handling backside redistribution layers and micro-bumps. Therefore, multilayer manufacturing can be directly realized at a three-dimensional integrated circuit manufacturer, stricter process control can be realized, high-density interconnection can be realized once the technology is mature, the calculation force of the three-dimensional integrated circuit is greatly improved, and high-speed memory calculation integration is realized.
As an alternative, the functional devices of the bottom functional device layer, the first functional device layer, the second functional device layer, … …, and the functional devices of the nth functional device layer may each perform the same function. I.e. the three-dimensional integrated circuit is isomorphic.
As another alternative, the functional devices of the bottom functional device layer, the first functional device layer, the second functional device layer, … …, and the functional devices of the nth functional device layer may also each implement different functions, so as to form a three-dimensional integrated circuit with diversified functions. I.e. the three-dimensional integrated circuit is a heterogeneous integration. The multiple processing units, memory units, sensors and other functional components of the three-dimensional integrated circuit can be arranged in multiple layers, so that the functions of the three-dimensional integrated circuit are more diversified and flexible.
Regarding the first semiconductor layer, there are the following features:
in the semiconductor technology field, silicon is considered to be black or gray-black. The inventors of the present application have found that, in the course of technical development, when the thickness of the thin silicon layer is 1 μm or less (1 μm=1000 nm) in the course of actually forming the thin silicon layer from silicon, the thin silicon layer is a transparent thin silicon layer.
As an alternative, the first semiconductor layer is a first thin silicon layer;
the thickness of the first thin silicon layer is larger than or equal to 2 nanometers and smaller than or equal to 220 nanometers, and the thickness of the first thin silicon layer can reach a micron level.
As another alternative, the first semiconductor layer includes a first thin silicon layer and a first thin silicon epitaxial layer disposed from bottom to top;
the thickness of the first thin silicon layer is larger than or equal to 2 nanometers and smaller than or equal to 220 nanometers, and the thickness of the first thin silicon layer can reach a micron level.
The thickness of the first thin silicon epitaxial layer is in a range of more than or equal to 40 nanometers and less than or equal to 70 nanometers.
The thickness of the first thin silicon layer is much smaller than the thickness of the substrate, but the first thin silicon layer needs to function like a substrate in the overlying first device layer so that the thick bottom of the first thin silicon layer cannot be too thin. In a practical manufacturing process, the preparation difficulty of the first thin silicon layer with smaller thickness is higher.
Specifically, the thickness of the low dielectric constant insulating layer 6 is in a range of 100 nm or more and 200 nm or less.
Example two
The method for manufacturing a three-dimensional integrated circuit according to the embodiment of the present application is used for manufacturing the three-dimensional integrated circuit according to the first embodiment, as shown in fig. 1 and 2, and includes the following steps:
step S1: forming a bottom device layer, wherein the bottom device layer comprises a bottom substrate, a bottom functional device layer and a bottom insulating layer which are arranged from bottom to top; wherein, the bottom insulating layer is provided with an electric connection structure connected with a functional device of the bottom functional device layer;
step S2: forming a silicon dioxide island isolation layer 8 and a first semiconductor layer of a first device layer above the silicon dioxide island isolation layer 8 by adopting a wafer bonding method, wherein the silicon dioxide island isolation layer 8 is positioned on the bottom insulating layer, and the first semiconductor layer is positioned on the silicon dioxide island isolation layer 8;
step S3: preparing a structure of the upper first device layer except the first semiconductor layer by adopting a low thermal budget manufacturing process, and forming a first interlayer TSV through hole 12; the upper first device layer comprises the first semiconductor layer, a first functional device layer and a first insulating layer which are arranged from bottom to top; the first insulating layer has an electrical connection structure therein for connection with a functional device of the first functional device layer, and the first inter-layer TSV via 12 connects the electrical connection structure of the bottom insulating layer with the electrical connection structure of the first insulating layer.
The preparation method of the three-dimensional integrated circuit essentially prepares a real 3D chip, and only one substrate is the bottom substrate. The first semiconductor layer thickness is not only smaller than the thickness of the base substrate but also much smaller than the thickness of the base substrate. The bottom device layer is only a layer structure in a three-dimensional integrated circuit, and the upper first device layer is a layer structure above the bottom device layer, namely, the bottom device layer and the upper first device layer are vertically arranged and formed during manufacturing, and are bonded through a silicon dioxide island isolation layer. The silicon dioxide island isolation layer bonding connection mode has the advantages that on one hand, connection between the bottom device layer and the upper first device layer is conveniently achieved, on the other hand, the bottom device layer and the upper first device layer are effectively isolated, and electric leakage from the upper first device layer to the bottom device layer is avoided. Thus, the silicon dioxide island isolation layer is an important element for achieving integration of the bottom device layer and the upper first device layer in the vertical direction. The first interlayer TSV through hole is electrically connected with the electrical connection structure of the insulating layer below and the electrical connection structure of the first insulating layer, so that connection of the functional device of the bottom functional device layer and the functional device of the first functional device layer is realized. Namely, the electric connection of the three-dimensional integrated circuit in the vertical direction is realized. The method for manufacturing the three-dimensional integrated circuit according to the embodiment of the application is not used for forming the 3D package chip, but is used for manufacturing a real 3D chip, namely the three-dimensional integrated circuit. The whole three-dimensional integrated circuit has only one bottom substrate, so that the vertical height of the whole three-dimensional integrated circuit can be smaller, and the size of the whole three-dimensional integrated circuit is smaller; and simultaneously, the substrate cost of the three-dimensional integrated circuit is lower.
Step S1 of forming the bottom device layer is described in detail below.
The step S1 specifically comprises the following steps:
step S1-1: forming a bottom substrate epitaxial layer 1 on a bottom substrate (not shown in the figure);
as shown in fig. 3, step S1-2: forming a functional device 2 of a bottom functional device layer over the substrate epitaxial layer 1;
as shown in fig. 4, step S1-3: forming an oxide insulating layer 3 and an electrical connection structure in the oxide insulating layer (i.e., a tungsten via 4 in the oxide insulating layer and a metal interconnect 5 in the oxide insulating layer) over the functional devices 2 of the bottom functional device layer;
as shown in fig. 5, steps S1-4: forming a low dielectric constant insulating layer 6 and an electrical connection structure in the low dielectric constant insulating layer (i.e., a metal interconnection line 7 in the low dielectric constant insulating layer) over the oxide insulating layer 3, and forming an annealing barrier layer 16 at a height above the electrical connection structure in the low dielectric constant insulating layer within the low dielectric constant insulating layer 6, the annealing barrier layer 16 covering the functional device 2 of the bottom functional device layer, the electrical connection structure in the oxide insulating layer, the electrical connection structure in the low dielectric constant insulating layer; wherein the electrical connection structure in the low dielectric constant insulating layer is completely surrounded by the low dielectric constant insulating layer 6, and the bottom insulating layer comprises the oxide insulating layer 3 and the low dielectric constant insulating layer 6.
In practice, in step S1-4, by controlling the exposure area of the three-dimensional integrated circuit to be located within the side edge of the three-dimensional integrated circuit, it is achieved that the metal interconnect lines 7 in the low dielectric constant insulating layer near the side edge of the three-dimensional integrated circuit are completely surrounded by the low dielectric constant insulating layer 6.
The metal interconnect lines 7 in the low-k insulating layer near the side edges of the three-dimensional integrated circuit are fully encapsulated by the low-k insulating layer 6, which has the advantage of preventing metal ions of the metal interconnect lines 7 in the low-k insulating layer near the bottom device layer near the side edges of the three-dimensional integrated circuit from being exposed from the side edges of the three-dimensional integrated circuit and causing pollution when forming structures above the bottom functional device layer. In particular, when the three-dimensional integrated circuit is a cylinder, the side edges are the sides of the cylinder. The position of the exposure area in the side surface of the cylinder is controlled, so that a preset distance is kept between the outer side edge of the pattern of the three-dimensional integrated circuit and the side surface of the cylinder, and the metal interconnection lines 7 in the low-dielectric-constant insulating layer of the bottom device layer are all wrapped by the low-dielectric-constant insulating layer.
In the bottom functional device layer, a plurality of low dielectric constant insulating layers 6 and metal interconnect lines 7 within the low dielectric constant insulating layers may be required. According to practical needs, several layers are needed, and several layers of low dielectric constant insulating layers 6 and metal interconnection lines 7 in the low dielectric constant insulating layers are prepared. As in the bottom functional device layer, seven layers and seven or more low dielectric constant insulating layers 6 and metal interconnect lines 7 in the low dielectric constant insulating layers are provided.
The following step S2 of forming the silicon dioxide island isolation layer 8 and the first semiconductor layer of the upper first device layer The rows are detailed.
As an alternative, step S2 specifically includes the following steps:
as shown in fig. 6, step S2-1: oxidizing a donor silicon wafer (donor wafer) to generate a silicon dioxide island isolation layer 8, and performing high-dose H+ ion implantation in the donor silicon wafer to form an H+ ion implantation layer 15;
step S2-2: inverting the donor silicon wafer, bonding the silicon dioxide island isolation layer 8 and the low dielectric constant insulation layer 6 of the bottom device layer, and separating the bonded donor silicon wafer near the H+ ion implantation range through heat treatment at a temperature of more than or equal to 400 ℃ and less than or equal to 600 ℃;
as shown in fig. 7, step S2-3: carrying out a planarization (CMP) process on the silicon layer above the silicon dioxide island isolation layer 8 to form a first thin silicon layer 9 with a relatively flat upper surface, wherein the first thin silicon layer 9 is used as a first semiconductor layer;
step S2-3 may also be: a planarization (CMP) process is performed on the silicon layer above the silicon dioxide island isolation layer 8 to form a first thin silicon layer 9 with a relatively flat upper surface, and a first thin silicon epitaxial layer (not shown) is formed on the first thin silicon layer 9 by a low-temperature epitaxial method, in which case the first semiconductor layer includes the first thin silicon layer and the first thin silicon epitaxial layer.
Specifically, the epitaxy process temperature of the low-temperature epitaxy method is lower than 1000 ℃.
It is noted that the silicon dioxide island isolation layer 8 is not part of the upper first device layer, but is a structure between the bottom device layer and the upper first device layer. To this end, the silicon dioxide island isolation layer 8 and the first thin silicon layer 9 of the upper first device layer have been formed, the preparation of the upper first device layer having been started.
As another alternative, the step of forming the island isolation layer specifically includes:
a silicon germanium (Si-Ge) stripping layer is made on a donor silicon wafer (donor wafer), a thin silicon layer is epitaxially grown on the silicon germanium stripping layer, and a silicon dioxide island isolation layer is made on the thin silicon layer;
and reversely bonding the donor silicon wafer with a device layer, namely a handle wafer (handle wafer), forming a silicon-on-insulator silicon wafer (SO Iwafer), peeling off the silicon-germanium peeling layer by high-pressure nitrogen, and forming a silicon dioxide island isolation layer and a thin silicon layer on the device layer.
The method can be carried out at normal temperature, the surface of the thin silicon layer can be very thin (the value range of the thickness of the thin silicon layer is more than or equal to 2 nanometers and less than or equal to 220 nanometers, the thickness of the thin silicon layer can also reach the micron level), the consistency (uniformity) of the silicon dioxide island isolation layer is also relatively good, the quality of the thin silicon layer can be improved, and the manufacturing cost can be reduced.
As yet another alternative, the step of forming the island isolation layer specifically includes:
a porous silicon (porius si) layer is made on a donor silicon wafer (donor wafer), a high-quality thin silicon layer is epitaxially grown on the porous silicon layer, and a silicon dioxide island isolation layer is formed on the thin silicon layer;
bonding the donor wafer upside down with a device layer, i.e., handle wafer (Donor wafer), and stripping the donor wafer (Donor wafer) with a high pressure water stream, and then with Hydrogen Fluoride (HF) and hydrogen peroxide (H 2 O 2 ) Etching the porous silicon layer, and then annealing the porous silicon layer by hydrogen at a high temperature of 1150 ℃ to make the surface of the porous silicon layer flat, thereby forming a silicon dioxide island isolation layer and a thin silicon layer on the device layer. The quality of the thin silicon layer can be improved and the manufacturing cost can be reduced.
The following is a method for preparing the structure except the first semiconductor layer of the upper first device layer by adopting a low thermal budget manufacturing process, And a step S3 of forming the first inter-layer TSV via is described in detail.
The step S3 specifically comprises the following steps:
step S3-1: forming a device isolation 10, wherein the device isolation 10 penetrates through the first semiconductor layer, and the device isolation 10 surrounds the outside of a preset position of a functional device 11 of the first functional device layer;
wherein the device isolation is formed by STI, or the device isolation is formed by oxygen implantation.
The specific steps and technical effects of step S3-1 of forming device isolation are described in embodiment one, and will not be described here.
The step S3 specifically further comprises the following steps:
step S3-2: the functional device 11 of the first functional device layer is formed over the first semiconductor layer, and a low thermal budget flash millisecond anneal process is employed in an post-ion implantation anneal process and a stress relief anneal process in forming the functional device 11 of the first functional device layer.
The silicon dioxide island isolation layer 8 is arranged between the bottom device layer and the upper first device layer for isolation, and the heat of the flash millisecond annealing with low thermal budget cannot be transmitted to the metal interconnection line 7 in the low dielectric constant insulation layer of the bottom device layer, so that the problem that the heat in the manufacturing process of the upper first device layer affects the metal interconnection line 7 in the low dielectric constant insulation layer of the bottom device layer is solved, and the method is an important link in the manufacturing method of the three-dimensional integrated circuit.
The step S3 specifically further comprises the following steps:
step S3-3: specific steps of ohmic contact formation. In the first embodiment, description is made, and will not be repeated here.
The step S3 specifically further comprises the following steps:
Step S3-4: forming an oxide insulating layer 3 over the device isolation 10, the functional device 11 of the first functional device layer, and the first semiconductor layer;
step S3-5: forming a tungsten through hole 4 above an ohmic contact position of the oxide insulating layer 3, and forming a first interlayer TSV through hole 12 penetrating upwards above a metal interconnection line 7 in a low dielectric constant insulating layer 6 in the bottom device layer;
as shown in fig. 8, step S3-6: forming metal interconnection lines 5 in the oxide insulating layer 3, wherein the first interlayer TSV through holes 12 are connected with at least one metal interconnection line 5 of the oxide insulating layer 3 in the bottom device layer, and the metal interconnection lines 5 in the oxide insulating layer 3 are wrapped by the oxide insulating layer 3, so that the metal interconnection lines 5 in the oxide insulating layer 3 are not exposed from the edge of the three-dimensional integrated circuit;
as shown in fig. 9 and 10, step S3-7: forming a low dielectric constant insulating layer 6 and a metal interconnection line 7 in the low dielectric constant insulating layer and an annealing barrier layer 16 on the oxide insulating layer 3, wherein the metal interconnection line 7 in the low dielectric constant insulating layer is wrapped by a dielectric constant edge layer, so that the metal interconnection line 7 in the low dielectric constant insulating layer is not exposed from the edge of the three-dimensional integrated circuit;
The first insulating layer includes an oxide insulating layer 3 and a low dielectric constant insulating layer 6, and the electrical connection structure of the first insulating layer includes a tungsten via 4 and a metal interconnect 5 in the oxide insulating layer 3, and a metal interconnect 5 in the low dielectric constant insulating layer 6, and the annealing barrier layer 16 covers the functional device 11 of the first functional device layer, the electrical connection structure in the oxide insulating layer, and the electrical connection structure in the low dielectric constant insulating layer.
The metal interconnect lines 7 in the low-k insulating layer near the side edges of the three-dimensional integrated circuit are fully encapsulated by the low-k insulating layer 6, which has the advantage of preventing metal ions of the metal interconnect lines 7 in the low-k insulating layer near the bottom device layer near the side edges of the three-dimensional integrated circuit from being exposed from the side edges of the three-dimensional integrated circuit and causing pollution when forming structures above the bottom functional device layer. In particular, when the three-dimensional integrated circuit is a cylinder, the side edges are the sides of the cylinder. The position of the exposure area in the side surface of the cylinder is controlled, so that a preset distance is kept between the outer side edge of the pattern of the three-dimensional integrated circuit and the side surface of the cylinder, and the metal interconnection lines 7 in the low-dielectric-constant insulating layer of the bottom device layer are all wrapped by the low-dielectric-constant insulating layer. Similarly, the metal interconnect lines 5 in the oxide insulating layer implementing the bottom device layer are all surrounded by the oxide insulating layer.
In the upper first device layer, a plurality of low dielectric constant insulating layers 6 and metal interconnect lines 7 within the low dielectric constant insulating layers may be required. According to practical needs, several layers are needed, and several layers of low dielectric constant insulating layers 6 and metal interconnection lines 7 in the low dielectric constant insulating layers are prepared. In the first device layer above, seven layers and seven or more low dielectric constant insulating layers 6 and metal interconnect lines 7 in the low dielectric constant insulating layers are provided.
The step of forming the first inter-layer TSV hole 12 in step S3-5 specifically includes:
step S3-5-1: forming a first interlayer through hole penetrating upwards above the metal interconnection line 7 in the low dielectric constant insulating layer;
step S3-5-2: depositing a titanium (Ti) film or a titanium nitride (TiN) film at the bottom of the first interlayer through hole;
step S3-5-3: a tungsten metal fill is performed on top of the titanium (Ti) film or titanium nitride (TiN) film to form the first inter-layer TSV via 12.
The three-dimensional integrated circuit further comprises an upper second device layer, … … and an upper nth device layer which are sequentially arranged from above the upper first device layer; wherein, the value range of n is more than or equal to 2 and less than or equal to 50; corresponding to:
silicon dioxide island isolation layers are respectively arranged between the upper first device layer, the upper second device layer, … … and the upper n device layer adjacent layers;
The upper first device layer, the upper second device layer, … … and the upper n device layer are connected through the second interlayer TSV through hole, … … and the n device layer.
The preparation of the second device layer above, … … and the nth device layer above corresponds to the preparation of the first device layer above, the preparation of the second interlayer TSV through hole … … and the nth interlayer TSV through hole corresponds to the preparation of the first interlayer TSV through hole, and the preparation of the silicon dioxide island isolation layer is the same.
The preparation method of the three-dimensional integrated circuit further comprises the following steps:
as shown in fig. 11, step S4: a top aluminum pad layer 13 and a passivation layer 14 are prepared.
With respect to the specific location of the anneal barrier, the following is true:
the annealing barrier layer of the bottom device layer is positioned in the low dielectric constant insulating layer of the bottom insulating layer and is positioned above the height of the metal interconnection line of the low dielectric constant insulating layer in the bottom insulating layer.
The annealing barrier layer of the upper first device layer is positioned in the low dielectric constant insulating layer of the first insulating layer and is positioned above the height of the metal interconnection line of the low dielectric constant insulating layer in the first insulating layer;
the annealing barrier layer of the upper second device layer is positioned in the low dielectric constant insulating layer of the second insulating layer and is positioned above the height of the metal interconnection line of the low dielectric constant insulating layer in the second insulating layer;
……;
The annealing barrier layer of the upper n-th device layer is positioned in the low dielectric constant insulating layer of the n-th insulating layer and is positioned above the height of the metal interconnection line of the low dielectric constant insulating layer in the n-th insulating layer.
Therefore, each device layer is provided with an annealing barrier layer in the low-dielectric-constant insulating layer of the device layer, and the annealing barrier layer of each device layer can cover the functional devices of the device layer of the layer, the electric connection structure in the oxide insulating layer and the electric connection structure in the low-dielectric-constant insulating layer and can effectively protect the device layer of the layer in the preparation process of the last device layer.
The preparation method of the multilayer circulation of the bottom device layer, the silicon dioxide island isolation layer, the upper first device layer, the silicon dioxide island isolation layer, the upper second device layer, the silicon dioxide island isolation layer, the … … and the upper n device layer is adopted. Compared with the preparation method of the 3D packaging chip in the prior art, on one hand, the preparation method of the three-dimensional integrated circuit efficiently utilizes the vertical space, so that the integration density of the prepared three-dimensional integrated circuit is higher; on the other hand, the preparation method of the three-dimensional integrated circuit avoids an ultrathin thinning process, so that the stress warpage of the three-dimensional integrated circuit is smaller.
The three-dimensional integrated circuit prepared by the preparation method of the three-dimensional integrated circuit has higher integration density, and the problem is higher power consumption density and heat density. The vertical connection vertical heat dissipation channel (composed of a tungsten through hole 4, a metal interconnection line 5 in an oxide insulating layer, a metal interconnection line 7 in a low dielectric constant insulating layer and a first interlayer TSV through hole 12) of the three-dimensional integrated circuit prepared by the preparation method of the three-dimensional integrated circuit is made of high-temperature resistant materials, so that the stability of the three-dimensional integrated circuit is improved. The integration density of the tungsten through hole 4, the metal interconnection line 5 in the oxide insulating layer, the metal interconnection line 7 in the low dielectric constant insulating layer and the first interlayer TSV through hole 12 is higher, so that the areas of the bottom substrate, the first thin silicon layer, the second thin silicon layer, the … … and the n-th thin silicon layer are smaller, the cost of the three-dimensional integrated circuit is reduced, and meanwhile, the parasitic capacitance is also reduced.
In addition, the fabrication process of the three-dimensional integrated circuit of the present application is completely free of packaging facilities for handling backside redistribution layers and micro-bumps. Therefore, multilayer manufacturing can be directly realized at a three-dimensional integrated circuit manufacturer, stricter process control can be realized, high-density interconnection can be realized once the technology is mature, the calculation force of the three-dimensional integrated circuit is greatly improved, and high-speed memory calculation integration is realized.
As an alternative, the functional devices of the bottom functional device layer, the first functional device layer, the second functional device layer, … …, and the functional devices of the nth functional device layer may each perform the same function. I.e. the three-dimensional integrated circuit is isomorphic.
As another alternative, the functional devices of the bottom functional device layer, the first functional device layer, the second functional device layer, … …, and the functional devices of the nth functional device layer may also each implement different functions, so as to form a three-dimensional integrated circuit with diversified functions. I.e. the three-dimensional integrated circuit is a heterogeneous integration. The multiple processing units, memory units, sensors and other functional components of the three-dimensional integrated circuit can be arranged in multiple layers, so that the functions of the three-dimensional integrated circuit are more diversified and flexible.
Specifically, the bottom substrate is a P-type silicon substrate.
Specifically, the functional devices of the bottom functional device layer, the first functional device layer, the second functional device layer, … …, and the functional devices of the nth functional device layer include, but are not limited to, planar structure CMOS transistors, full-gate-all-around (GAA) nanoflake field-effect transistors, and fin field-effect (FinFET) transistors. GAAFET is known as Gate-All-Around Effect Transistor and is known as full-loop Gate field effect transistor. FinFETs, collectively referred to as Fin Field-Effect Transistor, are known as FinFETs, which are complementary metal oxide semiconductor transistors. FinFET naming is based on the similarity of the shape of the transistor to the fin.
Monoatomic layer deposition (atomic layer deposition, ALD), also known as atomic layer deposition or atomic layer epitaxy (atomic layer epitaxy), is a chemical vapor deposition technique based on an ordered, surface self-saturation reaction;
the annealing process is combined with other processes (e.g., ion implantation, thin film deposition, metal silicide formation, etc.), most commonly thermal annealing after ion implantation.
Example III
The three-dimensional integrated circuit of the embodiment of the application has the following characteristics on the basis of the first embodiment.
The three-dimensional integrated circuit of the embodiment of the application is specifically a distributed integrated memory chip, and corresponds to the following:
the bottom device layer is a logic function layer, and the bottom function device layer is a logic circuit layer with a plurality of computing units;
the upper first device layer is an upper first storage layer, and the first functional device layer is a first storage circuit layer with a plurality of storage units;
the upper second device layer is an upper second storage layer, and the second functional device layer is a second storage circuit layer with a plurality of storage units;
……;
the upper n-th device layer is an upper n-th memory layer, and the n-th functional device layer is an n-th memory circuit layer having a plurality of memory cells.
The three-dimensional integrated circuit is a distributed integrated memory chip, is a real 3D chip essentially, and realizes the integration of calculation and storage on one chip, so that the integration level is greatly increased.
The calculation unit of the logic function layer, the storage unit of the upper second storage layer, … … and the storage unit adjacent layers of the upper n storage layer are connected through the first interlayer through hole and the conductive substance therein, the second interlayer through hole and the conductive substance therein, … … and the n interlayer through hole and the conductive substance therein. The diameter of each interlayer through hole and the diameter of the conductive material filled in the interlayer through hole can be made small, so that the loss and time delay of interconnection are reduced.
Meanwhile, the number of the interlayer through holes of each layer and the conductive substances in the interlayer through holes is larger, the number of the interlayer through holes can be close to the number of the transistors, and the bandwidth of the three-dimensional integrated circuit is larger.
The logic function layer generates more heat, is arranged at the bottom of the integrated circuit and is closest to the heat dissipation path, and heat dissipation is better.
Specifically, the aperture of the interlayer through hole of each layer and the diameter of the conductive substance therein are less than or equal to 1 μm. The pore diameters of the respective interlayer vias and the diameters of the conductive substances filled therein can be made small, so that the loss and delay of the interconnections are small.
In practice, the plurality of computing units of the logic circuit layer are distributed and uniformly arranged; the memory cells of the first memory circuit layer, the second memory circuit layer, … … and the n-th memory circuit layer are uniformly distributed.
The distributed and uniform arrangement mode of the calculation units ensures that the calculation amount of the single calculation unit is smaller, and the calculation capability of the single logic circuit layer is stronger.
In implementation, the memory unit of any one of the first memory circuit layer, the second memory circuit layer, … … and the n-th memory circuit layer is SRAM or DRAM or is partially SRAM and partially DRAM.
In the description of the present application and its embodiments, it should be understood that the terms "top," "bottom," "height," and the like indicate an orientation or positional relationship based on that shown in the drawings, and are merely for convenience of description and to simplify the description, rather than to indicate or imply that the devices or elements referred to must have a particular orientation, be configured and operated in a particular orientation, and thus should not be construed as limiting the present application.
In this application and in its embodiments, the terms "disposed," "mounted," "connected," "secured," and the like are to be construed broadly and include, for example, either permanently connected, removably connected, or integrally formed, unless otherwise explicitly stated and defined as such; the device can be mechanically connected, electrically connected and communicated; can be directly connected or indirectly connected through an intermediate medium, and can be communicated with the inside of two elements or the interaction relationship of the two elements. The specific meaning of the terms in this application will be understood by those of ordinary skill in the art as the case may be.
In this application and in its embodiments, unless expressly stated or limited otherwise, a first feature being "above" or "below" a second feature may include the first and second features being in direct contact, or may include the first and second features not being in direct contact but being in contact with each other through additional features therebetween. Moreover, a first feature being "above," "over" and "on" a second feature includes the first feature being directly above and obliquely above the second feature, or simply indicating that the first feature is higher in level than the second feature. The first feature being "under", "below" and "beneath" the second feature includes the first feature being directly above and obliquely above the second feature, or simply indicating that the first feature is less level than the second feature.
The above disclosure provides many different embodiments or examples for implementing different structures of the present application. The components and arrangements of specific examples are described above in order to simplify the disclosure of this application. Of course, they are merely examples and are not intended to limit the present application. Furthermore, the present application may repeat reference numerals and/or letters in the various examples, which are for the purpose of brevity and clarity, and which do not in themselves indicate the relationship between the various embodiments and/or arrangements discussed. In addition, the present application provides examples of various specific processes and materials, but one of ordinary skill in the art may recognize the application of other processes and/or the use of other materials.
While preferred embodiments of the present application have been described, additional variations and modifications in those embodiments may occur to those skilled in the art once they learn of the basic inventive concepts. It is therefore intended that the following claims be interpreted as including the preferred embodiments and all such alterations and modifications as fall within the scope of the application.
It will be apparent to those skilled in the art that various modifications and variations can be made in the present application without departing from the spirit or scope of the application. Thus, if such modifications and variations of the present application fall within the scope of the claims and the equivalents thereof, the present application is intended to cover such modifications and variations.

Claims (18)

1. A three-dimensional integrated circuit, comprising:
an underlying device layer; the lower device layer comprises a lower device layer body and an annealing barrier layer, the annealing barrier layer is positioned at the upper part in the lower device layer body, and the annealing barrier layer avoids the vertical through holes to keep a distance from the vertical through holes;
an upper device layer formed over the lower device layer;
the annealing barrier layer of the lower device layer covers the structure below the annealing barrier layer of the lower device layer.
2. The three-dimensional integrated circuit of claim 1, wherein the anneal-blocking layer of the underlying device layer masks metal silicide regions, low-melting metal regions of the underlying device layer;
the metal silicide region comprises, but is not limited to, a source region, a drain region and a gate metal silicide, and the low-melting-point metal region comprises a metal through hole, a metal layer, a metal gate region and a metal interconnection line.
3. The three-dimensional integrated circuit of claim 2, further comprising:
an island isolation layer, wherein the island isolation layer is formed between the lower device layer and the upper device layer;
the island isolation layer covers the entire underlying device layer.
4. The three-dimensional integrated circuit of claim 3, wherein the lower device layer body comprises a lower semiconductor layer, a lower functional device layer, a lower insulating layer disposed from bottom to top; wherein, the lower insulating layer is provided with an electric connection structure connected with the functional devices of the lower functional device layer;
the annealing barrier layer of the lower device layer is positioned in the lower insulating layer and at a height above the electrical connection structure of the lower insulating layer, and covers the functional devices of the lower functional device layer and the electrical connection structure in the lower insulating layer.
5. The three-dimensional integrated circuit of claim 4, wherein the underlying device layer is a bottom device layer; the bottom device layer comprises a bottom substrate, a bottom functional device layer and a bottom insulating layer which are arranged from bottom to top; wherein, the bottom insulating layer is provided with an electric connection structure connected with a functional device of the bottom functional device layer; the annealing barrier layer of the bottom device layer covers the functional devices of the bottom functional device and the electrical connection structures in the bottom insulating layer;
the upper device layer is an upper first device layer, and the upper first device layer comprises a first semiconductor layer, a first functional device layer and a first insulating layer which are arranged from bottom to top; wherein the first insulating layer is provided with an electric connection structure connected with the functional devices of the first functional device layer; the annealing barrier layer of the upper first device layer covers the functional devices of the upper first functional device layer and the electrical connection structure in the bottom insulating layer;
the three-dimensional integrated circuit further comprises a first interlayer through hole and a conductive substance filled in the first interlayer through hole, and the first interlayer through hole is connected with the electric connection structure of the bottom insulating layer and the electric connection structure of the first insulating layer; the vertical through holes comprise first interlayer through holes, and the annealing barrier layer of the bottom device layer is kept at a distance from the first interlayer through holes.
6. The three-dimensional integrated circuit of claim 5, wherein the three-dimensional integrated circuit further comprises:
an upper second device layer, … …, an upper nth device layer arranged in order from above the upper first device layer; wherein, the value range of n is more than or equal to 2 and less than or equal to 50; corresponding to:
an annealing barrier layer is respectively arranged in the insulating layers of the upper first device layer, the upper second device layer, the … … and the upper n device layer;
island isolation layers are respectively arranged between adjacent layers of the upper first device layer, the upper second device layer, … … and the upper n device layer;
the upper first device layer, the upper second device layer, … … and the upper n device layer are connected through the second interlayer through hole and the conductive substance therein, … … and the n device layer through hole and the conductive substance therein; the vertical through holes further comprise second interlayer through holes, … … and nth interlayer through holes.
7. The three-dimensional integrated circuit of claim 1, wherein the anneal barrier is an anneal barrier of a high specific heat capacity material; the specific heat capacity of the annealing barrier layer is in a value range of more than or equal to 0.1KJ/Kg. ℃ and less than or equal to 3.5KJ/Kg. ℃;
Or the annealing barrier layer is made of opaque metal material;
or the annealing barrier layer is an opaque annealing barrier layer of a narrow bandgap semiconductor.
8. The three-dimensional integrated circuit of claim 6, wherein the first semiconductor layer has device isolation extending through the first semiconductor layer, the device isolation surrounding an outside of a functional device of the first functional device layer.
9. The three-dimensional integrated circuit of claim 8, wherein the h semiconductor layer is an h thin silicon layer;
or the h semiconductor layer comprises an h thin silicon layer and an h thin silicon epitaxial layer which are arranged from bottom to top; h passes from 1 to n.
10. The three-dimensional integrated circuit of claim 9, wherein the first inter-layer via is a TSV tungsten via or a TSV copper via.
11. The three-dimensional integrated circuit of claim 8, wherein the bottom device layer further comprises:
and the bottom substrate epitaxial layer is formed on the bottom substrate, and the functional devices of the bottom functional device layer are positioned on the bottom substrate epitaxial layer.
12. The three-dimensional integrated circuit of claim 11, wherein the bottom insulating layer comprises a bottom-up disposed oxide insulating layer and a low dielectric constant insulating layer;
The electrical connection structure of the bottom insulating layer includes:
tungsten vias disposed within the oxide insulating layer and over the functional devices of the first functional device layer;
a metal interconnect line disposed within the oxide insulating layer connected over the tungsten via;
a metal interconnect line disposed in the low dielectric constant insulating layer, and the metal interconnect line in the low dielectric constant insulating layer is connected with the metal interconnect line in the oxide insulating layer;
the metal interconnection lines in the low-dielectric-constant insulating layer of the bottom insulating layer are completely wrapped by the low-dielectric-constant insulating layer in the transverse direction, and the metal interconnection lines in the oxide insulating layer of the bottom insulating layer are completely wrapped by the oxide insulating layer in the transverse direction.
13. The three-dimensional integrated circuit of claim 12, wherein ohmic contacts are formed in the source and drain of the functional devices of the first functional device layer at locations where vertical tungsten vias are desired.
14. The three-dimensional integrated circuit of claim 13, wherein the first insulating layer comprises a bottom-up disposed oxide insulating layer and a low dielectric constant insulating layer;
the electrical connection structure of the first insulating layer includes:
Tungsten vias disposed over ohmic contact locations of the oxide insulating layer;
a metal interconnect line disposed within the oxide insulating layer and over the tungsten via;
a metal interconnect line disposed in the low dielectric constant insulating layer, and the metal interconnect line in the low dielectric constant insulating layer is connected with the metal interconnect line in the oxide insulating layer;
the metal interconnection lines in the low-dielectric-constant insulating layer of the first insulating layer are completely wrapped by the low-dielectric-constant insulating layer in the transverse direction, and the metal interconnection lines in the oxide insulating layer of the first insulating layer are completely wrapped by the oxide insulating layer in the transverse direction;
the first interlayer through hole is connected with the metal interconnection line of the low dielectric constant insulating layer in the bottom device layer and the metal interconnection line of the oxide insulating layer in the upper first device layer.
15. The three-dimensional integrated circuit of claim 14, wherein the anneal-blocking layer of the bottom device layer is located within the low-k insulating layer of the bottom insulating layer and above a level of metal interconnect lines of the low-k insulating layer in the bottom insulating layer.
16. The three-dimensional integrated circuit of claim 15, wherein the anneal-blocking layer of the upper first device layer is located within the low-k insulating layer of the first insulating layer and above the level of the metal interconnect lines of the low-k insulating layer in the first insulating layer;
The annealing barrier layer of the upper second device layer is positioned in the low dielectric constant insulating layer of the second insulating layer and is positioned above the height of the metal interconnection line of the low dielectric constant insulating layer in the second insulating layer;
……;
the annealing barrier layer of the upper n-th device layer is positioned in the low dielectric constant insulating layer of the n-th insulating layer and is positioned above the height of the metal interconnection line of the low dielectric constant insulating layer in the n-th insulating layer.
17. The three-dimensional integrated circuit of claim 16, wherein the three-dimensional integrated circuit is a distributed integrated memory chip, corresponding to:
the bottom device layer is a logic function layer, and the bottom function device layer is a logic circuit layer with a plurality of computing units;
the upper first device layer is an upper first storage layer, and the first functional device layer is a first storage circuit layer with a plurality of storage units;
the upper second device layer is an upper second storage layer, and the second functional device layer is a second storage circuit layer with a plurality of storage units;
……;
the upper n-th device layer is an upper n-th memory layer, and the n-th functional device layer is an n-th memory circuit layer having a plurality of memory cells.
18. The three-dimensional integrated circuit of claim 17, wherein the plurality of computing units of the logic circuit layer are uniformly distributed; the memory cells of the first memory circuit layer, the second memory circuit layer, … … and the n-th memory circuit layer are uniformly distributed.
CN202321892207.5U 2023-07-18 2023-07-18 Three-dimensional integrated circuit Active CN220233194U (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202321892207.5U CN220233194U (en) 2023-07-18 2023-07-18 Three-dimensional integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202321892207.5U CN220233194U (en) 2023-07-18 2023-07-18 Three-dimensional integrated circuit

Publications (1)

Publication Number Publication Date
CN220233194U true CN220233194U (en) 2023-12-22

Family

ID=89197432

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202321892207.5U Active CN220233194U (en) 2023-07-18 2023-07-18 Three-dimensional integrated circuit

Country Status (1)

Country Link
CN (1) CN220233194U (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116598312A (en) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 Three-dimensional integrated circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116598312A (en) * 2023-07-18 2023-08-15 苏州华太电子技术股份有限公司 Three-dimensional integrated circuit

Similar Documents

Publication Publication Date Title
US11222814B2 (en) Integrated circuit (IC) structure for high performance and functional density
US10910312B2 (en) Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices
KR20210149031A (en) 3D memory device
KR20210118136A (en) Alternative buried power rail to rear power path
US11621275B2 (en) Three-dimensional memory device with hydrogen-rich semiconductor channels
US11664374B2 (en) Backside interconnect structures for semiconductor devices and methods of forming the same
US11961787B2 (en) Semiconductor device with sidewall interconnection structure and method for manufacturing the same, and electronic apparatus
US11984402B2 (en) Semiconductor device and method
CN220233194U (en) Three-dimensional integrated circuit
TW202145484A (en) Semiconductor devices
CN112582375A (en) Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus
CN112582374A (en) Semiconductor device with sidewall interconnection structure, method of manufacturing the same, and electronic apparatus
US11990404B2 (en) Heat dissipation for semiconductor devices and methods of manufacture
US20240096805A1 (en) Semiconductor devices with backside routing and method of forming same
US11450637B2 (en) Methods for bonding semiconductor structures and semiconductor devices thereof
US11410930B2 (en) Semiconductor device and method
CN220604687U (en) Three-dimensional integrated circuit
US20220328363A1 (en) Dual-Side Power Rail Design and Method of Making Same
US11862561B2 (en) Semiconductor devices with backside routing and method of forming same
CN116598312A (en) Three-dimensional integrated circuit
CN116936530A (en) Three-dimensional integrated circuit
CN116598313A (en) Three-dimensional integrated circuit
CN116936455A (en) Preparation method of three-dimensional integrated circuit and three-dimensional integrated circuit
CN220604669U (en) Three-dimensional memory and calculation integrated chip
CN116936454A (en) Preparation method of three-dimensional integrated circuit and three-dimensional integrated circuit

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant