CN210781451U - Control circuit of equipment power supply - Google Patents

Control circuit of equipment power supply Download PDF

Info

Publication number
CN210781451U
CN210781451U CN201921366504.XU CN201921366504U CN210781451U CN 210781451 U CN210781451 U CN 210781451U CN 201921366504 U CN201921366504 U CN 201921366504U CN 210781451 U CN210781451 U CN 210781451U
Authority
CN
China
Prior art keywords
voltage
control
module
power supply
resistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201921366504.XU
Other languages
Chinese (zh)
Inventor
邓迅升
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Sendis Semiconductor Co Ltd
Original Assignee
Shenzhen Sendis Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Sendis Semiconductor Co Ltd filed Critical Shenzhen Sendis Semiconductor Co Ltd
Priority to CN201921366504.XU priority Critical patent/CN210781451U/en
Application granted granted Critical
Publication of CN210781451U publication Critical patent/CN210781451U/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Dc-Dc Converters (AREA)

Abstract

The utility model discloses a control circuit of a device power supply, which is connected with a controlled device and comprises a rectification module, a line voltage sampling module and a control module low-voltage direct current power supply module; the input alternating current is rectified by the rectifying module and then outputs line voltage to the line voltage sampling module and the low-voltage direct current power supply module; the line voltage sampling module is used for outputting a first sampling voltage to the control module according to the line voltage, and the low-voltage direct current power supply module is used for converting the line voltage into low-voltage direct current and outputting the low-voltage direct current to the control module; when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control module enters a working mode from a sleep mode, outputs a control signal to the controlled equipment according to the instruction information and then enters the sleep mode again; and the controlled equipment is used for updating the working state according to the control signal. The utility model discloses can realize reducing the power consumption of equipment, improve power efficiency.

Description

Control circuit of equipment power supply
Technical Field
The utility model relates to a power technical field, in particular to control circuit of equipment power.
Background
The internal circuit of the intelligent device can be simply divided into a control part and a controlled part, wherein the control part and the controlled part respectively need different power supplies to supply power, in some applications, the control part adopts low-voltage direct current power supply, the controlled part adopts high-voltage power supply, for example, in the application of a wireless intelligent LED lamp, the wireless control part adopts 3.3V low-voltage direct current power supply, and the LED lamp driving part adopts 200-volt high-voltage linear power supply to supply power. The control part is used for converting the received user instruction into a control signal which can be identified by the controlled part, so that the control part works in a working state corresponding to the user instruction.
In the two traditional power supply schemes for supplying power to the control part, one scheme adopts a switching power supply for supplying power, and the switching power supply has the advantages of high power supply efficiency; the disadvantages are the problem of radiation transmission, large volume and high cost. The other is a structure adopting a resistor, a capacitor, a voltage regulator tube and an LDO (low drop out regulator), namely a low dropout linear regulator, as shown in figure 1, the rectified line voltage is reduced to a low-voltage direct current VDD suitable for a control part, and the low-voltage direct current linear regulator has the advantages of small power supply volume, low cost and no conduction radiation problem; the disadvantage is that the current with fixed magnitude is always pulled up from the line voltage, and the output current can not be automatically adjusted along with the magnitude of the load current, so that the power supply efficiency is low.
Thus, the prior art has yet to be improved and enhanced.
SUMMERY OF THE UTILITY MODEL
In view of the foregoing prior art, an object of the present invention is to provide a control circuit for a device power supply, which can effectively reduce power consumption and improve power supply efficiency.
In order to achieve the purpose, the utility model adopts the following technical proposal:
a control circuit of a device power supply is connected with a controlled device and comprises a rectifying module, a line voltage sampling module and a control module low-voltage direct current power supply module; the input alternating current is rectified by the rectifying module and then outputs line voltage to the line voltage sampling module and the low-voltage direct current power supply module; the line voltage sampling module is used for outputting a first sampling voltage to the control module according to the line voltage, and the low-voltage direct current power supply module is used for converting the line voltage into low-voltage direct current and outputting the low-voltage direct current to the control module; when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control module enters a working mode from a sleep mode, outputs a control signal to the controlled equipment according to instruction information and then enters the sleep mode again; and the controlled equipment is used for updating the working state according to the control signal.
In the control circuit of the equipment power supply, the control module comprises a control unit and an ambient light detection unit, when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control unit enters a working mode from a sleep mode, outputs a first level signal to the ambient light detection unit and controls the ambient light detection unit to be started; the ambient light detection unit samples ambient light signals within a first preset time and outputs a second sampling voltage to the control unit; and the control unit outputs a control signal to the controlled device according to the second sampling voltage, and outputs a second level signal to the ambient light detection unit to control the ambient light detection unit to close, and then enters the sleep mode again.
In the control circuit of the equipment power supply, the control module comprises a control unit and a wireless unit, when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control unit enters a working mode from a sleep mode, starts a timer for timing, and simultaneously outputs a wake-up instruction to the wireless unit to control the wireless unit to enter a wireless receiving mode; when the timing time of the timer is within a second preset time and the wireless unit outputs an interrupt request instruction to the control unit, the control unit reads wireless data from the wireless unit, outputs a control signal to the controlled device according to the wireless data, outputs a sleep instruction to the wireless unit to control the wireless unit to enter a sleep mode, and then enters the sleep mode again.
In the control circuit of the equipment power supply, when the second sampling voltage is greater than a second preset voltage, the control unit outputs a first control signal to the controlled equipment; when the second sampling voltage is smaller than a second preset voltage, the control unit outputs a second control signal to the controlled device.
In the control circuit of the device power supply, the control unit comprises a control chip, a PA0 signal end of the control chip is connected with the line voltage sampling module, a PA1 signal end of the control chip and a PA2 signal end of the control chip are both connected with the ambient light detection unit, a PA7 signal end of the control chip is connected with the controlled device, and a VDD signal end of the control chip is connected with the low-voltage direct-current power supply module.
In the control circuit of the device power supply, the ambient light detection unit comprises a first resistor and a light sensitive resistor, one end of the first resistor is connected with one end of the light sensitive resistor and the PA1 signal end of the control chip, the other end of the first resistor is grounded, and the other end of the light sensitive resistor is connected with the PA2 signal end of the control chip.
In the control circuit of the equipment power supply, the line voltage sampling module comprises a second resistor, a third resistor and a first capacitor; one end of the second resistor is connected with the rectifying module, the other end of the second resistor, one end of the third resistor and one end of the first capacitor are all connected with the PA0 signal end of the control chip, and the other end of the first capacitor and the other end of the third resistor are all grounded.
Compared with the prior art, the utility model provides a control circuit of equipment power, control circuit is connected with controlled equipment, including rectifier module, line voltage sampling module, control module low pressure DC power supply module; the input alternating current is rectified by the rectifying module and then outputs line voltage to the line voltage sampling module and the low-voltage direct current power supply module; the line voltage sampling module is used for outputting a first sampling voltage to the control module according to the line voltage, and the low-voltage direct current power supply module is used for converting the line voltage into low-voltage direct current and outputting the low-voltage direct current to the control module; when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control module enters a working mode from a sleep mode, outputs a control signal to the controlled equipment according to the instruction information and then enters the sleep mode again; and the controlled equipment is used for updating the working state corresponding to the control signal according to the control signal. The utility model discloses can realize reducing the power consumption of equipment, improve power efficiency.
Drawings
FIG. 1 is a schematic circuit diagram of a control circuit of a prior art smart device;
fig. 2 is a block diagram of a control circuit of the power supply of the device according to the present invention;
fig. 3 is a signal waveform diagram in a control circuit of the power supply of the device provided by the present invention;
fig. 4 is a schematic circuit diagram of a first preferred embodiment of a control circuit of a device power supply provided by the present invention;
fig. 5 is a schematic circuit diagram of a second preferred embodiment of a control circuit of a device power supply according to the present invention.
Detailed Description
An object of the utility model is to provide a control circuit of equipment power can effectively reduce the power consumption, improves mains operated efficiency.
In order to make the objects, technical solutions and effects of the present invention clearer and clearer, the following description of the present invention will refer to the accompanying drawings and illustrate embodiments. It should be understood that the specific embodiments described herein are for purposes of illustration only and are not intended to limit the invention.
Please refer to fig. 2 and fig. 3 together, the utility model provides a control circuit of equipment power, it is connected with controlled equipment 10, including rectifier module 100, line voltage sampling module 200, control module 300 and low voltage dc supply module 400, alternating current power supply is connected to rectifier module 100's input, rectifier module 100's output is connected line voltage sampling module 200, low voltage dc supply module 400 and controlled equipment, control module 300 still connects line voltage sampling module 200, low voltage dc supply module 400 and controlled equipment 10 respectively.
After the input alternating current is rectified by the rectifying module 100, the output line voltage is transmitted to the line voltage sampling module 200 and the low-voltage direct current power supply module 400; the line voltage sampling module 200 is configured to output a first sampling voltage to the control module 300 according to the line voltage, the low-voltage dc power supply module 400 converts the line voltage into a low-voltage dc power and outputs the low-voltage dc power to the control module 300, so as to provide electric energy for the operation of the control module 300, and ensure stable operation of the control module 300, and the low-voltage dc power supply module 400 can dynamically adjust an output current according to a magnitude of a load current, where the output current is large when a load needs a large current and the output current is small when the load needs a small current, so as to achieve a purpose of reducing average power consumption of the load.
The control module 300 has two working modes, namely a sleep mode and a working mode, and can be switched according to actual requirements, specifically, the first sampling voltage output by the line voltage sampling module 200 periodically changes along with the working frequency of the ac power supply, the control module 300 receives the first sampling voltage output by the line voltage sampling module 200, determines the line voltage and a first preset voltage Vth, and adjusts the working state of the control module according to the determination result, when the first sampling voltage changes from being smaller than the first preset voltage Vth to being larger than the first preset voltage Vth, the control module 300 enters the working mode from the sleep mode, starts to process instruction information, and outputs a control signal to the controlled module 110 according to the instruction information and then enters the sleep mode again until the first sampling voltage changes from being smaller than the first preset voltage Vth to being larger than the first preset voltage Vth next time, and then wakes up; the controlled module 110 is configured to update the working state corresponding to the control signal according to the control signal.
Referring to fig. 3, the first preset voltage Vth is a comparison voltage set by a user and used for comparing with a sampling voltage of a line voltage, and the first preset voltage Vth corresponds to a line voltage value, so that when the set value of the first preset voltage Vth is lower in the line voltage, the efficiency of the power supply is higher, the current ratio of the control module 300 entering the working mode is higher, and the current in the sleep mode is lower. Setting the first preset voltage Vth to be smaller, so that the control module 300 is in a time period when the line voltage is lower in the high-current operating mode, and according to the condition that P is UI, the lower the voltage, the lower the power consumed by the power supply is, the lower the power consumption is, under the condition of the same current; the control module 300 is in a low-current operating mode in a time period when the line voltage is high, at this time, although the line voltage is higher, the current is low, and compared with a time period when the line voltage is high when the control module is in a high-current operating mode, the power consumed in a low-current sleep mode is much lower, so that the power consumption of the power supply is reduced, and the power supply efficiency is improved.
In specific implementation, please refer to fig. 4, the controlled device 10 of the present invention is preferably an intelligent LED lamp, that is, the controlled module 110 in fig. 4 is specifically described, the controlled module 110 includes an LED lamp string, a driving chip U2 and a sixth resistor Rcs, one end of the sixth resistor Rcs is connected to the driving chip U2, the other end of the sixth resistor Rcs is grounded, the driving chip U2 is connected to the control module 300 and the LED lamp string, the LED lamp string is further connected to the rectifying module 100, the driving chip U2 is configured to drive the LED lamp string to update to a working state corresponding to the control signal according to the control signal output by the control module 300, the sixth resistor Rcs is configured to regulate the current flowing through the LED lamp string, preferably, the control signal in this embodiment is a PWM dimming signal, and the brightness of the LED lamp string is in a positive proportional relationship with the PWM duty ratio, preferably, the model of the driver chip U2 in this embodiment is SDS3113S, and in other embodiments, a driver chip U2 having the same function may also be used, which is not limited by the present invention.
In the first preferred embodiment of the present invention, the control module 300 includes a control unit 310 and an ambient light detection unit 320, the control unit 310 is connected to the low voltage dc power supply module 400, the line voltage sampling module 200, the ambient light detection unit 320 and the driving chip U2, when the first sampling voltage changes from being less than a first preset voltage Vth to being greater than the first preset voltage Vth, the control unit 310 enters a working mode from a sleep mode and outputs a first level signal to the ambient light detection unit 320 to control the ambient light detection unit 320 to be turned on, preferably, the first level signal is a high level signal; the ambient light detection unit 320 samples the ambient light signal within a first preset time Ts and outputs a second sampling voltage to the control unit 310, the ambient light detection unit 320 starts to work to sample the ambient light signal after receiving the high level signal, and outputs a sampling result, i.e., the second sampling voltage to the control unit 310 after waiting for the first preset time Ts; in this embodiment, the second sampling voltage is characterized as the instruction information, the control unit 310 outputs a control signal to the controlled module 110 according to the second sampling voltage, and outputs a second level signal to the ambient light detection unit 320 to control the ambient light detection unit to turn off, and then enters the sleep mode again, preferably, the second level signal is a low level signal, that is, when the control unit 310 outputs the control signal, the control unit outputs the low level signal to the ambient light detection unit 320 to further control the ambient light detection unit 320 to turn off, and simultaneously enters the sleep mode to achieve the effect of saving power consumption, and the control unit wakes up until the first sampling voltage changes from being less than the first preset voltage Vth to being greater than the first preset voltage Vth next time, so as to achieve the purpose of improving the power efficiency.
Further, when the second sampling voltage is greater than a second preset voltage Vs, the control unit 310 outputs a first control signal to the controlled module 110; in this embodiment, preferably, the first control signal is a low level signal, the second control signal is a high level signal, the magnitude of the second preset voltage Vs determines when the LED lamp is turned on, and when the second sampling voltage is greater than the second preset voltage Vs, that is, it indicates that the current ambient light is strong enough, the control unit 310 outputs a low level signal to the controlled module 110, so as to control the LED lamp to turn off; when the second sampling voltage is less than the second preset voltage Vs, that is, it indicates that the current ambient illumination is weak enough, the control unit 310 outputs a high-level signal to the controlled module 110, so that the controlled module 110, that is, the LED lamp, is turned on, thereby implementing flexible control of the LED lamp and avoiding wasting electric energy when the LED is turned on in strong ambient illumination.
Further, the control unit 310 includes a control chip U1, a PA0 signal end of the control chip U1 is connected to the line voltage sampling module 200, a PA1 signal end of the control chip U1 and a PA2 signal end of the control chip U1 are both connected to the ambient light detection unit 320, a PA7 signal end of the control chip U1 is connected to a PWM signal end of the driving chip U2, a VDD signal end of the control chip U1 is connected to the low voltage dc power supply module 400, a PA0 signal end of the control chip U1 receives the second sampling voltage output by the line voltage sampling module 200, the control chip U1 compares the first sampling voltage with the first preset voltage Vth, and when the first sampling voltage changes from being smaller than the first preset voltage Vth to being larger than the first preset voltage Vth, a high level signal is output to the ambient light detection unit 320 through a PA2 signal end of the control chip U1, after waiting for between the first presets, detect the second sampling voltage of the ambient light detection unit 320 by the PA1 signal terminal of the control chip U1, and judge the second sampling voltage with the magnitude of the second preset voltage Vs, then the control chip U1 outputs a control signal to the PWM signal terminal of the driving chip U2 from the PA7 signal terminal according to this judgment result, so as to realize the control of the light-emitting luminance of the LED string, preferably, the model of the control chip U1 is SDS7322P, and certainly, in other embodiments, the control chip U1 with the same function can be adopted, which is not limited by the present invention.
Further, the ambient light detecting unit 320 includes a first resistor R1 and a photo resistor CDS, one end of the first resistor R1 is connected to one end of the photo resistor CDS and the PA1 signal end of the control chip U1, the other end of the first resistor R1 is grounded, the other end of the photo resistor CDS is connected to the PA2 signal end of the control chip U1, after the ambient light detecting unit 320 starts to operate, the photo resistor CDS detects the ambient light intensity and converts the light signal into an electrical signal to output a second sampling voltage to the control chip U1, thereby realizing effective detection of the ambient light intensity.
Further, the line voltage sampling module 200 includes a second resistor R2, a third resistor R3, and a first capacitor C1; one end of the second resistor R2 is connected to the rectifier module 100, the other end of the second resistor R2, one end of the third resistor R3, and one end of the first capacitor C1 are all connected to a PA0 signal end of the control chip U1, the other end of the first capacitor C1 and the other end of the third resistor R3 are all grounded, the line voltage is divided and sampled by the second resistor R2 and the third resistor R3, then a first sampling voltage is output, the first sampling voltage is filtered by the first capacitor C1, and a stable first sampling voltage is output to the control chip U1, so that the control chip U1 can determine the current line voltage.
Further, the low voltage dc power supply module 400 includes a fourth resistor R4, a fifth resistor R5, a second capacitor C2, a third capacitor C3, a MOS transistor Q1, a zener diode ZD1 and a regulator LDO, one end of the fourth resistor R4 and a drain of the MOS transistor Q1 are both connected to the rectification module 100, the other end of the fourth resistor R4 and a gate of the MOS transistor Q1 are both connected to a cathode of the zener diode ZD1, an anode of the zener diode ZD1, one end of the second capacitor C2, one end of the third capacitor C3 and a3 rd pin of the regulator LDO are all grounded, the other end of the second capacitor C2 is connected to a1 st pin of the regulator LDO and one end of the fifth resistor R5, the other end of the third capacitor C3 is connected to a2 nd pin of the LDO and a VDD signal terminal of the control chip U1, and the other end of the fifth resistor R5 is connected to a source of the MOS transistor Q1, the low-voltage direct current power supply module 400 is used for providing low-voltage direct current for the control module 300, and the low-voltage direct current power supply module 400 can also dynamically adjust output current according to the magnitude of load current, wherein the output current is large when a load needs large current, and the output current is small when the load needs small current, so that the purpose of reducing the average power consumption of the load is achieved; preferably, the model of the voltage regulator LDO in this embodiment is SGM2203-3.3, the input voltage of the voltage regulator LDO can reach 36V, and the output voltage can be 3.3V.
To better understand the control process of the control circuit of the device power supply provided in this example, the following description is made with reference to fig. 4 to describe the operation process of the control circuit of the device power supply provided in this example:
the line voltage sampling module 200 divides and samples the line voltage output by the rectifying module 100 and outputs a first sampling voltage to the PA0 signal end of the control module 300, and then the control chip U1 determines whether the first sampling voltage changes from being smaller than a first preset voltage Vth to being larger than a second preset voltage Vs, if so, the control chip U1 wakes up to change from a sleep state to a working state, and outputs a high-level signal to the ambient light detection unit 320 from the PA2 signal end, so that the ambient light detection unit 320 detects and samples ambient light and outputs a second sampling voltage to the PA1 signal end of the control chip U1, and after the control chip U1 waits for a first preset time Ts, which is the response time of the photo resistor CDS, the second sampling voltage is compared with the second preset voltage Vs, judging whether the second sampling is larger than the second preset voltage Vs, if so, outputting a low level signal from a PA7 signal end to the driving chip U2 to turn off the LED lamp string; if not, outputting a high level signal from the PA7 signal to the driving chip U2 to light the LED lamp string; then, the control chip U1 outputs a low level signal from the PA2 signal end to the ambient light detection unit 320 to turn off the ambient light detection unit 320, and then the control chip U1 itself also enters a sleep state to wake up when waiting for the next first sampling voltage to change from being less than the first preset voltage Vth to being greater than the first preset voltage Vth, thereby saving power consumption.
In a second preferred embodiment of the present invention, referring to fig. 5, the control module 300 includes a control unit 310 and a wireless unit 330, the control unit 310 is connected to the wireless unit 330, the driving chip U2, the low voltage dc power supply module 400 and the line voltage sampling module 200; when the first sampling voltage changes from being lower than a first preset voltage Vth to being higher than the first preset voltage Vth, the control unit 310 enters a working mode from a sleep mode, starts a timer for timing, and simultaneously outputs a wake-up instruction to the wireless unit 330 to control the wireless unit to enter a wireless receiving mode; when the timing time of the timer is within a second preset time Tcnt and the wireless unit 330 outputs an interrupt request instruction to the control unit 310, the control unit 310 reads wireless data from the wireless unit 330, in this embodiment, the wireless data is characterized as the instruction information, the control unit 310 outputs a control signal to the controlled module 110 according to the wireless data, and outputs a sleep instruction to the wireless unit 330 to control the controlled module to enter a sleep mode, and then enters the sleep mode again until the next time the first sampling voltage changes from being less than a first preset voltage Vth to being greater than the first preset voltage Vth, and then wakes up to achieve the purpose of improving the power efficiency.
Further, the control unit 310 includes a control chip U1, the wireless unit 330 includes a 2.4G RF chip U3, the PA0 signal end of the control chip U1 is connected with the other end of the second resistor R2, one end of the third resistor R3 and one end of the first capacitor C1, the VDD signal end of the control chip U1 is connected with the 2 nd pin of the LDO, the PA7 signal end of the control chip U1 is connected with the PWM signal end of the driving chip U2, the PA6 signal terminal, the PA5 signal terminal, the PA4 signal terminal, the PA3 signal terminal, the PA2 signal terminal and the PA1 signal terminal of the control chip U1 are all connected to the CE signal terminal, the CSN signal terminal, the SCK signal terminal, the MOSI signal terminal, the MOSO signal terminal and the IPQ signal terminal of the 2.4G rf chip U3, respectively, preferably, the model of the control chip U1 is SDS7322P, and the model of the 2.4G radio frequency chip U3 is RFM 75-D; the 2.4G radio frequency chip U3 receives a control instruction of the control chip U1 through a serial bus to change the working state of the chip, and the working current of the 2.4G radio frequency chip U3 in the wireless receiving mode is 16mA, and the working current of the 2.4G radio frequency chip U3 in the wireless sending mode is 18 mA; when the 2.4G radio frequency chip U3 enters a sleep state, the working current is 300 uA; when the 2.4G radio frequency chip U3 receives valid wireless data, an interrupt request command is output to the PA1 signal terminal of the control chip U1 through the IRQ signal terminal so as to facilitate the further operation of the control chip U1; of course, the wireless unit 330 of the 2.4G rf chip U3 in this embodiment may also be replaced by a 433MHz wireless module, a BLE module, or a WIFI module, which is not limited in this example.
To better understand the control process of the control circuit of the device power supply provided in this example, the following description is made with reference to fig. 5 to describe the operation process of the control circuit of the device power supply provided in this example:
after the control chip U1 receives the first sampling voltage, it is determined whether the first sampling voltage changes from less than a first preset voltage Vth to greater than the first preset voltage Vth, if so, the control chip U1 wakes up to change from a sleep state to a working state, and after the control chip U1 enters the working state, a timer integrated therein is started to time, and outputs a wake-up command to the wireless unit 330 through a serial bus, so that the wireless unit 330 enters a wireless receiving mode from the sleep state; then, the control chip U1 determines whether the timing time of the timer is greater than a second preset time Tcnt, where the second preset time Tcnt is the maximum time from waking up to sleeping in the control chip U1 set by the user, and if so, sends a sleep command to the wireless unit 330, so that the wireless unit 330 enters the sleep mode from the wireless receiving mode, and the control unit also enters the sleep mode until the next time the first sampling voltage changes from being less than the first preset voltage Vth to being greater than the first preset voltage Vth, and wakes up again.
When the timing time of the timer is judged to be not more than the second preset time Tcnt, the control chip U1 detects whether the wireless unit 330 outputs an interrupt request command from the PA1 signal port, and if not, returns to the step of comparing the timing time of the timer with the second preset time Tcnt; if yes, the control chip U1 is indicated to receive an interrupt request instruction, and then reads wireless data from the 2.4G radio frequency chip U3 through a serial bus, and converts the wireless data into a control signal to be output to the driving chip U2, preferably, the control chip U1 is a PWM signal, and when the driving chip U2 receives the PWM signal, updates its state to a state corresponding to the PWM signal; after the control chip U1 outputs the control signal, it sends a sleep command to the wireless unit 330 through the serial bus, so that the wireless unit 330 enters the sleep mode from the wireless receiving mode, and enters the sleep mode again, and wakes up again when it is determined that the first sampling voltage changes from being smaller than the first preset voltage Vth to being larger than the first preset voltage Vth next time; the data transmission rate of the 2.4G radio frequency chip U3 of the wireless unit 330 is fast, and the working current is large, so that the receiving of a complete control instruction only needs microsecond-level time, and the wireless receiving mode is entered when the online voltage is low under the condition that the user experience is not influenced, and after the receiving is finished, the power consumption is reduced by placing the control chip U1 and the wireless unit 330 in a sleep mode for a section, so that the power efficiency is improved; of course, the power consumption may be reduced by having the control chip U1 in the active mode and the wireless unit 330 in the sleep mode for a period of time.
In the period from the wake-up to the sleep mode, the line voltage of the control chip U1 in this embodiment is set to be in an extremely low voltage state, and although the current in this period is large, for example, the operating current of the wireless unit 330 is 16mA, the line voltage is low, and the overall power is still kept in a relatively small state, thereby achieving the purpose of improving the power efficiency and reducing the power consumption of the control module 300.
Preferably, control chip U1 awakens up when first sampling voltage changes to being greater than first predetermined voltage Vth from being less than first predetermined voltage Vth at every turn, also can count and just awaken up after first sampling voltage changes to being greater than first predetermined voltage Vth from being less than first predetermined voltage Vth a plurality of times, and then reaches the requirement of lower consumption.
It should be noted that, if the length of the time period from waking up to sleeping in the control chip U1 is equivalent to the time period of one cycle of the line voltage, or is longer than the time period of one cycle of the line voltage, it is not suitable for the present invention, for example, in 220V/50Hz ac power application, the line voltage corresponding to the first preset voltage Vth is set to be 20V, that is, the line voltage of the control chip U1 at the time of waking up is 20V, after a period of time, the control chip U1 enters the sleep state when the line voltage is 40V, then the line voltage of the control chip U1 at the time of waking up to sleeping in the control chip U1 is 20V-40V, and the former power is only about 10% compared with the time period of the line voltage at 200V-220V through the power calculation formula P ═ UI.
Correspondingly, the utility model provides a control circuit of equipment power supply's theory of operation specifically is that input alternating current carries out rectification processing through the rectifier module and then outputs line voltage to the line voltage sampling module; outputting a first sampling voltage to the control module by the line voltage sampling module according to the line voltage; converting the line voltage into low-voltage direct current by the low-voltage direct current power supply module and outputting the low-voltage direct current to the control module; when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control module enters a working mode from a sleep mode, outputs a control signal to the controlled equipment according to instruction information and then enters the sleep mode again; and updating the working state corresponding to the control signal by the controlled equipment according to the control signal.
In a first preferred embodiment, when the first sampling voltage changes from being smaller than the first preset voltage to being greater than the first preset voltage, the control module enters the working mode from the sleep mode, and the process of entering the sleep mode again after outputting the control signal to the controlled device according to the instruction information specifically includes: when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control unit enters a working mode from a sleep mode, outputs a first level signal to the ambient light detection unit and controls the ambient light detection unit to be started; the ambient light detection unit samples the ambient light signal within a first preset time and then outputs a second sampling voltage to the control unit; and the control unit outputs a control signal to the controlled device according to the second sampling voltage, and outputs a second level signal to the ambient light detection unit to control the ambient light detection unit to close, and then enters the sleep mode again.
Further, in a second preferred embodiment, when the first sampling voltage changes from being smaller than the first preset voltage to being larger than the first preset voltage, the process that the control module enters the working mode from the sleep mode and enters the sleep mode again after outputting the control signal to the controlled device according to the instruction information specifically includes: when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control unit enters a working mode from a sleep mode, starts a timer for timing, and simultaneously outputs a wake-up instruction to the wireless unit to control the wireless unit to enter a wireless receiving mode; when the timing time of the timer is within a second preset time and the wireless unit outputs an interrupt request instruction to the control unit, the control unit reads wireless data from the wireless unit, outputs a control signal to the controlled device according to the wireless data, outputs a sleep instruction to the wireless unit to control the wireless unit to enter a sleep mode, and then enters the sleep mode again.
To sum up, the utility model provides a control circuit of a device power supply, which is connected with a controlled device and comprises a rectification module, a line voltage sampling module and a control module low-voltage direct current power supply module; the input alternating current is rectified by the rectifying module and then outputs line voltage to the line voltage sampling module and the low-voltage direct current power supply module; the line voltage sampling module is used for outputting a first sampling voltage to the control module according to the line voltage, and the low-voltage direct current power supply module is used for converting the line voltage into low-voltage direct current and outputting the low-voltage direct current to the control module; when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control module enters a working mode from a sleep mode, outputs a control signal to the controlled equipment according to the instruction information and then enters the sleep mode again; and the controlled equipment is used for updating the working state corresponding to the control signal according to the control signal. The utility model discloses can realize reducing the power consumption of equipment, improve power efficiency.
It should be understood that equivalent alterations and modifications can be made by those skilled in the art according to the technical solution of the present invention and the inventive concept thereof, and all such alterations and modifications should fall within the scope of the appended claims.

Claims (8)

1. A control circuit of a device power supply is connected with a controlled device and is characterized by comprising a rectifying module, a line voltage sampling module, a control module and a low-voltage direct-current power supply module; the input alternating current is rectified by the rectifying module and then outputs line voltage to the line voltage sampling module and the low-voltage direct current power supply module; the line voltage sampling module is used for outputting a first sampling voltage to the control module according to the line voltage, and the low-voltage direct current power supply module is used for converting the line voltage into low-voltage direct current and outputting the low-voltage direct current to the control module; when the first sampling voltage is changed from being smaller than a first preset voltage to being larger than the first preset voltage, the control module enters a working mode from a sleep mode, outputs a control signal to the controlled equipment according to instruction information and then enters the sleep mode again; and the controlled equipment is used for updating the working state according to the control signal.
2. The control circuit of the device power supply according to claim 1, wherein the control module comprises a control unit and an ambient light detection unit, when the first sampling voltage changes from being smaller than a first preset voltage to being larger than the first preset voltage, the control unit enters a working mode from a sleep mode and outputs a first level signal to the ambient light detection unit to control the ambient light detection unit to be turned on; the ambient light detection unit samples ambient light signals within a first preset time and outputs a second sampling voltage to the control unit; and the control unit outputs a control signal to the controlled device according to the second sampling voltage, and outputs a second level signal to the ambient light detection unit to control the ambient light detection unit to close, and then enters the sleep mode again.
3. The control circuit of the device power supply according to claim 1, wherein the control module comprises a control unit and a wireless unit, when the first sampling voltage changes from being smaller than a first preset voltage to being larger than the first preset voltage, the control unit enters a working mode from a sleep mode, starts a timer for timing, and outputs a wake-up command to the wireless unit to control the wireless unit to enter a wireless receiving mode; when the timing time of the timer is within a second preset time and the wireless unit outputs an interrupt request instruction to the control unit, the control unit reads wireless data from the wireless unit, outputs a control signal to the controlled device according to the wireless data, outputs a sleep instruction to the wireless unit to control the wireless unit to enter a sleep mode, and then enters the sleep mode again.
4. The control circuit of the device power supply of claim 2, wherein when the second sampling voltage is greater than a second preset voltage, the control unit outputs a first control signal to the controlled device; when the second sampling voltage is smaller than a second preset voltage, the control unit outputs a second control signal to the controlled device.
5. The control circuit of the device power supply of claim 2, wherein the control unit comprises a control chip, a PA0 signal terminal of the control chip is connected to the line voltage sampling module, a PA1 signal terminal of the control chip and a PA2 signal terminal of the control chip are both connected to the ambient light detection unit, a PA7 signal terminal of the control chip is connected to the device to be controlled, and a VDD signal terminal of the control chip is connected to the low-voltage dc power supply module.
6. The control circuit of the device power supply of claim 5, wherein the ambient light detecting unit comprises a first resistor and a light sensitive resistor, one end of the first resistor is connected to one end of the light sensitive resistor and the PA1 signal end of the control chip, the other end of the first resistor is grounded, and the other end of the light sensitive resistor is connected to the PA2 signal end of the control chip.
7. The control circuit of the equipment power supply of claim 5, wherein the line voltage sampling module comprises a second resistor, a third resistor and a first capacitor; one end of the second resistor is connected with the rectifying module, the other end of the second resistor, one end of the third resistor and one end of the first capacitor are all connected with the PA0 signal end of the control chip, and the other end of the first capacitor and the other end of the third resistor are all grounded.
8. The control circuit of the equipment power supply of claim 5, wherein the low voltage DC power supply module comprises a fourth resistor, a fifth resistor, a second capacitor, a third capacitor, a MOS (metal oxide semiconductor) transistor, a voltage stabilizing diode and a voltage stabilizer; one end of the fourth resistor and the drain electrode of the MOS tube are both connected with the rectifying module; the other end of the fourth resistor and the grid electrode of the MOS tube are connected with the negative electrode of the voltage stabilizing diode, the positive electrode of the voltage stabilizing diode, one end of the second capacitor, one end of the third capacitor and the 3 rd pin of the voltage stabilizer are all grounded, the other end of the second capacitor is connected with the 1 st pin of the voltage stabilizer and one end of the fifth resistor, the other end of the third capacitor is connected with the 2 nd pin of the voltage stabilizer and the VDD signal end of the control chip, and the other end of the fifth resistor is connected with the source electrode of the MOS tube.
CN201921366504.XU 2019-08-21 2019-08-21 Control circuit of equipment power supply Active CN210781451U (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201921366504.XU CN210781451U (en) 2019-08-21 2019-08-21 Control circuit of equipment power supply

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201921366504.XU CN210781451U (en) 2019-08-21 2019-08-21 Control circuit of equipment power supply

Publications (1)

Publication Number Publication Date
CN210781451U true CN210781451U (en) 2020-06-16

Family

ID=71041188

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201921366504.XU Active CN210781451U (en) 2019-08-21 2019-08-21 Control circuit of equipment power supply

Country Status (1)

Country Link
CN (1) CN210781451U (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110545598A (en) * 2019-08-21 2019-12-06 深圳市晟碟半导体有限公司 control circuit and control method of equipment power supply

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110545598A (en) * 2019-08-21 2019-12-06 深圳市晟碟半导体有限公司 control circuit and control method of equipment power supply
CN110545598B (en) * 2019-08-21 2024-04-02 深圳市晟碟半导体有限公司 Control circuit and control method for equipment power supply

Similar Documents

Publication Publication Date Title
WO2017020670A1 (en) Constant current driving method and circuit enabling dimming of led lamp
CN203289702U (en) A backlight power supply circuit, a power supply apparatus, and a television set
TWI477192B (en) Dc dimming led driving circuit
TW201406207A (en) Controller and method for dimming and electronic system thereof
CN102196643B (en) Linear constant-current controller, chip and driving device
CN112135394A (en) Constant-current LED drive circuit capable of increasing voltage drop
TWM451772U (en) DC dimming LED driving circuit
KR101932364B1 (en) Led backlight for liquid crystal display device and liquid crystal display device
CN210781451U (en) Control circuit of equipment power supply
US9814107B2 (en) Drive circuit and illumination device comprising the drive circuit
CN111031636B (en) Light-operated LED lamp circuit capable of setting working mode
WO2018121707A1 (en) Device for controlling brightness of mobile phone screen
CN110545598B (en) Control circuit and control method for equipment power supply
CN105578654A (en) Indicator lamp control device capable of reducing stand-by power consumption of liquid crystal display television
CN205213122U (en) But LED lamp area luminance regulation control circuit
CN212413482U (en) LED drive circuit and device
CN212413061U (en) Intrinsic safety type power supply constant current supply circuit and display device
CN115002963A (en) Low standby power consumption control circuit
CN201893106U (en) Driving control circuit of light emitting diode (LED) back light source
CN210469809U (en) Driver
CN211378322U (en) Express delivery cabinet intelligence lamps and lanterns
CN209120507U (en) A kind of LED linear constant-flow driver
CN102324844B (en) Microcontroller-controlled voltage stabilization device for vehicle body electronic controller
CN113260115A (en) Constant current drive control circuit and system
CN215453339U (en) Low-brightness mode quick start circuit

Legal Events

Date Code Title Description
GR01 Patent grant
GR01 Patent grant