CN204119200U - 一种微弱信号检测系统 - Google Patents

一种微弱信号检测系统 Download PDF

Info

Publication number
CN204119200U
CN204119200U CN201420458980.5U CN201420458980U CN204119200U CN 204119200 U CN204119200 U CN 204119200U CN 201420458980 U CN201420458980 U CN 201420458980U CN 204119200 U CN204119200 U CN 204119200U
Authority
CN
China
Prior art keywords
resistance
circuit
signal
amplifier
grades
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201420458980.5U
Other languages
English (en)
Inventor
毛先柏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Central South University
Original Assignee
Central South University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Central South University filed Critical Central South University
Priority to CN201420458980.5U priority Critical patent/CN204119200U/zh
Application granted granted Critical
Publication of CN204119200U publication Critical patent/CN204119200U/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Measurement Of Current Or Voltage (AREA)

Abstract

本实用新型涉及微弱信号检测技术领域,尤其是一种微弱信号检测系统。它包括依次连接的加法电路、纯电阻分压电路、微弱信号检测电路、单片机和显示电路,所述加法电路将正弦波信号与噪声信号混合在一起并输出至纯电阻分压电路,所述纯电阻分压电路将混合后的信号峰值进行衰减并输出至微弱信号检测电路,所述微弱信号检测电路将正弦波信号从混合信号中分离出来并输送至单片机,所述单片将转换的数字信号输送至显示电路。所述微弱信号检测电路包括依次连接的电压跟随器、放大电路、带通滤波器和峰值检测电路。所述单片机为MSP430型单片机,所述显示电路包括四位集成的LED共阳显示器。本实用新型结构简单、检测系统的精确度高,具有很强的实用性。

Description

一种微弱信号检测系统
技术领域
本实用新型涉及微弱信号检测技术领域,尤其是一种微弱信号检测系统。
背景技术
众所周知,微弱信号是指一种深埋在背景噪声中的极其微弱的有用信号;随着科学技术的不断发展,被噪声掩盖的各种微弱信号的检测愈来愈受到人们的重视。现有的能够实现对淹没在强噪声的环境背景下的有效正弦微弱信号检测并能正确显示正弦信号的检测系统普遍存在结构复杂、成本高、检测精度低、可靠性及实用性差等问题。
实用新型内容
针对上述现有技术中存在的不足,本实用新型的目的在于提供一种电路结构简单、信号检测精度和可靠性高的微弱信号检测系统。
为了实现上述目的,本实用新型采用如下技术方案:
一种微弱信号检测系统,它包括以信号处理流向为序依次连接的加法电路、纯电阻分压电路、微弱信号检测电路、单片机和显示电路,所述加法电路将正弦波信号与噪声信号混合在一起并输出至纯电阻分压电路,所述纯电阻分压电路将混合后的信号峰值进行衰减并输出至微弱信号检测电路,所述微弱信号检测电路将正弦波信号从混合信号中分离出来并输送至单片机,所述单片机将转换的数字信号输送至显示电路;
所述微弱信号检测电路包括用于将纯电阻分压电路输出的信号进行前置放大的放大电路、用于提高放大电路的输入阻抗的电压跟随器、用于提取正弦波信号的带通滤波器和用于检测正弦波信号峰值并将峰值通过单片机输送至显示电路进行显示的峰值检测电路;
所述电压跟随器、放大电路、带通滤波器和峰值检测电路顺序连接于纯电阻分压电路和单片机之间。
优选地,所述加法电路包括一INA2134型加法器,所述纯电阻分压电路包括第一可调电阻和第二电阻;
所述加法器的第三引脚输入正弦信号、第十四引脚输入噪声信号、第十二引脚通过第一可调电阻连接电压跟随器的输入端并通过第一可调电阻串联第二电阻后接地。
优选地,所述电压跟随器包括一级运放,所述放大电路包括二级运放;所述一级运放的同相输入端与第一可调电阻连接、信号输出端连接二级运放的同相输入端,所述二级运放的反相输入端通过第三电阻连接二级运放的信号输出端并通过第四电阻接地,所述二级运放的信号输出端连接带通滤波器的输入端。
优选地,所述带通滤波器包括三级运放和四级运放;
所述三级运放的同相输入端通过第一电容连接二级运放的信号输出端并通过第六电阻接地,所述三级运放的电源正极输入端与同相输入端之间还串联有第五电阻,所述三级运放的信号输出端通过依次串联的第七电阻和第八电阻连接四级运放的同相输入端;
所述四级运放的同相输入端还通过第三电容接地、反相输入端还通过第第二电容连接于第七电阻和第八电阻之间,所述四级运放的信号输出端连接峰值检测电路的输入端。
优选地,所述峰值检测电路包括五级运放、六级运放、七级运放、第十电阻和第十一电阻;
所述五级运放的反相输入端通过第九电阻连接四级运放的信号输出端、电源正极输入端通过并联的第四电容和第五电容接地、电源负极输入端与反相输入端并联有第六电容和第七电容,所述五级运放的信号输出端依次通过第一二级管、第十二电阻和第十三电阻连接六级运放的信号输出端并通过第二二极管连接六级运放的同相输入端;
所述第十电阻和第十一电阻串联后并联于第一二极管和第二二极管的两端,所述第十三电阻的两端还并联有第八电容,所述六级运放的反相输入端连接于第十二电阻和第十三电阻之间,所述六级运放的信号输出端通过第十四电阻连接于七级运放的同相输入端;
所述七级运放的同相输入端还通过第九电容接地、信号输出端通过第十五电阻连接单片机并同时通过依次连接的第十五电阻和第一稳压管接地。
优选地,所述单片机为MSP430型单片机。
优选地,所述显示电路包括四位集成的LED共阳显示器。
由于采用了上述方案,本实用新型极大的简化了控制系统的组成结构,利用设置的加法和分压电路提高分压系数,利用电压跟随器和放大电路提高输入阻抗和放大信号,并利用峰值检测电路对信号进行检测,以提高检测系统的精确度和可靠性,具有很强的实用性。
附图说明
图1是本实用新型实施例的系统总体框图;
图2是本实用新型实施例的加法电路和分压电路的电路连接结构图;
图3是本实用新型实施例的电压跟随器和放大电路的电路连接结构图;
图4是本实用新型实施例的带通滤波器电路的电路连接结构图;
图5是本实用新型实施例的峰值检测电路的电路连接结构图;
图6是本实用新型实施例的显示器电路的电路连接结构图。
具体实施方式
以下结合附图对本实用新型的实施例进行详细说明,但是本实用新型可以由权利要求限定和覆盖的多种不同方式实施。
如图1所示,本实用新型实施例提供的一种微弱信号检测系统,它包括以信号处理流向为序依次连接的加法电路1、纯电阻分压电路2、微弱信号检测电路3、MSP430型的单片机4和显示电路5;其中,加法电路1将正弦波信号与噪声信号混合在一起并输出至纯电阻分压电路2,纯电阻分压电路2将混合后的信号峰值进行衰减并输出至微弱信号检测电路3,微弱信号检测电路3将正弦波信号从混合信号中分离出来并输送至单片机4,单片机4将转换的数字信号输送至显示电路5;本实施例的微弱信号检测电路3包括用于将纯电阻分压电路2输出的信号进行前置放大的放大电路7、用于提高放大电路7的输入阻抗的电压跟随器6、用于提取正弦波信号的带通滤波器8和用于检测正弦波信号峰值并将峰值通过单片机4输送至显示电路5进行显示的峰值检测电路9;电压跟随器6、放大电路7、带通滤波器8和峰值检测电路9顺序连接于纯电阻分压电路2和单片机4之间。
如图2所示,本实施例的加法电路1包括一INA2134型加法器U1A,纯电阻分压电路2包括第一可调电阻R1和第二电阻R2;其中,正弦信号由加法器U1A的第三引脚输入正弦信号V1,噪声信号由加法器U1A的第十四引脚输入噪声信号V2,加法器U1A的第二引脚接地、第十一引脚接+5V电源、第四引脚接-5V电源、第十三引脚短接到输出端,加法器U1A的第十二引脚输出端V0接到第一可调电阻R1输出VOUT连接至电压跟随器6的输入端并通过第一可调电阻R1串联第二电阻R2后接地,其输出V0=V1+V2,调整第一可调电阻R1可以实现一定分压系数的分压。
如图3所示,本实施例的电压跟随器6包括一级运放U2A,放大电路7包括二级运放U2B;其中,一级运放U2A的同相输入端与第一可调电阻连接并接收纯电阻分压电路2输送过来的信号、信号输出端连接二级运放U2B的同相输入端、反相输入端短接到其输出端、第八引脚直接接到+5V电源、第四引脚直接接到-5V电源,二级运放U2B的同相输入端接收一级运放U2A的输出信号、反相输入端经过第三电阻R3接到输出端、在反相输入端和第三电阻R3之间还接有第四电阻R4接地、信号输出端连接带通滤波器8的输入端,电压跟随器电路输出电压等于输入电压,放大电路将前级被衰减的小信号放大后送入下一级电路进行处理。
如图4所示,本实施例的带通滤波器8包括第一电容C1、第二电容C2、第三电容C3、第五电阻R5、第六电阻R6、第七电阻R7、第八电阻R8、三级运放U3A和四级运放U3B,其中输入信号为放大电路7输送过来的信号经过第一电容C1传输到三级运放U3A的同相输入端,三级运放U3A的反相输入端直接到输出端、第八引脚直接接到+5V电源、第四引脚直接接到-5V电源,在第一电容C1和三级运放U3A的同相输入端之间分两路还接有经过第五电阻R5接到+5V电源和接有经过第六电阻R6接地,三级运放U3A的输出端依次经过串联的第七电阻R7和第八电阻R8接到四级运放U3B的同相输入端,在第七电阻R7和第八电阻R8之间经过第二电容C2接到四级运放U3B的反相输入端,在四级运放U3B的同相输入端和第八电阻R8之间经过第三电容C3接到地,四级运放U3B反相输入端短接到输出端、信号输出端接到峰值检测电路9输入端。该电路将没用的噪声信号滤掉,只保留一定范围内的有用信号。
如图5所示,本实施例的峰值检测电路9包括五级运放U4A、六级运放U4B、七级运放U5A、第九电阻R9、第十电阻R10、第十一电阻R11、第十二电阻R12、第十三电阻R13、第十四电阻R14、第十五电阻RX、第四电容C4、第五电容C5、第六电容C6、第七电容C7、第八电容C8、第九电容C9、第一二级管D1、第二二级管D2和第一稳压管DX,其中输入信号为带通滤波器8中的四级运放U3B输送过来的信号经过第九电阻R9传输到五级运放U4A的反相输入端,五级运放U4A的第八引脚直接接到+5V电源、同相输入端接地、第四引脚接-5V电源、同相输入端和第四引脚之间并联有第六电容C6和第七电容C7,在五级运放U4A的第八引脚和连接到的+5V电源之间经过第四电容C4接地和经过第五电容C5接地,五级运放U4A的输出端依次经过第一二级管D1、第十二电阻R12和第十三电阻R13接到六级运放U4B的输出端并通过第二二级管D2接到六级运放U4B的同相输入端,六级运放U4B的反相输入端连接于第十二电阻R12和第十三电阻R13之间,第一二级管D1与第二二级管D2的串联电路的两端还并联有第十电阻R10和第十一电阻R11,第十三电阻R13还与第八电容C8并联,六级运放U4B的输出端经过第十四电阻R14连接到七级运放U5A的同相输入端,七级运放U5A的同相输入端经过接第九电容C9接到地、反相输入端直接接到输出端、第八引脚接+5V电源、第四引脚接-5V电源、信号输出端通过第十五电阻RX连接单片机4并同时通过依次连接的第十五电阻RX和第一稳压管DX接地,该电路能够提取有用正弦信号的峰值送入后级电路显示。
如图6所示,本实施例的显示电路5包括一个四位集成LED的共阳显示器、第一三极管Q3、第二三极管Q4、第三三极管Q5、第四三极管Q6、第一排阻RP1、第十六电阻R16、第十七电阻R17、第十八电阻R18、第十九电阻R19和一MSP430型号的单片机4作为主控制器,其中前级峰值检测电路9的输出电压,送入单片机4中,利用单片机4内部A/D转换电路将模拟电压转换为数字信号后,送入显示电路5的显示器显示,同时,单片机4还控制LED显示电压的峰值。单片机4的P2.0连接第十九电阻R19经过第四三极管Q6连接到显示器的第十二引脚、P2.1连接第十八电阻R18经过第三三极管Q5连接到显示器的第九引脚、P2.2连接第十七电阻R17经过第二三极管Q4连接到显示器的第八引脚、P2.3连接第十六电阻R16经过第一三极管Q1连接到显示器的第六引脚,为位选信号驱动显示器动态显示。单片机4的P1口经过第一排阻RP1分别连接到显示器的第十一引脚、第七引脚、第四引脚、第二引脚、第一引脚、第十引脚、第五引脚、第三引脚并送出段显码。
以上所述仅为本实用新型的优选实施例,并非因此限制本实用新型的专利范围,凡是利用本实用新型说明书及附图内容所作的等效结构或等效流程变换,或直接或间接运用在其他相关的技术领域,均同理包括在本实用新型的专利保护范围内。

Claims (7)

1.一种微弱信号检测系统,它包括以信号处理流向为序依次连接的加法电路、纯电阻分压电路、微弱信号检测电路、单片机和显示电路,所述加法电路将正弦波信号与噪声信号混合在一起并输出至纯电阻分压电路,所述纯电阻分压电路将混合后的信号峰值进行衰减并输出至微弱信号检测电路,所述微弱信号检测电路将正弦波信号从混合信号中分离出来并输送至单片机,所述单片机将转换的数字信号输送至显示电路;其特征在于:
所述微弱信号检测电路包括用于将纯电阻分压电路输出的信号进行前置放大的放大电路、用于提高放大电路的输入阻抗的电压跟随器、用于提取正弦波信号的带通滤波器和用于检测正弦波信号峰值并将峰值通过单片机输送至显示电路进行显示的峰值检测电路;
所述电压跟随器、放大电路、带通滤波器和峰值检测电路顺序连接于纯电阻分压电路和单片机之间。
2.如权利要求1所述的一种微弱信号检测系统,其特征在于:所述加法电路包括一INA2134型加法器,所述纯电阻分压电路包括第一可调电阻和第二电阻;
所述加法器的第三引脚输入正弦信号、第十四引脚输入噪声信号、第十二引脚通过第一可调电阻连接电压跟随器的输入端并通过第一可调电阻串联第二电阻后接地。
3.如权利要求2所述的一种微弱信号检测系统,其特征在于:所述电压跟随器包括一级运放,所述放大电路包括二级运放;所述一级运放的同相输入端与第一可调电阻连接、信号输出端连接二级运放的同相输入端,所述二级运放的反相输入端通过第三电阻连接二级运放的信号输出端并通过第四电阻接地,所述二级运放的信号输出端连接带通滤波器的输入端。
4.如权利要求3所述的一种微弱信号检测系统,其特征在于:所述带通滤波器包括三级运放和四级运放;
所述三级运放的同相输入端通过第一电容连接二级运放的信号输出端并通过第六电阻接地,所述三级运放的电源正极输入端与同相输入端之间还串联有第五电阻,所述三级运放的信号输出端通过依次串联的第七电阻和第八电阻连接四级运放的同相输入端;
所述四级运放的同相输入端还通过第三电容接地、反相输入端还通过第第二电容连接于第七电阻和第八电阻之间,所述四级运放的信号输出端连接峰值检测电路的输入端。
5.如权利要求4所述的一种微弱信号检测系统,其特征在于:所述峰值检测电路包括五级运放、六级运放、七级运放、第十电阻和第十一电阻;
所述五级运放的反相输入端通过第九电阻连接四级运放的信号输出端、电源正极输入端通过并联的第四电容和第五电容接地、电源负极输入端与反相输入端并联有第六电容和第七电容,所述五级运放的信号输出端依次通过第一二级管、第十二电阻和第十三电阻连接六级运放的信号输出端并通过第二二极管连接六级运放的同相输入端;
所述第十电阻和第十一电阻串联后并联于第一二极管和第二二极管的两端,所述第十三电阻的两端还并联有第八电容,所述六级运放的反相输入端连接于第十二电阻和第十三电阻之间,所述六级运放的信号输出端通过第十四电阻连接于七级运放的同相输入端;
所述七级运放的同相输入端还通过第九电容接地、信号输出端通过第十五电阻连接单片机并同时通过依次连接的第十五电阻和第一稳压管接地。
6.如权利要求1-5中任一项所述的一种微弱信号检测系统,其特征在于:所述单片机为MSP430型单片机。
7.如权利要求6所述的一种微弱信号检测系统,其特征在于:所述显示电路包括四位集成的LED共阳显示器。
CN201420458980.5U 2014-08-14 2014-08-14 一种微弱信号检测系统 Expired - Fee Related CN204119200U (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201420458980.5U CN204119200U (zh) 2014-08-14 2014-08-14 一种微弱信号检测系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201420458980.5U CN204119200U (zh) 2014-08-14 2014-08-14 一种微弱信号检测系统

Publications (1)

Publication Number Publication Date
CN204119200U true CN204119200U (zh) 2015-01-21

Family

ID=52336475

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201420458980.5U Expired - Fee Related CN204119200U (zh) 2014-08-14 2014-08-14 一种微弱信号检测系统

Country Status (1)

Country Link
CN (1) CN204119200U (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105572655A (zh) * 2015-12-22 2016-05-11 中国船舶重工集团公司第七一五研究所 一种微弱水声信号模拟及测试装置
CN107783062A (zh) * 2017-11-27 2018-03-09 厦门亿联网络技术股份有限公司 一种纹波检测装置
CN109342916A (zh) * 2018-08-29 2019-02-15 昆明理工大学 一种基于微控制器的调幅度检测电路装置
CN112769412A (zh) * 2020-12-29 2021-05-07 王致华 一种双运放椭圆函数、反切比雪夫有源低通滤波器电路

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105572655A (zh) * 2015-12-22 2016-05-11 中国船舶重工集团公司第七一五研究所 一种微弱水声信号模拟及测试装置
CN105572655B (zh) * 2015-12-22 2019-01-01 中国船舶重工集团公司第七一五研究所 一种微弱水声信号模拟及测试装置
CN107783062A (zh) * 2017-11-27 2018-03-09 厦门亿联网络技术股份有限公司 一种纹波检测装置
CN107783062B (zh) * 2017-11-27 2024-03-12 厦门亿联网络技术股份有限公司 一种纹波检测装置
CN109342916A (zh) * 2018-08-29 2019-02-15 昆明理工大学 一种基于微控制器的调幅度检测电路装置
CN109342916B (zh) * 2018-08-29 2022-04-12 昆明理工大学 一种基于微控制器的调幅度检测电路装置
CN112769412A (zh) * 2020-12-29 2021-05-07 王致华 一种双运放椭圆函数、反切比雪夫有源低通滤波器电路

Similar Documents

Publication Publication Date Title
CN204119200U (zh) 一种微弱信号检测系统
CN201341115Y (zh) 基于线性光耦的交流模拟电信号隔离放大电路
CN202533368U (zh) 用于co气体高精度检测的三通道红外气体传感器
CN205510002U (zh) 一种专用电信号的放大滤波电路
CN106725741B (zh) 超声换能器的采样装置及超声手术系统
CN206411187U (zh) 一种低功耗电流信号采集装置
CN202197244U (zh) 采用隔离式旋转变压器的电机位置检测电路
CN205480217U (zh) 一种基于gprs网络的供水管道泄漏定位系统
CN204301918U (zh) 水表压力传感器信号放大电路
CN109283043A (zh) 一种拉力试验机控制系统
CN205919929U (zh) 氢燃料汽车氢气泄漏复合检测传感器
CN205377830U (zh) 一种模拟量输出电路
CN203883778U (zh) 变电站气体浓度检测系统中探测信号的放大模块
CN203883774U (zh) 放大变电站气体浓度检测系统中信号的模块
CN207458323U (zh) 一种应用于多节点的主机mbus接收电路
CN206993076U (zh) 一种多路信号转0‑10v电压的电路
CN206363626U (zh) 一种基本共射放大器静态工作点参数检测装置
CN203434900U (zh) 无刷电机转子位置反馈电路
CN205433658U (zh) 一种人体脉搏检测仪
CN205450017U (zh) 一种运用于磁电式传感器检测的信号调理电路
CN208421068U (zh) 一种正负波形检测装置
CN201060271Y (zh) 一种双侧向测井仪主监控厚膜电路
CN205281783U (zh) 用于油气浓度智能报警仪的信号传输电路
CN205212818U (zh) 一种频率电压转换电路
CN203883775U (zh) 变电站气体浓度检测系统的信号放大电路

Legal Events

Date Code Title Description
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150121

Termination date: 20150814

EXPY Termination of patent right or utility model