CN118368884A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
CN118368884A
CN118368884A CN202310042090.XA CN202310042090A CN118368884A CN 118368884 A CN118368884 A CN 118368884A CN 202310042090 A CN202310042090 A CN 202310042090A CN 118368884 A CN118368884 A CN 118368884A
Authority
CN
China
Prior art keywords
nickel
substrate
layer
silicon
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310042090.XA
Other languages
Chinese (zh)
Inventor
李昆伦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN202310042090.XA priority Critical patent/CN118368884A/en
Priority to PCT/CN2023/093024 priority patent/WO2024148724A1/en
Publication of CN118368884A publication Critical patent/CN118368884A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices

Landscapes

  • Electrodes Of Semiconductors (AREA)

Abstract

The present disclosure relates to the field of semiconductor technology, and relates to a semiconductor device and a method for manufacturing the same. The manufacturing method of the present disclosure includes: providing a substrate with a silicon-containing surface; depositing a first material on the surface of the substrate, wherein the first material reacts with silicon on the surface of the substrate to form a cross-linked structure layer; forming a nickel nitride layer on the surface of the crosslinked structure layer; and performing rapid heat treatment on the substrate, the cross-linked structure layer and the nickel nitride layer to form a nickel silicide film. The manufacturing method can reduce the contact resistance of the nickel silicide film.

Description

Semiconductor device and method for manufacturing the same
Technical Field
The present disclosure relates to the field of semiconductor technology, and in particular, to a semiconductor device and a method for manufacturing the same.
Background
The memory has the advantages of small volume, high integration degree, high transmission speed and the like, and is widely applied to mobile equipment such as mobile phones, tablet computers and the like. As the effective size of the memory is continuously reduced, the requirements on the contact resistance of each film layer are increasingly high. However, the contact resistance of the existing film layer is large, and the influence on various functions of the memory is large.
It should be noted that the information disclosed in the above background section is only for enhancing understanding of the background of the present disclosure and thus may include information that does not constitute prior art known to those of ordinary skill in the art.
Disclosure of Invention
In view of the above, the present disclosure provides a semiconductor device and a method for manufacturing the same, which can reduce the contact resistance of a nickel silicide film.
According to an aspect of the present disclosure, there is provided a method of manufacturing a semiconductor device, including:
Providing a substrate with a silicon-containing surface;
Depositing a first material on the surface of the substrate, wherein the first material reacts with silicon on the surface of the substrate to form a cross-linked structure layer;
Forming a nickel nitride layer on the surface of the crosslinked structure layer;
And performing rapid heat treatment on the substrate, the cross-linked structure layer and the nickel nitride layer to form a nickel silicide film.
In one exemplary embodiment of the present disclosure, forming the nickel nitride layer includes:
And depositing a second material on the surface of the cross-linked structure layer under the action of a nitrogen-containing gas, wherein the second material comprises nickel ions, and the nickel ions react with the nitrogen-containing gas to generate the nickel nitride layer.
In one exemplary embodiment of the present disclosure, the first material is holmium or platinum.
In one exemplary embodiment of the present disclosure, the crosslinked structural layer has a thickness of 3nm to 10nm.
In one exemplary embodiment of the present disclosure, the nitrogen-containing gas is nitrogen and the second material is metallic nickel.
In an exemplary embodiment of the present disclosure, the doping concentration of the nitrogen-containing gas is 3% to 4% during the deposition of the second material.
In an exemplary embodiment of the present disclosure, the nickel nitride layer has a thickness of 12nm to 20nm.
In an exemplary embodiment of the present disclosure, after forming the nickel nitride layer, the manufacturing method further includes:
And removing the excess unreacted second material.
In an exemplary embodiment of the present disclosure, before depositing the first material on the substrate surface, the fabrication method further includes:
and preprocessing the surface of the substrate to remove impurities.
In an exemplary embodiment of the present disclosure, the rapid thermal process is at a temperature of 500 ℃ to 700 ℃.
According to an aspect of the present disclosure, there is provided a semiconductor device including:
a silicon-containing substrate;
The nickel silicide film layer is positioned on the substrate;
and the cross-linked structure layer is positioned between the silicon-containing substrate and the nickel silicide film layer.
In an exemplary embodiment of the present disclosure, the material of the crosslinked structural layer is holmium silicide or platinum silicide.
In one exemplary embodiment of the present disclosure, the crosslinked structural layer has a thickness of 3nm to 10nm.
In an exemplary embodiment of the present disclosure, the semiconductor device further includes:
And the metal layer is arranged on the nickel silicide film layer.
In an exemplary embodiment of the present disclosure, the semiconductor device further includes:
the titanium nitride barrier layer is arranged between the metal layer and the nickel silicide film layer.
According to the semiconductor device and the manufacturing method thereof, in the rapid heat treatment process, the material in the cross-linked structure layer can react with nickel ions in the nickel nitride layer, so that the nickel ions in the nickel nitride layer are inhibited from diffusing into the substrate with the silicon surface, the diffusion rate of the nickel ions into the substrate with the silicon surface can be reduced, and the stability of the finally formed nickel silicide film can be improved; in the process, the diffusion rate of nickel ions is effectively inhibited, so that the probability of generating NiSi 2 can be reduced, and the contact resistance of the finally formed nickel silicide film can be reduced. Meanwhile, only one heat treatment is needed in the formation process of the nickel-hanging film, so that the process can be simplified.
It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the disclosure.
Drawings
The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments consistent with the disclosure and together with the description, serve to explain the principles of the disclosure. It will be apparent to those of ordinary skill in the art that the drawings in the following description are merely examples of the disclosure and that other drawings may be derived from them without undue effort.
Fig. 1 is a flowchart of a method of fabricating a semiconductor device in an embodiment of the present disclosure;
FIG. 2 is a schematic view of a reaction chamber in an embodiment of the present disclosure;
FIG. 3 is a schematic cross-sectional view of a capacitive contact structure in an embodiment of the disclosure;
FIG. 4 is a graph showing the resistivity of nickel silicide films formed on the surface of n-type substrates in embodiments of the present disclosure;
FIG. 5 is a graph of resistivity of nickel silicide film formed on the surface of a p-type substrate in an embodiment of the present disclosure;
Fig. 6 is a schematic diagram of the resistivity of a nickel silicide film formed with nitrogen doping in an embodiment of the present disclosure.
Reference numerals illustrate:
1. A substrate; 2. crosslinking the structural layer; 3. a nickel silicide film; 4. a titanium nitride barrier layer; 5. a metal layer; 100. a first target; 200. a first air inlet; 300. a vacuum pump; 400. a reaction chamber; 500. and a contact window.
Detailed Description
Example embodiments will now be described more fully with reference to the accompanying drawings. However, the exemplary embodiments can be embodied in many forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the example embodiments to those skilled in the art. The same reference numerals in the drawings denote the same or similar structures, and thus detailed descriptions thereof will be omitted. Furthermore, the drawings are merely schematic illustrations of the present disclosure and are not necessarily drawn to scale.
Although relative terms such as "upper" and "lower" are used in this specification to describe the relative relationship of one component of an icon to another component, these terms are used in this specification for convenience only, such as in terms of the orientation of the examples described in the figures. It will be appreciated that if the device of the icon is flipped upside down, the recited "up" component will become the "down" component. When a structure is "on" another structure, it may mean that the structure is integrally formed with the other structure, or that the structure is "directly" disposed on the other structure, or that the structure is "indirectly" disposed on the other structure through another structure.
The terms "a," "an," "the," "said" and "at least one" are used to indicate the presence of one or more elements/components/etc.; the terms "comprising" and "having" are intended to be inclusive and mean that there may be additional elements/components/etc. in addition to the listed elements/components/etc.; the terms "first," "second," and "third," etc. are used merely as labels, and do not limit the number of their objects.
The nickel silicide film is widely used in memories because of its low process temperature, easy controlled diffusion rate, low contact resistance, and low silicon loss. For example, it can be used in capacitive contact structures. However, the existing nickel silicide film has complicated manufacturing process (two steps of rapid heat treatment are needed), noble metal Mo or Pt is needed to be doped, and the manufacturing cost is high. In addition, niSi 2 with high resistance is easily formed during the second heat treatment, so that the contact resistance of the finally formed nickel silicide film is too high.
Based on this, the embodiment of the disclosure provides a method for manufacturing a semiconductor device, fig. 1 shows a flowchart of the method for manufacturing a semiconductor device of the disclosure, and referring to fig. 1, the method may include steps S110 to S140, where:
step S110, providing a substrate with a silicon-containing surface;
step S120, depositing a first material on the surface of the substrate, wherein the first material reacts with silicon on the surface of the substrate to form a cross-linked structure layer;
Step S130, forming a nickel nitride layer on the surface of the crosslinked structure layer;
And step S140, performing rapid heat treatment on the substrate, the cross-linked structure layer and the nickel nitride layer to form a nickel silicide film.
According to the manufacturing method of the semiconductor device, in the rapid heat treatment process, the material in the cross-linked structure layer can react with nickel ions in the nickel nitride layer, so that the nickel ions in the nickel nitride layer are inhibited from diffusing into the substrate with the silicon surface, the diffusing rate of the nickel ions into the substrate with the silicon surface can be reduced, and the stability of the finally formed nickel silicide film can be improved; in the process, the diffusion rate of nickel ions is effectively inhibited, so that the probability of generating NiSi 2 can be reduced, and the contact resistance of the finally formed nickel silicide film can be reduced. Meanwhile, only one heat treatment is needed in the formation process of the nickel silicide film, so that the process can be simplified.
The following describes in detail the steps of the method for manufacturing a semiconductor device of the present disclosure:
as shown in fig. 1, in step S110, a substrate 1 having a silicon-containing surface is provided.
In embodiments of the present disclosure, a semiconductor device fabrication process may be performed within a reaction chamber. Fig. 2 illustrates a schematic view of a reaction chamber in an embodiment of the present disclosure, and referring to fig. 2, a reaction chamber 400 may be located in a box, which may be a polyhedron or a cylinder, without being limited thereto. The material of the box body can be metal or alloy, and also can be glass or other materials. For example, the chamber may be a reaction furnace.
The reaction chamber 400 may be a vacuum chamber to provide a vacuum environment for the subsequent reaction, and may prevent impurities from entering, thereby ensuring the deposition effect of the subsequently formed thin film and the performance of the thin film. For example, the reaction chamber 400 may be evacuated using the vacuum pump 300, thereby creating a vacuum environment within the reaction chamber 400.
The reaction chamber 400 may be provided with a carrying table, which may be plate-shaped or block-shaped, for example, the carrying table may be a flat plate structure, which may be a rectangular plate, a circular plate, an elliptical plate, a polygonal plate or an irregularly patterned plate structure, and the material may be a metal, an alloy or other materials, and the shape and the material of the carrying table are not particularly limited.
In one exemplary embodiment of the present disclosure, the susceptor may have a bearing surface, which may be planar. The substrate 1, the surface of which contains silicon, can be fixed on a carrier by means of a carrier surface. For example, the surface silicon-containing substrate 1 may be adsorbed on the carrying surface, or the surface silicon-containing substrate 1 may be bonded or clamped to the carrying surface, or of course, the surface silicon-containing substrate 1 may be fixed to the carrying surface by other means, so long as the surface silicon-containing substrate 1 and the carrying surface can be firmly fixed, and the structure of the surface silicon-containing substrate 1 is not damaged, and the fixing manner of the surface silicon-containing substrate 1 and the carrying surface is not particularly limited. In some embodiments of the present disclosure, the substrate 1 having a silicon surface is a silicon substrate.
In some embodiments of the present disclosure, the surface silicon-containing substrate 1 may be an n-type substrate or a p-type substrate, which may be a structure before any thin film forming process in the semiconductor manufacturing process, for example, it may be a wafer, a semiconductor substrate, a structure before forming a capacitor contact structure, a structure before forming a metal interconnection line, or a structure before forming a conductive layer, and of course, may be other structures in the semiconductor manufacturing process, which are not listed here.
As shown in fig. 1, in step S120, a first material is deposited on the surface of the substrate 1, and the first material reacts with silicon on the surface of the substrate 1 to form a crosslinked structure layer 2.
The reaction chamber 400 may further be provided with a fixing portion, where the fixing portion is provided with a first target 100, and the first target 100 may be disposed opposite to the carrying platform, and may bombard the first target 100 with a high-speed electric field, so that the first target 100 is ionized to form electrons.
In some embodiments of the present disclosure, the first target 100 may be a first material, which may be bombarded with a high-speed electric field in an environment with a shielding gas, thereby ionizing the first material to generate electrons. The first material may be a metal material or a material containing metal ions, and it should be noted that the first material may be set according to a material of a cross-linked structure to be finally formed. For example, if the cross-linked structure to be finally formed is holmium silicide, the first material may be metallic holmium; if the cross-linked structure that is ultimately desired to be formed is platinum silicide, the first material may be metallic platinum.
During the deposition of the first material, electrons can be bombarded by a high-speed electric field, and the electrons collide with the protective gas in the process of flying to the substrate 1 with the silicon surface under the action of the electric field, so that positive ions and new electrons are generated, the new electrons can fly to the substrate 1 with the silicon surface, meanwhile, the positive ions are accelerated to fly to the first target 100 under the action of the electric field, and the first target 100 is sputtered under the action of high energy and then attached to the surface of the substrate 1 with the silicon surface. The first material attached to the surface of the surface silicon containing substrate 1 may react with silicon in the surface silicon containing substrate 1 to form a crosslinked structure layer 2, as shown in fig. 3. The cross-linked structure layer 2 may comprise a metal silicide, for example, the material may be holmium silicide or platinum silicide, and the thickness of the cross-linked structure layer 2 may be 3nm to 10nm, for example, the thickness may be 3nm, 5nm, 7nm, 9nm or 10nm, and of course, other thicknesses may be used, which are not listed here.
Taking a first material as metal holmium as an example, in the holmium deposition process, positive ions fly to the metal holmium in an accelerating way under the action of an electric field, and the metal holmium is sputtered under the action of high energy so as to be attached to the surface of the substrate 1 with silicon on the surface. Holmium attached to the surface of the surface silicon-containing substrate 1 can react with silicon in the surface silicon-containing substrate 1 to form the crosslinked-structure layer 2. That is, at this time, the metal silicide in the crosslinked structure layer 2 is holmium silicide.
It should be noted that, when the first material is platinum, the deposition process is similar to that of holmium, and thus, a description thereof will not be repeated here.
In some embodiments of the present disclosure, the shielding gas does not chemically react with the first material, silicon, and metal silicide, and the cross-linked structure layer 2 may be isolated from the excessive first material by the shielding gas to avoid the excessive first material from adhering to the surface of the cross-linked structure layer 2.
For example, the shielding gas may be an inert gas, for example, nitrogen, argon, neon or helium, but may be other inert gases, which are not listed here.
Optionally, the shielding gas is argon, in the process of depositing platinum, electrons collide with argon atoms in the process of flying to the substrate 1 with the silicon on the surface under the action of an electric field, so that argon positive ions are generated, and the argon positive ions fly to the metal platinum in an accelerating way under the action of the electric field, so that the metal platinum is sputtered and then attached to the surface of the substrate 1 with the silicon on the surface. For example, the first material may be deposited on the surface of the substrate 1 having silicon on the surface by means of physical vapor deposition (Physical Vapor Deposition, PVD for short).
In one exemplary embodiment of the present disclosure, the reaction chamber 400 may further include a first gas inlet 200, and the first gas inlet 200 may be a through hole, which may penetrate a sidewall of the reaction chamber 400, and may communicate with the reaction chamber 400 so as to introduce a shielding gas into the reaction chamber 400 through the first gas inlet 200. The first gas inlet 200 may be a tubular structure communicating with the reaction chamber 400 so as to be connected with a gas supply device for supplying a shielding gas.
The number of the first air inlets 200 may be one so as to be connected to the air supply device. Of course, the number of the first gas inlets 200 may be plural, the plural first gas inlets 200 may be spaced apart from each other on the side wall of the reaction chamber 400, and the shielding gas may be simultaneously supplied into the reaction chamber 400 through the plural first gas inlets 200. The shape of the first air inlet 200 may be circular, elliptical, square, or irregular, and the shape of the first air inlet 200 is not particularly limited herein.
In an exemplary embodiment of the present disclosure, before depositing the first material on the surface of the silicon substrate 1, the method for fabricating a semiconductor device of the present disclosure may further include:
step S150, pre-processing the surface of the substrate 1 to remove impurities.
The surface of the substrate 1 can be cleaned by adopting cleaning liquid, so that impurity particles and oxide layers on the surface of the substrate 1 are removed, and the influence of impurities and the oxide layers on the surface of the substrate 1 on each parameter of the finally formed nickel silicide film is avoided. For example, the cleaning solution may be ethanol, acetone, isopropanol, or an acidic solution, so long as impurities and oxide layers on the surface of the substrate 1 can be removed without damaging the structure of the substrate 1, and the type of the cleaning solution is not particularly limited. For example, the surface of the substrate 1 may be cleaned by a dilute hydrofluoric acid solution (DHF).
As shown in fig. 1, in step S130, a nickel nitride layer is formed on the surface of the crosslinked structure layer 2.
In some embodiments of the present disclosure, the reaction chamber 400 may further include a second gas inlet (not shown), which may be a through hole, which may penetrate a sidewall of the reaction chamber 400, and may communicate with the reaction chamber 400 so as to introduce a nitrogen-containing gas into the reaction chamber 400 through the second gas inlet. The second gas inlet may be a tubular structure in communication with the reaction chamber 400 for connection with a gas cylinder for providing a nitrogen-containing gas.
The number of second air inlets may be one so as to be connected with the gas cylinder. Of course, the number of the second gas inlets may be plural, and the plural second gas inlets may be uniformly distributed on the sidewall of the reaction chamber 400, so that the nitrogen-containing gas may be simultaneously supplied into the reaction chamber 400 through the plural second gas inlets. The shape of the second air inlet may be circular, elliptical, square or irregular, and the shape of the second air inlet is not particularly limited herein.
When forming the nickel nitride layer, the first target 100 in the reaction chamber 400 may be replaced with a second target, which may be a second material, which may contain nickel ions, that is, may be a nickel-containing material, and may be bombarded with a high-speed electric field in an argon atmosphere, thereby ionizing the nickel-containing material to generate electrons. The nickel-containing material can be metallic nickel or nickel-ion-containing material, taking nickel-containing material as metallic nickel as an example, in the process of depositing nickel, positive ions fly to the metallic nickel in an accelerating way under the action of an electric field, and the metallic nickel is sputtered under the action of high energy; simultaneously with the deposition of the nickel-containing material, a nitrogen-containing gas may be introduced into the reaction chamber 400 through the second gas inlet. In this process, metallic nickel may be sufficiently mixed with the nitrogen-containing gas in the reaction chamber 400 and reacted to form a nickel nitride layer, which may be attached to the surface of the crosslinked structural layer 2. Namely, the second material can be deposited on the surface of the crosslinked structure layer 2 under the action of the nitrogen-containing gas, and during the deposition process, nickel ions in the second material can react with the nitrogen-containing gas in the reaction chamber to generate the nickel nitride layer. The thickness of the nickel nitride layer may be 12nm to 20nm, for example, 12nm, 15nm, 18nm or 20nm, although other thicknesses are possible and are not shown here.
In some embodiments of the present disclosure, the nitrogen-containing gas may be nitrogen, but of course, may be other gases that provide nitrogen ions, and the specific type of nitrogen-containing gas is not particularly limited herein. The second gas inlet may be connected to a gas cylinder for supplying nitrogen-containing gas through a pipe so that the nitrogen-containing gas in the gas cylinder is supplied into the reaction chamber 400 through the pipe and the second gas inlet. The gas cylinder can be positioned outside the reaction chamber 400, the gas cylinder can be communicated with the second gas inlet through an external pipeline outside the reaction chamber 400, a flow valve can be arranged in the external pipeline, and the flow or the flow speed of the nitrogen-containing gas entering the reaction chamber 400 can be controlled by adjusting the flow valve.
In some embodiments of the present disclosure, during formation of the nickel nitride layer, the doping concentration ratio of the nitrogen-containing gas to the shielding gas (e.g., argon) may be (3% -4%): (96% -97%), that is, the doping amount of nitrogen in the reaction chamber 400 is 3% -4% in the process of forming the nickel nitride layer, for example, the doping amount of nitrogen may be 3%, 3.3%, 3.6%, 3.9% or 4%, and of course, the doping amount of nitrogen may be other, which is not listed here.
In an exemplary embodiment of the present disclosure, after forming the nickel nitride layer, the method of fabricating the semiconductor device of the present disclosure may further include:
step S160, removing the excess unreacted second material.
After the nickel nitride film is formed, unreacted second material on the substrate 1 can be removed, so that the content of free nickel ions on the surface of the substrate 1 is reduced, the probability of the free nickel ions diffusing into the substrate 1 with silicon on the surface is reduced, the rate of nickel ions diffusing into the substrate 1 with silicon on the surface can be slowed down to a certain extent, the probability of generating NiSi 2 is reduced, and the contact resistance of the finally formed nickel silicide film 3 is reduced.
In one exemplary embodiment of the present disclosure, the remaining second material may be removed by wet etching. For example, when the second material is metallic nickel, the mixture of sulfuric acid, hydrogen peroxide and water may be used to wet etch the residual metallic nickel on the fixing portion, so as to remove the residual metallic nickel.
As shown in fig. 1, in step S140, the substrate 1, the cross-linked structure layer 2 and the nickel nitride layer are subjected to rapid thermal processing to form a nickel silicide film.
After the nickel nitride layer is formed, the structure formed by the substrate 1, the cross-linked structure layer 2 and the nickel nitride layer can be subjected to rapid heat treatment, and nickel ions in the nickel nitride layer can react with silicon ions in the rapid heat treatment process to form a nickel silicide film. In the process, the rate of diffusion of nitrogen ions in the nickel nitride layer into the substrate 1 with silicon on the surface is slowed down due to the limitation of the cross-linked structure layer 2, so that the probability of forming NiSi 2 between nickel ions and silicon is smaller, and the contact resistance of the finally formed nickel silicide film can be reduced.
In an exemplary embodiment of the present disclosure, the rapid thermal process may have a temperature of 500 ℃ to 700 ℃, for example, 500 ℃, 550 ℃, 600 ℃, 650 ℃, or 700 ℃, although other temperatures are also possible and are not listed herein. The treatment time of the rapid thermal processing may be 20min to 40min, for example, the time of the rapid thermal processing may be 20min, 25min, 30min or 40min, and of course, other treatment times may be also used, which are not listed here.
The nickel silicide film formed by the method for manufacturing a semiconductor device of the present disclosure may be used as a part of a capacitor contact structure, as shown in fig. 3, a substrate 1, a cross-linking structure layer 2, a nickel silicide film layer 3, a titanium nitride barrier layer 4 and a metal layer 5 may be sequentially formed in a capacitor contact window 500, where the substrate 1, the cross-linking structure layer 2, the nickel silicide film layer 3, the titanium nitride barrier layer 4 and the metal layer 5 may together form the capacitor contact structure, and the contact resistance of the nickel silicide film layer 3 is lower, which may help to reduce the resistivity of the capacitor contact structure and improve the product performance.
It should be noted that although the steps of the method of fabricating a semiconductor device in the present disclosure are depicted in a particular order in the figures, this does not require or imply that the steps must be performed in that particular order or that all of the illustrated steps be performed in order to achieve desirable results. Additionally or alternatively, certain steps may be omitted, multiple steps combined into one step to perform, and/or one step decomposed into multiple steps to perform, etc.
The present disclosure also provides a semiconductor device, as shown in fig. 3, which may include a silicon-containing substrate 1, a nickel silicide thin film layer 3, and a crosslinked structure layer 2, wherein:
A nickel silicide film layer 3 may be located on the substrate 1;
the crosslinked structure layer 2 may be located between the silicon-containing substrate 1 and the nickel silicide film layer 3.
The nickel silicide film layer 3 in the semiconductor device can have lower contact resistance, and the nickel silicide film layer 3 in the semiconductor device can be used in a storage node contact plug of a capacitor, so that the contact resistance of the storage node contact plug of the capacitor can be reduced; the nickel silicide film layer 3 in the present disclosure can also be used in the leads in the memory, which can reduce the contact resistance of the leads and increase the data transmission rate. Of course, it can also be used in other structures in the memory, which are not listed here.
In some embodiments of the present disclosure, the silicon-containing substrate 1 may be an n-type substrate or a p-type substrate, which may be a structure before any thin film forming process during the semiconductor manufacturing process, for example, it may be a wafer, a semiconductor substrate, a structure before forming a capacitor contact structure, a structure before forming a metal interconnection line, or a structure before forming a conductive layer, and of course, may be other structures during the semiconductor manufacturing process, which are not listed here.
The cross-linked structure layer 2 may be located on the surface of the silicon-containing substrate 1, and the cross-linked structure layer 2 may include a metal silicide, for example, a material thereof may be holmium silicide or platinum silicide. The thickness of the crosslinked structure layer 2 may be 3nm to 10nm, for example, 3nm, 5nm, 7nm, 9nm or 10nm, but of course, other thicknesses are also possible and are not listed here.
The nickel silicide film layer 3 may be located on the surface of the crosslinked structure layer 2, i.e., the crosslinked structure layer 2 is located between the nickel silicide film layer 3 and the silicon-containing substrate 1.
In some embodiments of the present disclosure, the semiconductor device of the present disclosure may further include a metal layer 5, where the metal layer 5 is disposed on the nickel silicide film layer 3, and the material may be tungsten or copper, or may be other metals, which is not limited herein.
In some embodiments of the present disclosure, the semiconductor device of the present disclosure may further include a titanium nitride barrier layer 4, where the titanium nitride barrier layer 4 is disposed between the metal layer 5 and the nickel silicide thin film layer 3, i.e., the titanium nitride barrier layer 4 is located on the surface of the nickel thin film layer 3, and the metal layer 5 is located on the surface of the titanium nitride barrier layer 4, and metal atoms in the metal layer 5 may be blocked from diffusing to the substrate 1 side by the titanium nitride barrier layer 4, thereby improving the stability of the device.
For example, the semiconductor device of the present disclosure may be a capacitor contact plug, and the substrate 1, the cross-linked structure layer 2, the nickel silicide film layer 3, the titanium nitride barrier layer 4 and the metal layer 5 of the present disclosure together form a capacitor contact structure.
The semiconductor device of the present disclosure is formed by the method for manufacturing a semiconductor device in any of the above embodiments, and other details may refer to the embodiments of the method for manufacturing a semiconductor device, which are not described herein again.
The embodiments of the present disclosure also provide a method for detecting a semiconductor device, which may be used to detect a parameter of the semiconductor device in any of the above embodiments, and in some embodiments, the parameter may include at least one of a thickness of a thin film, a contact resistance, or a roughness.
In some embodiments of the present disclosure, a nickel silicide film sample may be manufactured by the manufacturing method of the semiconductor device, and parameter detection may be performed on the nickel silicide film sample, so as to obtain various properties of the nickel silicide film formed by the manufacturing method of the semiconductor device.
For example, the ohmic contact resistivity of nickel silicide films can be measured by a Circular Transmission Line Model (CTLM). The thickness of the finally formed nickel silicide film can be detected by a film thickness meter; detecting the roughness of the surface of the finally formed nickel silicide film by an atomic force microscope (Atomic Force Microscope, AFM), and further judging the uniformity of the nickel silicide film; and detecting whether the surface of the finally formed nickel silicide film has defects such as partial deletion and the like by using an E-beam BVC. The method for manufacturing the semiconductor device is further used for judging whether the method is suitable for actual production or not by detecting the film thickness of the finally formed nickel silicide film, the uniformity of the film layer, the defects possibly occurring in the film layer and the contact resistance of the nickel silicide film.
The specific process of forming the nickel silicide film sample in the present disclosure will be described in detail below by taking the first material as holmium, the nitrogen-containing gas as nitrogen, and the nickel-containing material as nickel as an example:
A photoresist layer may be formed on the surface of the substrate 1 having silicon on the surface, and the photoresist layer is exposed and developed to form a development region, which may have a ring shape; the photoresist layer outside the ring shape can be removed, and only the material of the photoresist layer between the inner wall and the outer wall of the ring shape is remained. Holmium can be deposited on the surface of the substrate 1 with silicon on the surface and the surface of the rest of the photoresist layer in a physical vapor deposition mode, and nickel can be continuously deposited on the surface of the crosslinked structure layer 2 in a physical vapor deposition mode after the crosslinked structure layer 2 comprising holmium silicide is formed by the holmium and the substrate 1 with silicon on the surface. It should be noted that, during the process of depositing nickel, nitrogen may be simultaneously introduced into the reaction chamber 400, so as to form NiN x on the surface of the crosslinked structure layer 2; subsequently, unreacted nickel may be removed; the annular photoresist layer can also be stripped by acetic acid (CH 3COCH3), and in the process, the cross-linked structure layer 2 and the NiN x which are covered on the surface of the annular photoresist layer can be removed at the same time, so that a test ring with the surface of the substrate 1 with silicon on the surface exposed can be formed. Finally, the structure formed by the substrate 1 with silicon on the surface, the cross-linked structure layer 2 and the NiN x layer can be annealed for 30 minutes under the condition of 600 ℃, and during the process, niN x reacts with silicon to form a nickel silicide film.
It should be noted that, in the process of forming the sample, a layer of titanium nitride may be deposited on the surface of the deposited nickel layer after the deposition of nickel, so as to simulate the contact structure of the capacitor, further to directly detect the contact resistance of the capacitor contact structure, and the photoresist layer may be stripped after the deposition of titanium nitride.
It should be noted that the above sample preparation process may be repeated to obtain a plurality of samples, for example, two samples may be prepared by the above sample preparation process, and the difference between the two samples is that the substrate in one sample is an n-type substrate and the substrate in the other sample is a p-type substrate.
In the embodiment of the disclosure, the contact resistance between the surface silicon-containing substrate 1 and the nickel silicide film layer of the holmium-containing interlayer in two samples is respectively tested through the interface between the inner ring and the outer ring of the test ring, as shown in fig. 4 and 5, the abscissa is the width between the inner ring and the outer ring of the test ring, the ordinate is the size of the resistivity, and curve a in fig. 4 shows the resistivity of the nickel silicide film formed on the surface of the n-type surface silicon-containing substrate in the prior art, which is measured by the CTLM technology; curve b represents the resistivity of nickel silicide film formed on the n-type surface silicon-containing substrate surface in embodiments of the present disclosure as measured by CTLM techniques; curve c in fig. 5 shows the resistivity of a nickel silicide film formed on the surface of a substrate having silicon on the p-type surface in the prior art as measured by CTLM technique; curve d represents the resistivity of nickel silicide film formed on the p-type surface silicon-containing substrate surface in embodiments of the present disclosure as measured by CTLM techniques; test results show that in the examples of the present disclosure, the resistance ρ c of the nickel silicide film formed on the surface of the n-type surface silicon-containing substrate 1 is 9.84X10-5 Ω -cm 2~1.16×10-5Ω·cm2, and the resistance ρ c of the nickel silicide film formed on the surface of the p-type surface silicon-containing substrate 1 is 6.24X10-5 Ω -cm 2 to 1.84X10-5 Ω -cm 2. Compared with the prior art, in the nickel silicide film formed by the manufacturing method of the semiconductor device, the contact resistance of the nickel silicide film formed on the surface of the substrate 1 with the silicon on the p-type surface is reduced by 82%, and the contact resistance of the nickel silicide film formed on the surface of the substrate 1 with the silicon on the n-type surface is reduced by 71%. The decrease in contact resistance of the nickel silicide film can be demonstrated: in the formation of the nickel silicide film, the deposition of the holmium interlayer inhibited the diffusion of nickel into the substrate 1 having silicon on the surface during the rapid thermal process, and prevented the formation of NiSi 2 alloy phase having high resistivity, thereby demonstrating that holmium can improve the inter-film properties.
In the present disclosure, the sample preparation process may be repeated to prepare a plurality of samples, each of which is different in that the doping concentration of nitrogen in different samples is different in the process of depositing nickel, and fig. 6 is a schematic diagram showing the resistivity of the nickel silicide thin film formed in the case of nitrogen doping in the embodiment of the present disclosure. Referring to fig. 6, four samples can be compared, and the doping concentration of nitrogen in the sample preparation process of the four samples is 0%, 3.9%, 4.6% and 5%, and tests prove that the doping amount of nitrogen is 3.9% which is the smallest contact resistance of the prepared nickel silicide film, and the doping amount of nitrogen is greatly increased by the contact resistance of nickel nitride with other values. Of course, more samples can be prepared, so that the contact resistance of the nickel silicide film formed under other nitrogen doping concentrations can be studied, and more possibilities are provided for improvement of the process.
Other embodiments of the disclosure will be apparent to those skilled in the art from consideration of the specification and practice of the disclosure disclosed herein. This application is intended to cover any adaptations, uses, or adaptations of the disclosure following, in general, the principles of the disclosure and including such departures from the present disclosure as come within known or customary practice within the art to which the disclosure pertains. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the disclosure being indicated by the following claims.

Claims (15)

1. A method of fabricating a semiconductor device, comprising:
Providing a substrate with a silicon-containing surface;
Depositing a first material on the surface of the substrate, wherein the first material reacts with silicon on the surface of the substrate to form a cross-linked structure layer;
Forming a nickel nitride layer on the surface of the crosslinked structure layer;
And performing rapid heat treatment on the substrate, the cross-linked structure layer and the nickel nitride layer to form a nickel silicide film.
2. The method of claim 1, wherein forming the nickel nitride layer comprises:
And depositing a second material on the surface of the cross-linked structure layer under the action of a nitrogen-containing gas, wherein the second material comprises nickel ions, and the nickel ions react with the nitrogen-containing gas to generate the nickel nitride layer.
3. The method of claim 1, wherein the first material is holmium or platinum.
4. The method according to claim 1, wherein the thickness of the crosslinked structure layer is 3nm to 10nm.
5. The method of claim 2, wherein the nitrogen-containing gas is nitrogen and the second material is metallic nickel.
6. The method of claim 2, wherein the nitrogen-containing gas has a doping concentration of 3% to 4% during the deposition of the second material.
7. The method according to claim 1, wherein the thickness of the nickel nitride layer is 12nm to 20nm.
8. The method of claim 2, wherein after forming the nickel nitride layer, the method further comprises:
And removing the excess unreacted second material.
9. The method of any of claims 1-8, wherein prior to depositing the first material on the surface of the substrate, the method further comprises:
and preprocessing the surface of the substrate to remove impurities.
10. The method of claim 8, wherein the rapid thermal processing is performed at a temperature of 500 ℃ to 700 ℃.
11. A semiconductor device, comprising:
a silicon-containing substrate;
The nickel silicide film layer is positioned on the substrate;
and the cross-linked structure layer is positioned between the silicon-containing substrate and the nickel silicide film layer.
12. The semiconductor device according to claim 11, wherein the material of the crosslinked structure layer is holmium silicide or platinum silicide.
13. The semiconductor device according to claim 11, wherein the thickness of the crosslinked structure layer is 3nm to 10nm.
14. The semiconductor device according to claim 11, wherein the semiconductor device further comprises:
And the metal layer is arranged on the nickel silicide film layer.
15. The semiconductor device according to claim 14, wherein the semiconductor device further comprises:
the titanium nitride barrier layer is arranged between the metal layer and the nickel silicide film layer.
CN202310042090.XA 2023-01-11 2023-01-11 Semiconductor device and method for manufacturing the same Pending CN118368884A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202310042090.XA CN118368884A (en) 2023-01-11 2023-01-11 Semiconductor device and method for manufacturing the same
PCT/CN2023/093024 WO2024148724A1 (en) 2023-01-11 2023-05-09 Semiconductor device and manufacturing method therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310042090.XA CN118368884A (en) 2023-01-11 2023-01-11 Semiconductor device and method for manufacturing the same

Publications (1)

Publication Number Publication Date
CN118368884A true CN118368884A (en) 2024-07-19

Family

ID=91886474

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310042090.XA Pending CN118368884A (en) 2023-01-11 2023-01-11 Semiconductor device and method for manufacturing the same

Country Status (2)

Country Link
CN (1) CN118368884A (en)
WO (1) WO2024148724A1 (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006060045A (en) * 2004-08-20 2006-03-02 Toshiba Corp Semiconductor device
JP2007200941A (en) * 2006-01-23 2007-08-09 Renesas Technology Corp Semiconductor device and manufacturing method thereof
CN102723268A (en) * 2012-06-20 2012-10-10 上海华力微电子有限公司 Method for preparing self-aligned nickel-silicide
CN103579000B (en) * 2012-08-03 2016-09-21 中芯国际集成电路制造(上海)有限公司 A kind of manufacture method of semiconductor device

Also Published As

Publication number Publication date
WO2024148724A1 (en) 2024-07-18

Similar Documents

Publication Publication Date Title
US6171977B1 (en) Semiconductor device applied to composite insulative film manufacturing method thereof
US4804438A (en) Method of providing a pattern of conductive platinum silicide
US4056642A (en) Method of fabricating metal-semiconductor interfaces
JP3194971B2 (en) Apparatus for filtering process gas introduced into a CVD chamber before introduction into the CVD chamber
JP2937817B2 (en) Method of forming oxide film on semiconductor substrate surface and method of manufacturing MOS semiconductor device
US20040132304A1 (en) In situ plasma wafer bonding method
Ohmi et al. Segregation and removal of metallic impurity at interface of silicon and fluorine etchant
US7833427B2 (en) Electron beam etching device and method
JP3221381B2 (en) Method for manufacturing semiconductor device
CN111354838B (en) Solar cell, preparation method thereof and processing method of N-type doped silicon film
KR20170132223A (en) Deposition approach for emitter layer of solar cell
US20080038933A1 (en) Plasma and electron beam etching device and method
US20120138139A1 (en) Dry etching method of surface texture formation on silicon wafer
JPH11504469A (en) Use of titanium hydroxide in integrated circuit manufacturing.
US4243865A (en) Process for treating material in plasma environment
WO2011160819A2 (en) Method for fabrication of a back side contact solar cell
CN118368884A (en) Semiconductor device and method for manufacturing the same
EP0222795B1 (en) Polycide process in semiconductor fabrication
JP5936646B2 (en) Solar cell bypass diode with improved metal contact
CN101673675B (en) Method for implementing ohm contact below intrinsic gallium arsenide surface 77 K
JP2005159171A (en) Solar cell element and its manufacturing method
Georgiou et al. Thick Selective Electroless‐Plated Cobalt‐Nickel Alloy Contacts to CoSi2: I. Material Properties
CN117637701B (en) Semiconductor device and method for manufacturing the same
TWI752835B (en) Member of physical vapor deposition and method for cleaning electrostatic chuck
Pan et al. Formation and mechanism of dimple/pit on Si substrate during WSi x/poly-Si gate stack etch

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination