CN118171634A - 一种基于寄存器区域约束的时序优化方法、系统、设备及介质 - Google Patents

一种基于寄存器区域约束的时序优化方法、系统、设备及介质 Download PDF

Info

Publication number
CN118171634A
CN118171634A CN202410427054.XA CN202410427054A CN118171634A CN 118171634 A CN118171634 A CN 118171634A CN 202410427054 A CN202410427054 A CN 202410427054A CN 118171634 A CN118171634 A CN 118171634A
Authority
CN
China
Prior art keywords
register
time sequence
constraint
path
layout area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202410427054.XA
Other languages
English (en)
Inventor
陆倍倍
姚远
李正光
周辰阳
朱文瀚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jiusi Technology Wuxi Co ltd
Original Assignee
Jiusi Technology Wuxi Co ltd
Filing date
Publication date
Application filed by Jiusi Technology Wuxi Co ltd filed Critical Jiusi Technology Wuxi Co ltd
Publication of CN118171634A publication Critical patent/CN118171634A/zh
Pending legal-status Critical Current

Links

Abstract

本发明公开了一种基于寄存器区域约束的时序优化方法、系统、设备及介质,涉及集成电路物理设计技术领域,所述时序优化方法包括以下具体步骤:S1、对所有路径进行时序分析以找出违例路径;S2、对所述违例路径上的寄存器单元进行定位,得到寄存器单元的位置坐标,其中,所述寄存器单元包括第一寄存器和第二寄存器;S3、对所述第一寄存器和所述第二寄存器的布局区域约束进行创建;S4、根据所述布局区域约束完成时序优化。本申请解决了时序修复中减小线延迟方法存在局限性的技术问题,其技术方案要点是通过约束寄存器单元的布局区域,可以有效地减小时序违例路径的互连线长度,不仅可以降低线延迟,修复时序违例,同时也可以减小信号过渡时间,从而减少缓冲器单元的插入,在一定程度上减小芯片使用面积和降低功耗。

Description

一种基于寄存器区域约束的时序优化方法、系统、设备及介质
技术领域
本申请涉及集成电路物理设计技术领域,尤其涉及一种基于寄存器区域约束的时序优化方法、系统、设备及介质。
背景技术
在数字芯片物理设计中,时序是设计人员需要保证的最关键的指标之一。只有芯片的布局布线满足时序约束,数据才能被正确地锁存和传递,芯片才能实现正确的逻辑功能。简单来说,数据的处理和传输可以理解为,数据从寄存器出发经过一系列组合运算之后被保存到下一级寄存器中,等待系统的进一步指令。时钟触发了寄存器的存储和输出动作,因此在有效时钟沿到来之前和之后的一段时间里,数据信号需要在寄存器的输入端保持稳定,这两段时间分别被定义为建立时间和保持时间。如今数字化的时代浪潮之下,人们对芯片的算力要求激增,芯片的工作频率越来越高,意味着数据信号要在很短的时间内通过组合逻辑到达下一级寄存器的输入端,这对建立时间的时序设计提出了新的挑战。在如此的背景下,能否快速、有效地修复时序违例成为芯片研发成功的决定性因素。
建立时间的时序修复主要从降低数据路径延迟的角度入手。众所周知,路径延迟由单元延迟和线延迟组成,降低单元延迟可以通过更换阈值电压更小、驱动能力更大的单元来实现,这种方法的确可以起到一定作用,但与此同时也存在一些弊端,比如改变阈值电压和驱动能力对单元延迟的影响比较有限,使用过多的低阈值电压单元和大驱动单元可能会增大功耗。如今纳米级的先进制造工艺下,线延迟在路径延迟中的占比越来越高,而通过减小单元延迟来修复时序违例的空间越来越小。在以往的芯片物理设计中,主要通过增大线宽和使用高层走线来减小线延迟,但是这种方式以牺牲绕线资源作为代价,具有一定的局限性。如何通过减小数据路径的长度来降低线延迟是本申请旨在解决的关键问题,这对先进工艺下的超大规模集成电路设计来说具有十分重要的现实意义。
发明内容
本申请提供了一种基于寄存器区域约束的时序优化方法、系统、设备及介质,其技术目的是通过减小数据路径的长度来降低线延迟,从而快速有效地修复时序违例。
本申请的上述技术目的是通过以下技术方案得以实现的:
一种基于寄存器区域约束的时序优化方法,包括:
步骤S1:对所有路径进行时序分析以找出违例路径;
步骤S2:对所述违例路径上的寄存器单元进行定位,得到寄存器单元的位置坐标;其中,所述寄存器单元包括第一寄存器和第二寄存器;
步骤S3:对所述第一寄存器和所述第二寄存器的布局区域约束进行创建;
步骤S4:根据所述布局区域约束完成时序优化。
进一步地,所述步骤S3包括:
S31:对违例路径上建立时间的时序违例值S0进行计算,并对违例路径上逻辑单元的数量及位置信息进行获取,得到所述第一寄存器和所述第二寄存器之间存在的i个逻辑单元,则i个逻辑单元共有i+1条互连线;
S32:根据逻辑单元的位置信息对每条互连线的长度进行计算并将其表示为L0,L1,...,Li,则互连线延迟表示为:Dj=kj*Lj 2,其中,j=0,1,...,i,kj表示第j个互连线的延迟系数;
S33:所述第一寄存器和所述第二寄存器的位置坐标分别为(x1,y1)和(x2,y2),则互连线的总长度近似为|x2-x1|+|y2-y1|,则创建的所述布局区域约束表示为:
其中,(x,y)表示所述布局区域约束的中心点坐标;W和H分别表示布局区域约束的宽度和高度,满足式(2)的最大整数值W和H即为所述布局约束区域创建的尺寸条件;表示互连线长度的缩减因子。
进一步地,所述步骤S4包括:
步骤S41:对在所述布局区域约束下重新布局布线后的路径进行时序分析,对是否产生新的时序违例进行判断,若是转至步骤S42,若否则完成时序优化;
步骤S42:在满足式(2)的前提下,保持式(1)的中心点坐标(x,y)不变,取更大的W和H的值,将布局区域约束的边界扩大,得到新的布局区域约束,在新的布局区域约束的基础上重新进行布局布线,迭代优化直至时序满足为止。
进一步地,所述步骤S1包括:输入设计的物理信息文件、时序库文件和寄生参数库文件,工具基于特定的时序模型对单元延迟和线延迟进行计算,然后根据预设的约束文件,对每条路径在最大延迟端角下的建立时间余量进行计算,若建立时间余量为负则该建立时间余量对应的路径为违例路径,输出违例路径信息,并在时序报告中找出违例路径。
一种基于寄存器区域约束的时序优化系统,该时序优化系统包括:
分析单元,对所有路径进行时序分析以找出违例路径;
定位单元,对所述违例路径上的寄存器单元进行定位,得到寄存器单元的位置坐标;其中,所述寄存器单元包括第一寄存器和第二寄存器;
创建单元,对所述第一寄存器和所述第二寄存器的布局区域约束进行创建;
优化单元,根据所述布局区域约束完成时序优化。
进一步地,所述创建单元用于:
对违例路径上建立时间的时序违例值S0进行计算,并对违例路径上逻辑单元的数量及位置信息进行获取,得到所述第一寄存器和所述第二寄存器之间存在的i个逻辑单元,则i个逻辑单元共有i+1条互连线;
根据逻辑单元的位置信息对每条互连线的长度进行计算并将其表示为L0,L1,...,Li,则互连线延迟表示为:Dj=kj*Lj 2,其中,j=0,1,...,i,kj表示第j个互连线的延迟系数;
所述第一寄存器和所述第二寄存器的位置坐标分别为(x1,y1)和(x2,y2),则互连线的总长度近似为|x2-x1|+|y2-y1|,则创建的所述布局区域约束表示为:
其中,(x,y)表示所述布局区域约束的中心点坐标;W和H分别表示布局区域约束的宽度和高度,满足式(2)的最大整数值W和H即为所述布局约束区域创建的尺寸条件;表示互连线长度的缩减因子。
进一步地,所述优化单元用于:
对在所述布局区域约束下重新布局布线后的路径进行时序分析,对是否产生新的时序违例进行判断,若是转至步骤S42,若否则完成时序优化;
步骤S42:在满足式(2)的前提下,保持式(1)的中心点坐标(x,y)不变,取更大的W和H的值,将布局区域约束的边界扩大,得到新的布局区域约束,在新的布局区域约束的基础上重新进行布局布线,迭代优化直至时序满足为止。
进一步地,所述分析单元用于:输入设计的物理信息文件、时序库文件和寄生参数库文件,工具基于特定的时序模型对单元延迟和线延迟进行计算,然后根据预设的约束文件,对每条路径在最大延迟端角下的建立时间余量进行计算,若建立时间余量为负则该建立时间余量对应的路径为违例路径,输出违例路径信息,并在时序报告中找出违例路径。
一种计算机设备,包括存储器、处理器以及存储在所述存储器中并可在所述处理器上运行的计算机程序,其特征在于,所述处理器执行所述计算机程序时实现时序优化方法的步骤。
一种计算机存储介质,所述计算机存储介质存储有计算机程序,所述计算机程序被处理器执行时实现时序优化方法的步骤。
本申请的有益效果在于:本申请通过约束寄存器单元的布局区域,可以有效地减小时序违例路径的互连线长度,不仅可以降低线延迟,解决时序违例问题,同时也可以减小信号过渡时间,从而减少缓冲器单元的插入,在一定程度上减小芯片使用面积和降低功耗。另外,对于一些时序容易满足的低频信号或非关键路径,通过本申请可以使用时序余量来解决LVS违例或DRC违例,例如某个区域的单元密度太高,布线资源紧张时,对该区域内低频时钟域或非关键路径下的寄存器使用布局区域约束,将其移动到附近布线资源相对丰富的区域,可以有效地解决LVS和DRC问题。
附图说明
图1为本申请实施例中基于寄存器区域约束的时序优化方法的流程图;
图2为本申请实施例中时序违例路径的建立时间余量计算示意图;
图3为本申请实施例中时序违例路径的寄存器区域约束示意图;
图4为本申请实施例中时序优化后的建立时间余量计算示意图;
图5为本申请实施例中时序优化后的保持时间余量计算示意图。
具体实施方式
本申请所述的基于寄存器区域约束的时序优化方法、系统、设备及介质适用于单元布局之后产生时序违例的任何阶段。下面结合优选实施例,对本发明进行详细说明,该详细说明不应认为是对本发明的限制,而应理解为是对本发明的某些方面、特性和实施方案的更详细的描述。应理解本发明中所述的术语仅仅是为描述特别的实施方式,并非用于限制本发明。除非另有说明,否则本文使用的所有技术和科学术语具有本发明所述领域的常规技术人员通常理解的相同含义。
如图1所示,本申请所述的基于寄存器区域约束的时序优化方法,包括:
步骤S1:对时序违例进行时序分析以找出违例路径。
本申请实施例中,以时钟树综合之后出现建立时间违例的情景作为具体分析案例。
在ICC2中,输入设计的物理信息文件、时序库文件和寄生参数库文件,工具基于非线性延迟模型和全局布线模型计算单元延迟和互连线延迟,再根据预设的约束文件计算每条路径的时序余量。如图2所示,观察寄存器DFF1到寄存器DFF2这条路径,在最大延迟端角下,DFF1/CLK端口到DFF2/D端口延迟为5.8ns,时钟发起路径的延迟为2ns,时钟捕获路径的延迟为2.05ns,时钟的共同路径延迟为1.2ns。DFF的建立时间均为0.35ns,最大延迟工艺端角下,片上误差的时序修饰因子为early路径的0.95和late路径的1.05,因此这条路径的建立时间余量=0.85*0.95+5-0.35-(0.8+6.2)*1.05=-1.8925ns,产生建立时间违例,工具输出相应的时序违例路径报告。
步骤S2:对所述违例路径上的寄存器单元进行定位,得到寄存器单元的位置坐标;其中,所述寄存器单元包括第一寄存器和第二寄存器。
具体地,查看时序违例路径报告,根据违例路径的起始点寄存器和终止点寄存器的名称,在布局布线工具的图形化界面中定位出来。
步骤S3:对所述第一寄存器和所述第二寄存器的布局区域约束进行创建。
具体地,步骤S3包括:
S31:对违例路径上建立时间的时序违例值S0进行计算,并对违例路径上逻辑单元的数量及位置信息进行获取,得到所述第一寄存器和所述第二寄存器之间存在的i个逻辑单元,则i个逻辑单元共有i+1条互连线。
S32:根据逻辑单元的位置信息对每条互连线的长度进行计算并将其表示为L0,L1,...,Li,则互连线延迟表示为:Dj=kj*Lj 2,其中,j=0,1,...,i,kj表示第j个互连线的延迟系数。
延迟系数kj包含了布线层次以及周围的布线环境等因素,本申请实施例中假设时序优化前后的布线环境不变,即延迟系数不变。
S33:所述第一寄存器和所述第二寄存器的位置坐标分别为(x1,y1)和(x2,y2),在布线不绕远的情况下,互连线的总长度近似为|x2-x1|+|y2-y1|。
通常设置的布局区域约束形状为矩形,设矩形的中心点坐标为(x,y),宽度和高度分别为W和H,满足W/H=|x2-x1|/|y2-y1|。在创建布局区域约束时应考虑最严格的情况,即两个寄存器位于矩形的对角端点处,此时互连线长度之和最大,可近似为W+H,因此可定义互连线长度的缩减因子A=(W+H)/(|x2-x1|+|y2-y1|)。在创建布局区域约束需要满足的两个要点是:(1)通过降低互连线长度减小的延迟要大于当前路径的时序违例值;(2)布局区域约束选择在DFF1和DFF2的中间位置,避免前后级路径出现建立时间违例。
设时序优化后每一段互连线长度为L′0,L′1,...,L′i,对应的延迟大小分别为D′0,D′1,...,D′i,因此L′j=A*Lj,D′j=kj*L′j 2=kj*(A*Lj)2=A2*Dj,则创建的所述布局区域约束表示为:
其中,(x,y)表示所述布局区域约束的中心点坐标;W和H分别表示布局区域约束的宽度和高度,满足式(2)的最大整数值W和H即为所述布局约束区域创建的尺寸条件;表示互连线长度的缩减因子。
式(2)可变换为:
即:
即:(D0+D1+…+Di)-(D′0+D′1+…+D′i)>S0则式(2)即表示通过时序优化减小的路径延迟要大于违例路径的时序违例值S0
本申请实施例中,由步骤S1可知,建立时间违例值S0=1.8925ns,寄存器DFF1和DFF2在图3中优化前的位置用虚线表示,获取它们的位置坐标分别为(920,1000)和(1000,1080),DFF1和DFF2之间有2个逻辑门,3段互连线的长度分别为L0=45um,L1=55um,L2=50um,在最大延迟的工艺端角下,报告对应的线延迟大小分别为D0=0.6ns,D1=0.85ns,D2=0.7ns。因此,|x2-x1|=80,|y2-y1|=80,W=H,布局区域约束的中心点坐标为(960,1040),根据不等式计算可得W<27.7,取最大整数值W=27,因此在(960,1040)点处创建边长为27um的正方形布局区域约束。
步骤S4:根据所述布局区域约束完成时序优化。
具体地,所述步骤S4包括:
步骤S41:对在所述布局区域约束下重新布局布线后的路径进行时序分析,对是否产生新的时序违例进行判断,若是转至步骤S42,若否则完成时序优化。
步骤S42:一般而言,若产生新的时序问题,通常是由于上述布局区域约束把两个寄存器拉得太近,而该数据路径的建立时间时序余量不够,产生建立时间违例。因此,在满足式(2)的前提下,保持式(1)的中心点坐标(x,y)不变,取更大的W和H的值,将布局区域约束的边界扩大,得到新的布局区域约束,在新的布局区域约束的基础上重新进行布局布线,迭代优化直至时序满足为止。
具体地,如图4所示,经过布局区域约束的重新布局后,原时序违例路径的建立时间余量变成0.3315ns,同时,检查前后级路径的建立时间时序余量分别为1.009ns和0.436ns。继续检查原时序违例路径的保持时间余量,如图5所示,经过寄存器的重新布局后,原时序违例路径的保持时间余量从1.668ns变为1.042ns,没有产生时序违例,因此可以认为该时序优化方案通过。
本申请所述的基于寄存器区域约束的时序优化系统,包括分析单元、定位单元、创建单元和优化单元。分析单元用于对时序违例进行时序分析以找出违例路径。定位单元用于对所述违例路径上的寄存器单元进行定位,得到寄存器单元的位置坐标;其中,所述寄存器单元包括第一寄存器和第二寄存器。创建单元用于对所述第一寄存器和所述第二寄存器的布局区域约束进行创建。优化单元用于根据所述布局区域约束完成时序优化。
以上为本申请示范性实施例,本申请的保护范围由权利要求书及其等效物限定。

Claims (10)

1.一种基于寄存器区域约束的时序优化方法,其特征在于,包括:
步骤S1:对所有路径进行时序分析以找出违例路径;
步骤S2:对所述违例路径上的寄存器单元进行定位,得到寄存器单元的位置坐标;其中,所述寄存器单元包括第一寄存器和第二寄存器;
步骤S3:对所述第一寄存器和所述第二寄存器的布局区域约束进行创建;
步骤S4:根据所述布局区域约束完成时序优化。
2.如权利要求1所述的时序优化方法,其特征在于,所述步骤S3包括:
S31:对违例路径上建立时间的时序违例值S0进行计算,并对违例路径上逻辑单元的数量及位置信息进行获取,得到所述第一寄存器和所述第二寄存器之间存在的i个逻辑单元,则i个逻辑单元共有i+1条互连线;
S32:根据逻辑单元的位置信息对每条互连线的长度进行计算并将其表示为L0,L1,...,Li,则互连线延迟表示为:Dj=kj*Lj 2,其中,j=0,1,...,i,kj表示第j个互连线的延迟系数;
S33:所述第一寄存器和所述第二寄存器的位置坐标分别为(x1,y1)和(x2,y2),则互连线的总长度近似为|x2-x1|+|y2-y1|,则创建的所述布局区域约束表示为:
其中,(x,y)表示所述布局区域约束的中心点坐标;W和H分别表示布局区域约束的宽度和高度,满足式(2)的最大整数值W和H即为所述布局约束区域创建的尺寸条件;表示互连线长度的缩减因子。
3.如权利要求2所述的时序优化方法,其特征在于,所述步骤S4包括:
步骤S41:对在所述布局区域约束下重新布局布线后的路径进行时序分析,对是否产生新的时序违例进行判断,若是转至步骤S42,若否则完成时序优化;
步骤S42:在满足式(2)的前提下,保持式(1)的中心点坐标(x,y)不变,取更大的W和H的值,将布局区域约束的边界扩大,得到新的布局区域约束,在新的布局区域约束的基础上重新进行布局布线,迭代优化直至时序满足为止。
4.如权利要求3所述的时序优化方法,其特征在于,所述步骤S1包括:输入设计的物理信息文件、时序库文件和寄生参数库文件,工具基于特定的时序模型对单元延迟和线延迟进行计算,然后根据预设的约束文件,对每条路径在最大延迟端角下的建立时间余量进行计算,若建立时间余量为负则该建立时间余量对应的路径为违例路径,输出违例路径信息,并在时序报告中找出违例路径。
5.一种基于寄存器区域约束的时序优化系统,该时序优化系统用于实现权利要求1-4任一所述的时序优化方法,其特征在于,该时序优化系统包括:
分析单元,对所有路径进行时序分析以找出违例路径;
定位单元,对所述违例路径上的寄存器单元进行定位,得到寄存器单元的位置坐标;其中,所述寄存器单元包括第一寄存器和第二寄存器;
创建单元,对所述第一寄存器和所述第二寄存器的布局区域约束进行创建;
优化单元,根据所述布局区域约束完成时序优化。
6.如权利要求1所述的时序优化系统,其特征在于,所述创建单元用于:
对违例路径上建立时间的时序违例值S0进行计算,并对违例路径上逻辑单元的数量及位置信息进行获取,得到所述第一寄存器和所述第二寄存器之间存在的i个逻辑单元,则i个逻辑单元共有i+1条互连线;
根据逻辑单元的位置信息对每条互连线的长度进行计算并将其表示为L0,L1,...,Li,则互连线延迟表示为:Dj=kj*Lj 2,其中,j=0,1,...,i,kj表示第j个互连线的延迟系数;
所述第一寄存器和所述第二寄存器的位置坐标分别为(x1,y1)和(x2,y2),则互连线的总长度近似为|x2-x1|+|y2-y1|,则创建的所述布局区域约束表示为:
其中,(x,y)表示所述布局区域约束的中心点坐标;W和H分别表示布局区域约束的宽度和高度,满足式(2)的最大整数值W和H即为所述布局约束区域创建的尺寸条件;表示互连线长度的缩减因子。
7.如权利要求1所述的时序优化系统,其特征在于,所述优化单元用于:
对在所述布局区域约束下重新布局布线后的路径进行时序分析,对是否产生新的时序违例进行判断,若是转至步骤S42,若否则完成时序优化;
步骤S42:在满足式(2)的前提下,保持式(1)的中心点坐标(x,y)不变,取更大的W和H的值,将布局区域约束的边界扩大,得到新的布局区域约束,在新的布局区域约束的基础上重新进行布局布线,迭代优化直至时序满足为止。
8.如权利要求1所述的时序优化系统,其特征在于,所述分析单元用于:输入设计的物理信息文件、时序库文件和寄生参数库文件,工具基于特定的时序模型对单元延迟和线延迟进行计算,然后根据预设的约束文件,对每条路径在最大延迟端角下的建立时间余量进行计算,若建立时间余量为负则该建立时间余量对应的路径为违例路径,输出违例路径信息,并在时序报告中找出违例路径。
9.一种计算机设备,包括存储器、处理器以及存储在所述存储器中并可在所述处理器上运行的计算机程序,其特征在于,所述处理器执行所述计算机程序时实现如权利要求1-4中任一所述的时序优化方法的步骤。
10.一种计算机存储介质,所述计算机存储介质存储有计算机程序,其特征在于,所述计算机程序被处理器执行时实现如权利要求1-4中任一所述的时序优化方法的步骤。
CN202410427054.XA 2024-04-10 一种基于寄存器区域约束的时序优化方法、系统、设备及介质 Pending CN118171634A (zh)

Publications (1)

Publication Number Publication Date
CN118171634A true CN118171634A (zh) 2024-06-11

Family

ID=

Similar Documents

Publication Publication Date Title
US7467367B1 (en) Method and system for clock tree synthesis of an integrated circuit
US8266569B2 (en) Identification of critical enables using MEA and WAA metrics
US20050268268A1 (en) Methods and systems for structured ASIC electronic design automation
US20100153897A1 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce leakage power in an electronic circuit and electronic design automation tool incorporating the same
US8713506B2 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce dynamic power in an electronic circuit and an apparatus incorporating the same
US10878157B2 (en) Variant cell height integrated circuit design
US8151229B1 (en) System and method of computing pin criticalities under process variations for timing analysis and optimization
US11003821B1 (en) Deterministic loop breaking in multi-mode multi-corner static timing analysis of integrated circuits
US10223485B2 (en) Reliability verification based on combining voltage propagation with simulation
US9064073B2 (en) Hyper-concurrent optimization over multi-corner multi-mode scenarios
JP2010257164A (ja) 半導体集積回路装置の設計方法およびプログラム
Hsu et al. Crosstalk-aware power optimization with multi-bit flip-flops
US10133842B1 (en) Methods, systems, and articles of manufacture for multi-mode, multi-corner physical optimization of electronic designs
US8776003B2 (en) System and method for employing side transition times from signoff-quality timing analysis information to reduce leakage power in an electronic circuit and an electronic design automation tool incorporating the same
US10896283B1 (en) Noise-based optimization for integrated circuit design
CN118171634A (zh) 一种基于寄存器区域约束的时序优化方法、系统、设备及介质
US20180052951A1 (en) Acceleration Of Voltage Propagation Based On Device Chain Reduction
US8181143B2 (en) Method and apparatus for generating a memory-efficient representation of routing data
US8132141B2 (en) Method and apparatus for generating a centerline connectivity representation
Pasricha et al. Capps: A framework for power–performance tradeoffs in bus-matrix-based on-chip communication architecture synthesis
CN113536726A (zh) 用于最大瞬时峰值功率的矢量生成
US20150269304A1 (en) System and method for employing signoff-quality timing analysis information concurrently in multiple scenarios to reduce total power within a circuit design
US10534880B2 (en) Acceleration of voltage propagation based on local iteration
Lalgudi et al. Retiming edge-triggered circuits under general delay models
US10049174B2 (en) Exact delay synthesis

Legal Events

Date Code Title Description
PB01 Publication