CN118119733A - Dummy holes and mesh patches for diffusers - Google Patents

Dummy holes and mesh patches for diffusers Download PDF

Info

Publication number
CN118119733A
CN118119733A CN202280070469.5A CN202280070469A CN118119733A CN 118119733 A CN118119733 A CN 118119733A CN 202280070469 A CN202280070469 A CN 202280070469A CN 118119733 A CN118119733 A CN 118119733A
Authority
CN
China
Prior art keywords
plate
diameter
pinhole
extending
back side
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280070469.5A
Other languages
Chinese (zh)
Inventor
金鍾允
威廉·内勒
元镐成
金大星
李相勳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN118119733A publication Critical patent/CN118119733A/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)

Abstract

The diffusion plate includes at least one of a dummy aperture, a first mesh patch coupled thereto, a second mesh patch coupled thereto, or a plurality of density control passages as shown and described herein. The dummy holes, the mesh patch, and the plurality of density control passages avoid uneven contours at corners of the processing chamber.

Description

Dummy holes and mesh patches for diffusers
Background
FIELD
Embodiments of the present disclosure generally relate to diffuser plate assemblies. More particularly, embodiments described herein relate to a diffuser plate and accessory parts coupled to the diffuser plate.
Description of related Art
Liquid crystal displays or flat panels are commonly used for active matrix displays such as computer and television monitors. Plasma Enhanced Chemical Vapor Deposition (PECVD) is commonly used to deposit thin films on substrates such as transparent glass substrates (for flat panels) or semiconductor wafers. PECVD is typically achieved by introducing a precursor gas or gas mixture into a vacuum chamber that houses the platen. The precursor gas or gas mixture is typically directed downward through a distribution plate located near the top of the chamber. The precursor gas or gas mixture in the chamber is energized (e.g., excited) into a plasma by applying Radio Frequency (RF) power to the chamber from one or more RF sources coupled to the chamber. The excited gas or gas mixture reacts to form a layer of material on the surface of a plate positioned on the temperature controlled substrate support. Volatile byproducts generated during the reaction are pumped out of the chamber through an exhaust system.
Plates processed by PECVD techniques are typically large, typically up to 2,940mmX3,370 mm in size, and exceeding 10 square meters. In particular, the size of the gas distribution plate used to provide a uniform process gas flow across the plate is relatively large compared to gas distribution plates used for 200mm and 300mm semiconductor wafer processing.
Large gas distribution plates for flat panel processing have many manufacturing problems, resulting in high manufacturing costs. For example, the diameter of the gas flow holes formed through the gas distribution plate is small relative to the thickness of the gas distribution plate, such as through 0.016 inch diameter holes of a 1.2 inch thick plate, resulting in a high frequency of drill bit breakage during hole formation. Removing the broken drill bit is time consuming and may result in the entire gas distribution plate being scrapped. In addition, since the number of gas flow holes formed through the gas distribution plate is proportional to the size of the flat plate, the large number of holes formed in each plate disadvantageously results in a high probability of failure during manufacturing of the plate. In addition, the caution and large number of holes required to minimize drill bit breakage results in long manufacturing times, thereby increasing manufacturing costs.
Accordingly, there is a need for an improved diffuser plate assembly.
Disclosure of Invention
Embodiments of the present disclosure provide a diffuser plate assembly. The diffusion plate assembly includes: a plate having a back side and a front side; a gas passage extending through the plate from the back side to the front side, the gas passage including a back side hole extending from the back side to a first depth of the plate, a pinhole extending from the back side hole at the first depth of the plate to a second depth of the plate, and a nose cone extending from the pinhole at the second depth of the plate to the front side; and a first dummy hole on a periphery of the board, the first dummy hole extending from the back side into the board without extending to the front side.
Embodiments of the present disclosure provide a diffuser plate assembly. The diffusion plate assembly includes: a plate having a back side and a front side; a gas passage extending through the plate from a back side to a front side, the gas passage including a back side hole extending from the back side to a first depth of the plate, a pinhole having a first length and extending from the back side hole at the first depth of the plate to a second depth of the plate, and a nose cone extending from the pinhole at the second depth of the plate to the front side; and a mesh patch on the back side of the plate.
Embodiments of the present disclosure provide a diffuser plate assembly. The diffusion plate assembly includes: a plate having a back side and a front side; a plurality of first gas passages extending through the plate from the back side to the front side, each of the plurality of first gas passages including a first backside hole extending from the back side into the plate, a first pinhole having a first length and extending from the first backside hole toward the front side, and a first nose cone extending from the first pinhole to the front side; and a plurality of second gas passages extending through the plate from the back side to the front side, each of the plurality of second gas passages including a second back side hole extending from the back side into the plate, a second pinhole having a second length and extending from the second back side hole toward the front side, wherein the second length is greater than the first length, and a second nose cone extending from the second pinhole to the front side.
Appendix description
So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
Drawings
The teachings of the present disclosure can be readily understood by considering the following detailed description in conjunction with the accompanying drawings.
Fig. 1 shows a schematic cross-sectional view of a bottom gate thin film transistor.
Fig. 2A is a schematic cross-sectional view of an illustrative processing chamber having one embodiment of a gas distribution plate assembly in accordance with embodiments described herein.
Fig. 2B illustrates a bottom view of an embodiment of a gas diffusion plate according to embodiments described herein.
Fig. 3 shows a schematic cross-sectional view of a gas diffusion plate.
Fig. 4A shows a schematic cross-sectional view of an embodiment of a gas diffusion plate according to embodiments described herein.
Fig. 4B illustrates a top view of a section of an exemplary embodiment of a gas diffusion plate according to embodiments described herein.
Fig. 4C shows a schematic cross-sectional view of a variation of the gas diffusion plate design of fig. 4A, according to embodiments described herein.
Fig. 5 illustrates a diffuser surface exposed to a process volume according to embodiments described herein.
Fig. 6 illustrates a process flow of depositing a thin film on a substrate in a process chamber having a gas diffusion plate and cleaning the process chamber according to embodiments described herein.
Fig. 7 shows a Secondary Ion Mass Spectrometer (SIMS) analysis of the fluorine content of SiN films designed according to fig. 3 and 4A, in accordance with embodiments described herein.
FIG. 8A illustrates a schematic cross-sectional view of a variation of the gas diffuser plate design of FIG. 4A for a thicker diffuser plate in accordance with embodiments described herein.
FIG. 8B illustrates a schematic cross-sectional view of another variation of the gas diffusion plate design of FIG. 8A in accordance with embodiments described herein.
Fig. 9 shows a schematic cross-sectional view of another variation of the gas diffusion plate design of fig. 8A with dummy holes, according to embodiments described herein.
Fig. 10A illustrates a top view of an embodiment of a diffuser plate according to embodiments described herein.
Fig. 10B illustrates a cross-sectional view of an embodiment of a gas diffusion plate having a first mesh patch according to embodiments described herein.
Fig. 10C illustrates a cross-sectional view of an embodiment of a diffuser plate with a second mesh patch according to embodiments described herein.
Fig. 10D shows a top view of an embodiment of a second mesh patch according to embodiments described herein.
Fig. 11A and 11B are schematic top views of a plurality of gas passages according to embodiments described herein.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
Detailed Description
The present disclosure generally provides a gas distribution plate assembly for providing gas delivery within a process chamber. The present disclosure is described schematically below with reference to a plasma enhanced vapor deposition system configured to process large area substrates, such as a plasma enhanced vapor deposition (PECVD) system available from AKT, an applied materials division, santa Clara, calif. However, it should be appreciated that the subject matter may be used in other system configurations, such as etching systems, other chemical vapor deposition systems, and any other system in which it is desirable to distribute a gas within a processing chamber, including those configured to process round substrates. Please see the appendix.
Fig. 1 shows a schematic cross-sectional view of a Thin Film Transistor (TFT) structure 100. A common TFT structure is the Back Channel Etch (BCE) inverted staggered (or bottom gate) TFT structure shown in fig. 1. The BCE process is preferred because the gate dielectric (SiN) and the intrinsic and n + doped amorphous silicon films can be deposited in the same PECVD down pumping experiment. The BCE process shown here involves only 4 patterned masks. The substrate 101 may comprise a material that is substantially optically transparent in the visible spectrum, such as glass or transparent plastic. The substrate may have different shapes or dimensions. Typically, for TFT applications, the substrate is a glass substrate having a surface area greater than about 500mm 2. A gate electrode layer 102 is formed on a substrate 101. The gate electrode layer 102 includes a conductive layer that controls the movement of charge carriers within the TFT. The gate electrode layer 102 may include a metal, such as aluminum (Al), tungsten (W), chromium (Cr), tantalum (Ta), or a combination thereof, or the like. The gate electrode layer 102 may be formed using conventional deposition, photolithography, and etching techniques. Between the substrate 101 and the gate electrode layer 102, there may be an optional insulating material, such as silicon dioxide (SiO 2) or silicon nitride (SiN), which may also be formed using the embodiments of the PECVD system described in this disclosure. The gate electrode layer 102 is then patterned and etched by photolithography using conventional techniques to define a gate electrode.
A gate dielectric layer 103 is formed on the gate electrode layer 102. The gate dielectric layer 103 may be silicon dioxide (SiO 2), silicon oxynitride (SiON), or silicon nitride (SiN), which is deposited using embodiments of the PECVD system described in this disclosure. Gate dielectric layer 103 may be formed to be aboutTo about/> A thickness in the range.
A bulk semiconductor layer 104 is formed on the gate dielectric layer 103. The bulk semiconductor layer 104 may comprise polysilicon (polycrystalline silicon/polysilicon) or amorphous silicon (a-Si) and is deposited using embodiments of the PECVD system described in this disclosure or other conventional methods known in the art. Bulk semiconductor layer 104 may be deposited to aboutTo about/>Is a thickness of (c). A doped semiconductor layer 105 is formed on top of the semiconductor layer 104. The doped semiconductor layer 105 may comprise n-type (n+) or p-type (p+) doped polycrystalline (polysilicon) or amorphous silicon (a-Si) and may be deposited using embodiments of the PECVD system described in the present disclosure or other conventional methods known in the art. The doped semiconductor layer 105 may be deposited to a depth of about/>To about/>A thickness in the range. An example of a doped semiconductor layer 105 is an n+ doped a-Si film. The bulk semiconductor layer 104 and doped semiconductor layer 105 are patterned and etched by photolithography using conventional techniques to define mesas of these two films over a gate dielectric insulator, which also serves as a storage capacitor dielectric. The doped semiconductor layer 105 is in direct contact with portions of the bulk semiconductor layer 104, thereby forming a semiconductor junction.
A conductive layer 106 is then deposited on the exposed surface. The conductive layer 106 may include a metal, such as aluminum (Al), tungsten (W), molybdenum (Mo), chromium (Cr), tantalum (Ta), combinations thereof, and the like. Conductive layer 106 may be formed using conventional deposition techniques. Both the conductive layer 106 and the doped semiconductor layer 105 may be patterned by photolithography to define the source-drain contacts of the TFT. Thereafter, a passivation layer 107 may be deposited. The passivation layer 107 conformally coats the exposed surface. Passivation layer 107 is typically an insulator and may comprise, for example, silicon dioxide (SiO 2) or silicon nitride (SiN). Passivation layer 107 may be formed using, for example, PECVD or other conventional methods known in the art. Passivation layer 107 may be deposited to a temperature of aboutTo about/>A thickness in the range. The passivation layer 107 is then patterned and etched by photolithography using conventional techniques to open contact holes in the passivation layer.
A transparent conductor layer 108 is then deposited and patterned to contact the conductive layer 106. The transparent conductor layer 108 comprises a material that is substantially optically transparent and electrically conductive in the visible spectrum. The transparent conductor layer 108 may include, for example, indium Tin Oxide (ITO) or zinc oxide, or the like. Patterning of the transparent conductor layer 108 is accomplished by conventional photolithographic and etching techniques.
Doped or undoped (intrinsic) amorphous silicon (a-Si), silicon dioxide (SiO 2), silicon oxynitride (SiON) and silicon nitride (SiN) films for liquid crystal displays (or flat panels) may be deposited using embodiments of the Plasma Enhanced Chemical Vapor Deposition (PECVD) system described in the present disclosure.
FIG. 2A is a schematic cross-sectional view of one embodiment of a plasma enhanced vapor deposition system 200 commercially available from AKT, an applied materials division of Santa Clara, calif. The system 200 generally includes a process chamber 202 coupled to a gas source 204. The process chamber 202 has a wall 206 and a bottom 208 that partially define a process volume 212. The process volume 212 is typically accessed through a port (not shown) in the wall 206 that facilitates movement of the substrate 240 into and out of the process chamber 202. The walls 206 and bottom 208 are typically made from a unitary block of aluminum or other process compatible material. The wall 206 supports a lid assembly 210 that includes a pumping plenum 214, the pumping plenum 214 coupling a process volume 212 with an exhaust port (including various pumping components, not shown).
A temperature controlled substrate support assembly 238 is disposed in the center of the process chamber 202. The substrate support assembly 238 supports a substrate 240 during processing. In one embodiment, the substrate support assembly 238 includes an aluminum body 224 encapsulating at least one embedded heater 232. A heater 232, such as a resistive element, disposed in the substrate support assembly 238 is coupled to the optional power source 274 and controllably heats the substrate support assembly 238 and the substrate 240 positioned thereon to a predetermined temperature. Generally, in a CVD process, the heater 232 maintains the substrate 240 at a uniform temperature between about 150 degrees celsius and at least about 460 degrees celsius, depending on the deposition process parameters of the material being deposited.
Generally, the substrate support assembly 238 has an underside 226 and an upper side 234. The upper side 234 supports a substrate 240. The underside 226 has a stem 242 coupled thereto. The handle 242 couples the substrate support assembly 238 to a lift system (not shown) that moves the substrate support assembly 238 between a raised processing position (as shown) and a lowered position, which facilitates transfer of substrates to and from the processing chamber 202. Handles 242 also provide conduits for electrical and thermocouple wires between substrate support assembly 238 and other components of system 200.
A bellows 246 is coupled between the substrate support assembly 238 (or handle 242) and the bottom 208 of the processing chamber 202. The bellows 246 provides a vacuum seal between the process volume 212 and the atmosphere outside the process chamber 202 while facilitating vertical movement of the substrate support assembly 238.
The substrate support assembly 238 is typically grounded such that RF power supplied by the power source 222 to the gas distribution plate assembly 218 located between the lid assembly 210 and the substrate support assembly 238 (or other electrode located within or near the lid assembly of the chamber) may excite the gases present in the process volume 212 between the substrate support assembly 238 and the gas distribution plate assembly 218. The RF power from the power source 222 is generally selected to be commensurate with the size of the substrate to drive the chemical vapor deposition process.
The substrate support assembly 238 also supports an circumscribing shadow frame 248. Generally, shadow frame 248 prevents deposition at the edges of substrate 240 and substrate support assembly 238 so that the substrate does not stick to substrate support assembly 238. The substrate support assembly 238 has a plurality of holes 228 disposed therethrough that receive a plurality of lift pins 250. Lifter 250 is typically constructed of ceramic or anodized aluminum oxide. The lift pins 250 may be actuated relative to the substrate support assembly 238 by an optional lift plate 254 to protrude from the support surface 230 to place the substrate in spaced apart relation to the substrate support assembly 238.
The lid assembly 210 provides an upper boundary for a process volume 212. The lid assembly 210 may be generally removed or opened to maintain the process chamber 202. In one embodiment, the cap assembly 210 is made of aluminum (Al). The cap assembly 210 includes a pumping plenum 214 formed therein and coupled to an external pumping system (not shown). The pumping plenum 214 is used to uniformly direct gases and process byproducts from the process volume 212 out of the process chamber 202.
The lid assembly 210 generally includes an inlet port 280 through which the process gas provided by the gas source 204 is introduced into the process chamber 202. The inlet port 280 is also coupled to a cleaning source 282. The cleaning source 282 typically provides a cleaning agent, such as separated fluorine, to introduce the cleaning agent into the process chamber 202 to remove deposition byproducts and films from the process chamber hardware including the gas distribution plate assembly 218.
The gas distribution plate assembly 218 is coupled to an interior side 220 of the lid assembly 210. The gas distribution plate assembly 218 is generally configured to substantially follow the contour of the substrate 240, e.g., polygonal for large area flat panel substrates and circular for wafers. The gas distribution plate assembly 218 includes a perforated area 216 through which the process and other gases supplied from the gas source 204 are delivered to the process volume 212. The perforated area 216 of the gas distribution plate assembly 218 is configured to provide uniform distribution of gas entering the process chamber 202 through the gas distribution plate assembly 218. Commonly assigned U.S. patent application Ser. No. 09/922,219, published as U.S. patent 6,772,827, filed by Keller et al at 8/2001; 10/140,324 submitted by Blonigan et al, 5/6 of 2002; and 10/337,483 submitted on 1/7/2003; U.S. Pat. No. 6,477,980, filed by White et al at 11/12 2002; and U.S. patent application Ser. No. 10/417,592, filed by Choi et al at 16 of 4/2003, which is incorporated herein by reference in its entirety, describes a gas distribution plate that may be adapted to benefit from the present disclosure.
The gas distribution plate assembly 218 generally includes a gas diffusion plate 258 suspended from a hanger plate 260. The gas diffuser plate 258 and hanger plate 260 may alternatively comprise a single integral element. A plurality of gas passages 262 are formed through the gas diffuser plate 258 to allow a predetermined distribution of gas to pass through the gas distribution plate assembly 218 and into the process volume 212. The hanger plate 260 maintains the gas diffuser plate 258 and the interior volume side 220 of the lid assembly 210 in a spaced apart relationship, thereby defining a plenum 264 therebetween. The plenum 264 allows the gas flowing through the lid assembly 210 to be uniformly distributed across the width of the gas diffuser plate 258 such that the gas is uniformly provided over the center of the perforated region 216 and flows uniformly through the gas passages 262.
The gas diffusion plate 258 is typically made of stainless steel, aluminum (Al), anodized aluminum, nickel (Ni), or other RF conductive material. The gas diffuser plate 258 is configured to have a thickness that maintains sufficient flatness over the apertures 266 so as not to adversely affect substrate processing. In one embodiment, the thickness of the gas diffusion plate 258 is between about 1.0 inch and about 2.0 inches. The gas diffusion plate 258 may be circular for semiconductor wafer fabrication or polygonal, such as rectangular, for flat panel display fabrication.
Fig. 2B shows an example of a gas diffuser plate 258 for flat panel display applications, the gas diffuser plate 258 being rectangular with a width 290 of about 30 inches and a length 292 of about 36 inches. The diffuser hole sizes, the diffuser hole spacing, and the diffuser plates are not drawn to scale in fig. 2B.
Fig. 3 is a partial cross-sectional view of a gas diffuser plate 258 according to another example. For example, for a 1080in 2 (e.g., 30 inches by 36 inches) diffuser, the gas diffuser 258 includes about 16,000 gas passages 262. For larger diffuser plates used to process larger plates, the number of gas passages 262 may be as high as 100,000. The gas passages 262 are typically patterned to facilitate uniform deposition of material on the substrate 240 below the gas diffusion plate 258. Referring to fig. 3, in one embodiment, the gas passage 262 includes a restriction section 302, a flared connector 303, a central passage 304, and a flared opening 306. The confinement section 302 passes from the first side 318 of the gas diffusion plate 258 and is continuously connected with the central passage 304. The diameter of the central passage 304 is greater than the diameter of the restriction section 302. The restriction section 302 has a diameter selected to allow adequate gas flow through the gas diffusion plate 258 while providing adequate flow resistance to ensure radially uniform gas distribution over the perforated central portion 310. For example, the diameter of the restriction section 302 may be about 0.016 inches. A flared connector 303 connects the restriction section 302 with the central passageway 304. The flared connector 303 is continuously connected with the central passage 304 and has a diameter that tapers radially outwardly from the central passage 304 to the second side 320 of the gas diffusion plate 258. The flared opening 306 facilitates plasma ionization of the process gas flowing into the process volume 212. In addition, the flared opening 306 provides a larger surface area for the hollow cathode effect to enhance the plasma discharge.
As previously mentioned, large gas distribution plates for flat panel processing have many manufacturing problems, resulting in high manufacturing costs. The four-hole diffuser plate design in fig. 3 is relatively costly to manufacture because it requires four drilling steps to drill the restriction section 302, the flared connector 303, the central passage 304, and the flared opening 306 to create each gas passage 262 and a large number of gas passages 262, e.g., about 16,000 for a 30 inch by 36 inch (or 1080in 2) diffuser plate.
Fig. 4A is a partial cross-sectional view of a gas diffusion plate 258 of the present disclosure. The gas diffuser plate 258 includes about 12,000 gas passages 262 for a 30 inch by 36 inch (or 1080in 2) diffuser plate. The gas passages 262 are typically patterned to facilitate uniform deposition of material on the substrate 240 below the gas diffusion plate 258. Referring to fig. 4A, in one embodiment, the gas passage 262 includes a restriction section 402 and a tapered opening 406. The confinement section 402 extends into the gas diffuser plate 258 from the first side 418 of the gas diffuser plate 258 and is continuously connected with the tapered opening 406. The tapered openings 406 extend into the gas diffuser plate 258 from the second side 420 of the gas diffuser plate 258. The diameter of the restriction section 402 is between about 0.030 inches and about 0.070 inches, which is selected to allow adequate gas flow through the gas diffuser plate 258 while providing adequate flow resistance to ensure a radially uniform gas distribution across the perforated central portion 410. The edge of the diffuser aperture restriction section 402 on the first side 418 of the gas diffuser plate 258 may be rounded. The tapered opening 406 is continuously connected with the confinement section 402 and expands radially outward from the confinement section 402 to the second side 420 of the gas diffusion plate 258. The tapered opening 406 has a diameter on the second side 420 of the gas diffusion plate 258 of between about 0.2 inches and about 0.4 inches. The second side 420 faces the surface of the substrate. The flare angle 416 of the tapered opening 406 is between about 20 degrees and about 35 degrees.
The spacing between the flared edges of adjacent gas passages 262 may be as small as possible. The flared edge may be rounded. An example of a spacing is 0.05 inches. The maximum spacing between the flared edges of adjacent gas passages 262 is about 0.5 inches. The overall restriction provided by restriction section 402 directly affects the backpressure upstream of gas diffusion plate 258 and, thus, may be configured to prevent recombination of separated fluorine used during cleaning. The ratio of the length (411) of the restriction section 402 to the length (412) of the tapered opening 406 is between about 0.8 and about 2.0. The total thickness of the diffuser plate is equal to the sum of the length 411 and the length 412, and is between about 0.8 inches and about 1.6 inches. The tapered opening 406 facilitates plasma ionization of the process gas flowing into the process volume 212. An example of a four-hole gas passage design has a restriction section 402 with a diameter of 0.042 inches, the length of restriction section 402 being 0.0565 inches; a tapered opening 406 having a diameter of 0.302 inches on the second side 420 of the gas diffuser plate 258, the length of the tapered opening section being 0.0635 inches; and a flare angle 416 of 22 °. The total thickness of the exemplary diffuser plate was 1.2 inches.
Fig. 4B shows a cross section of an exemplary embodiment of a hexagonal close-packed gas diffusion plate 258. The holes 450 (or gas passages 262 as previously described) are arranged in a pattern of central hexagons 460. The size of the diffuser holes and the spacing of the diffuser holes are not drawn to scale in fig. 4B. However, other patterns of gas passage 262 arrangements (or holes 450), such as concentric circles, may also be used.
Fig. 4C shows an alternative design to the design shown in fig. 4A. During the manufacture of the machining restriction section 402 and the tapered opening 406, burrs left during the drilling of the restriction section 402 and the tapered opening 406 may be rounded (or removed) by using different drills to create the flared connection section 405. The remaining design attributes of FIG. 4C are the same as the design attributes of FIG. 4A, except that a connection section 405 is added.
Comparing the four-hole design of fig. 3 with the funnel design of fig. 4A, the funnel design diffuser plate is easier to manufacture than the four-hole design diffuser plate. The funnel design in fig. 4A requires drilling of two sections including a restriction section 402 and a tapered opening 406; whereas the four hole design in fig. 3 requires drilling 4 sections: a limiting section 302, a flared connector 303, a central passageway 304, and a flared opening 306. Drilling two sections to meet manufacturing specifications is much easier than drilling four sections to meet manufacturing specifications. The funnel design of fig. 4A also produces a higher manufacturing yield than the four-hole design of fig. 3 due to the smaller total number of holes. For example, for a 1080in 2 (e.g., 30 inches by 36 inches) diffuser plate, the funnel design has about 12,000 holes, while the four hole design has about 16,000 holes. The funnel design diffuser plate had about 30% fewer holes than the four hole design diffuser plate. Furthermore, compared to the smaller restriction section 302 (e.g., 0.016 inches), the particle problem with the funnel design in fig. 4A is less than the particle problem with the four hole design in fig. 3, since the funnel design in fig. 4A is relatively simple in removing the drill bits from the larger restriction section 402 (e.g., 0.040 inches and 0.055 inches).
In addition to higher manufacturing yields and fewer particle problems, the total surface area of the gas diffuser plate 258 exposed to the process volume 212 of the funnel design is less than that of the four-hole design, which will reduce the amount of residual fluorine on the diffuser plate (or showerhead) from the cleaning process. The reduced residual fluorine can greatly reduce fluorine incorporation in the film during the deposition process. Fluorine-generating defect centers are introduced in gate dielectric (or insulating) films such as SiO 2, siON, or SiN, which degrade Thin Film Transistor (TFT) device performance, such as V t (threshold voltage) shift and I on (drive current) reduction. It has been found that TFT device performance may be severely affected if the introduced contaminants of the gate dielectric film, such as SiO 2, siON or SiN, exceed 1E20 atoms/cm 3. In addition, the four-hole design also creates a higher back pressure when the cleaning gas flows through the gas distribution plate. When the back pressure is high, the tendency of the separated fluorine used to clean the plate to recombine increases, disadvantageously reducing the cleaning efficiency.
The film deposition chamber requires periodic cleaning to reduce film build-up along the chamber surfaces, which can flake off and create particle problems in the process chamber. An example of a cleaning process is Remote Plasma Source (RPS) cleaning, which uses a fluorine-containing plasma generated from a fluorine-containing gas, such as NF 3、SF6、F2、C2F6、C3F6 or C 4F8 O, or the like. After the cleaning step, the residual fluorine is cleaned using a cleaning gas; however, some residual fluorine species may remain on the chamber and diffuser plate surface areas. The dark line (501) in fig. 5 shows the funnel design diffuser surface exposed to the process volume 212. Table 1 compares the total exposed surface area of the two funnel designs (0.040 inch and 0.055 inch restriction zone diameters) and the four hole design. The flared ends of the two funnel designs were 0.302 inches in diameter and the flare angle was 22 °. The length of the restraining section 402 of the two funnel designs is 0.565 inches and the length of the flared opening 306 of the two designs is 0.635 inches. For a four hole design, the diameter of the restriction section 302 is 0.016 inches, the diameter of the central passageway 304 is 0.156 inches, the major diameter of the flare opening 306 is 0.25 inches and the flare angle is 22 °, the length of the restriction section is 0.046 inches, the length of the flare connector 303 is 0.032 inches, the length of the central passageway 304 is 0.88 inches, and the length of the flare opening 306 is 0.242 inches. The four-hole design has the highest number of diffuser holes and the highest total diffuser surface area. Both the 0.040 inch and 0.055 inch funnel designs have relatively close total exposed diffuser surface area, which is about half of the total exposed diffuser surface area of the four-hole design.
Table 1 compares the total exposed surface area of the two funnel designs (0.040 inch and 0.055 inch restriction zone diameters) and the four hole design.
Fig. 6 illustrates an example of a process flow 600 for depositing a thin film on a substrate in a process chamber having a gas diffusion plate and cleaning the process chamber when cleaning is required. The process begins at block 601, followed by block 602 where a substrate is placed in a process chamber having a diffuser plate. Block 603 depicts depositing a thin film on a substrate in a processing chamber. Following block 603, the system determines whether the number of processed substrates reaches a predetermined cleaning limit at block 604. At block 606, the predetermined cleaning limit may be 1 substrate or more than 1 substrate. If the cleaning limit is not reached, the process sequence returns to block 602 where another substrate is placed in the processing chamber. If the cleaning limit has reached the predetermined cleaning limit, the process sequence proceeds to block 605 where the process chamber is cleaned. After chamber cleaning at block 605, the system determines whether the total number of processed substrates reaches a predetermined limit. If the clean limit is not reached, the process sequence returns to block 601 where the deposition process is initiated. If the cleaning limit has reached the predetermined limit, the deposition process ends at block 607. The process flow 600 is used merely as an illustration to demonstrate the concept. The present disclosure is also applicable to process flows involving other process sequences, but is applicable to the general concepts of deposition and cleaning.
Fig. 7 shows Secondary Ion Mass Spectrometry (SIMS) analysis of fluorine content of a film stack containing SiN films deposited with two designed diffusion plates. The analyzed film stack included about on a glass substrateIs aboutAnd about/>Is a silicon nitride film of (a). Amorphous silicon and silicon nitride films are deposited sequentially in the same PECVD chamber using the same diffuser plate (or showerhead). Curve 701 shows that the fluorine content of the 0.055 inch funnel design (less than 1E18 atoms/cm 3) in the SiN film is more than an order of magnitude lower than the film treated with the four hole design diffuser plate (curve 702, about 5E19 atoms/cm 3). The lower fluorine content resulting from the funnel design may be due to the lower total surface area of the gas diffusion plate 258 exposed to the process volume 212 as compared to the four-hole design.
Chamber cleaning is achieved by Remote Plasma Source (RPS) cleaning, which uses fluorine radicals (F *) generated by fluorine-containing gases such as NF 3、SF6、F2、C2F6、C3F6 or C 4F8 O, etc. The fluorine-containing gas (or gases) may be diluted with an inert gas such as Argon (AR) to help maintain the plasma. However, inert gases are optional. Typically, the cleaning process is performed with an inert gas flowing between about 0slm and about 6slm and a fluorine-containing gas flowing between 1slm and about 6slm, and the pressure of the remote plasma source generator is maintained between 0.5Torr and 20 Torr. Equation (1) shows an example of using NF 3 as a cleaning gas:
NF3→N+3F (1)
The fluorine radicals (F *) can also recombine to form fluorine gas (F 2), which has a different cleaning effect on SiN films than the fluorine radicals (F *). The magnitude of the decrease in cleaning efficiency due to fluorine radical recombination is greater on SiN film cleaning than on amorphous silicon film cleaning, since amorphous silicon can also be cleaned by the thermal F 2 treatment. Equation (2) shows the reaction of fluorine radical recombination.
2F→F2 (2)
The fluorine radicals may recombine before they reach the reaction chamber. While not wishing to be bound by any theory, unless explicitly stated in the claims, the narrower passages in the diffuser and the higher back pressure in the plenum 264 may enhance fluorine radical recombination before entering the process volume 212 and may reduce cleaning efficiency.
Table 2 compares remote plasma source cleaning rates for SiN films and a-Si films deposited in a PECVD chamber under the same conditions for the three designs mentioned in tables 2 and 3. Remote plasma source cleaning species were generated by flowing 4slm of Ar and 4slm of NF 3 into a ASTeX Remote Plasma Source (RPS) generator maintained at 6 Torr. ASTeX remote plasma source generator was manufactured by MKS instruments, wilmington, ma.
Table 2 compares RPS cleaning rates for 3 types of diffuser designs for SiN and a-Si films.
The results show that a 0.055 inch funnel diffuser has the best cleaning performance, followed by a four hole design, and finally a 0.040 inch funnel. The result may be due to the lower back pressure and less restricted diffuser path of the 0.055 inch funnel diffuser compared to the four hole and 0.040 inch funnel design, which results in less F * recombination and higher cleaning efficiency.
Table 3 shows the back pressure (Pb) of the RPS cleaning process for RPS plasma on and off conditions when the Ar flow is 4slm and NF 3 is between 0slm and 4 slm.
Table 3 compares the back pressures of 3 types of diffuser designs at different NF 3 flows and RPS plasma on and off.
The 0.055 inch funnel diffuser had the lowest back pressure and had the least F * recombination and highest SiN film cleaning rate. However, the backpressure of the four-hole design is higher than that of the 0.040 inch funnel design, while the cleaning rate of the four-hole design is higher than that of the 0.040 inch funnel design. This suggests that recombination caused by pressure differential alone cannot explain the cleaning rate results. Recombination in the diffuser also plays an important role.
Table 4 compares the narrowest diameter, length and volume of the diffuser passageway for the four-hole and 0.040 inch funnel designs. The 0.040 inch funnel design has a larger passage volume than the four-hole design. The larger passage volume may allow additional fluorine radicals to recombine and affect the cleaning rate results compared to a narrow diffuser passage.
Table 4 compares the diameter, length and volume of the narrowest section of the diffuser of the four-hole and 0.040 inch funnel design.
The cleaning rate also depends on the dissociation efficiency of the cleaning gas (e.g., NF 3). Table 5 shows three designed chamber pressure data (in process volume 212) under RPS cleaning. The chamber pressures for the three diffuser designs are all within similar ranges.
Table 5 compares chamber pressures for 3 types of diffuser designs at different NF 3 flows and plasma on and off.
NF 3 dissociation efficiency and the net pressure increase at plasma on are proportional to the ratio of net pressure increase at plasma off. Table 6 shows the ratio of net pressure at plasma on to net pressure increase at plasma off for a four hole, 0.055 inch funnel and 0.040 inch funnel design. ΔPc Plasma start-up represents the pressure differential between the chamber pressure at a particular NF 3 flow and the chamber pressure at 0NF 3 flow when the plasma is on. Similarly, ΔPc Plasma shut off represents the pressure differential between the back pressure at a particular NF 3 flow and the chamber pressure at 0NF 3 flow when the plasma is off. The ratio of Δpc Plasma start-up to Δpc Plasma shut off quantifies NF 3 dissociation efficiency. Dissociation efficiency decreases with increasing NF 3 flow. The dissociation efficiency was highest for the 0.055 inch funnel design, followed by the four hole design, followed by the 0.040 inch funnel design. NF 3 dissociation efficiency data is correlated with cleaning rate data.
Table 6 compares the ratio of net pressure at plasma on versus net pressure increase at plasma off for 3 designs.
In addition to cleaning efficiency, the effect of the diffuser design on deposition performance should be checked to ensure that deposition performance is satisfactory. Table 7 compares SiN and a-Si deposition uniformity and rates for 3 diffuser designs using different diffuser designs under the same process conditions. A SiN film was deposited using 600sccm SiH 4, 2660sccm NH 3, and 6660sccm N 2 at a source power of 1.5Torr and 3050 watts. The spacing between the diffuser plate and the support assembly was 1.09 inches. The process temperature was maintained at about 355 ℃. An a-Si film was deposited using 1170sccm SiH 4 and 4080sccm H 2 at a source power of 3.0Torr and 950 watts. The spacing between the diffuser plate and the support assembly was 1.09 inches. The process temperature was maintained at 355 ℃.
Table 7 compares SiN and a-Si film deposition uniformity and rates for 3 designs.
The results show that the deposition rates and uniformity of the three designs are comparable. The deposition rates of the three designs are approximately the same. The 0.055 inch funnel design was less uniform than the four hole design. However, by reducing the diameter of the restriction section 402 (0.040 inches versus 0.055 inches), uniformity may be improved. The 0.040 inch funnel design was better uniform (3.2% and 4.4%) than the 0.055 inch funnel design (4.3% and 4.5%). For SiN films, the 0.040 inch funnel design (3.2%) was even better than the four hole design (3.8%). Other film properties, such as film stress, refractive index, and wet etch rate, are equivalent for three designs. The results show that the film uniformity is affected by the diffuser design and can be adjusted by adjusting the diameter of the restriction section. The results show that the funnel design can achieve the same deposition performance as the four-hole design, such as uniformity, deposition rate, film stress, refractive index, and wet etch rate.
In addition to diffuser design, process pressure can also affect deposition rate and uniformity. Table 8 shows the effect of process pressure (or chamber pressure) on uniformity and deposition rate for a 0.055 inch funnel design diffuser. Lower chamber pressures provide better uniformity and lower deposition rates.
Table 8 shows deposition pressure, uniformity, and deposition rate of SiN films using a 0.055 inch funnel design diffuser plate.
Funnel design diffuser plates are easier to manufacture than four-hole design diffuser plates. Thus, the yield and cost of manufacturing the funnel design diffuser plate is increased. In addition to ease of manufacture, the funnel design diffuser plate has the advantage of less fluorine remaining on the diffuser plate after RPS cleaning. This results in less fluorine incorporation in the gate dielectric film and improved device performance. Depending on the diameter of the restriction section 402 selected, the funnel design may have a better or equivalent cleaning rate and efficiency than the four-hole design. The funnel design may also have deposition rate and uniformity performance equivalent to a four-hole design.
For flat panel displays with a large surface area, a gas diffusion plate 258 with a large top surface area may be required. As the top surface area increases, the thickness of the gas diffusion plate 258 may increase to maintain the strength of the supporting diffusion plate.
Fig. 8A shows a variation of the funnel design of fig. 4A for a thicker diffuser plate. All corresponding design attributes of fig. 8A are the same as fig. 4A. Criteria for designing pinhole 802, nose cone 806, and flare angle 816 are similar to the criteria for designing limiting section 402, cone opening 406, and flare angle 416, respectively, of fig. 4A. In fig. 8A, a tapered section of the nose cone 806 is shown. However, other configurations including concave and convex cross-sections, such as parabolic, may also be used. The difference between fig. 8A and fig. 4A is that fig. 8A is thicker because of the length 801. A backside hole 804 from the backside 818 to a depth 801 may be created between the backside 818 of the gas diffusion plate 258 and the pinhole 802. The backside aperture 804 includes a flared connecting section 803 that continuously connects with the pinhole 802. The nose cone 806 includes a flared connecting section 805 that continuously connects with the pinhole 802. During fabrication of the machining pinhole 802 and the backside hole 804, burrs left during drilling of the pinhole 802 and the backside hole 804 are rounded (or removed) by using different drills to create the flared connection section 803. Since the backside hole 804 has a larger diameter than the pinhole 802, the process only slightly increases manufacturing time and does not affect manufacturing yield. The backside hole 804 may have a diameter at least twice that of the pinhole 802 to ensure that the addition of the backside hole 804 does not change back pressure and chamber pressure during processing as compared to the funnel design in fig. 4A. Thus, the deposition process and quality of films deposited using the design in fig. 8A are similar to those of films deposited using the funnel design of fig. 4A. The backside hole 804 has a diameter of between about 0.06 inches and about 0.3 inches. The edge of the backside aperture 804 of the diffuser aperture on the backside 818 of the gas diffuser plate 258 may be rounded. The ratio of the length 801 of the backside hole 804 to the length 811 of the pinhole 802 is between about 0.3 and about 1.5. The total thickness of the diffuser plate is equal to the sum of the length 801, length 811, and length 812 of the nose cone 806, and is between about 1.0 inch and about 2.2 inches.
Fig. 8B shows an alternative design to the design shown in fig. 8A. During fabrication of the machining pinhole 802 and the nose cone 806, including by using different drills to round (or remove) burrs left during drilling of the pinhole 802 and the nose cone 806 to create the flared connection section 805. The remaining design attributes of FIG. 8B are the same as those of FIG. 8A, except that a connection section 805 is added.
Fig. 9 shows a schematic cross-sectional view of another variation of the gas diffusion plate design of fig. 8A with dummy holes 902 and dummy holes 903. Dummy holes 902 and 903 are formed on the periphery of the gas diffusion plate 258. Accordingly, the dummy holes 902 are provided outside the plurality of gas passages 262. Each of the dummy holes 902 is not connected to any one of the dummy holes 903, and thus there is no gas passage formed through the dummy holes 902 or 903. The dummy holes 902 mitigate loading effects and voltage drops during the anodization process to mitigate enlargement of the pinholes 802 of the plurality of gas passages 262. In this way, a uniform and uniform refurbishment of the air flow is produced. Criteria for designing the dummy holes 902 and 903 are similar to criteria for designing the backside holes 804 and the front taper 806, respectively.
Fig. 10A shows a top view of an embodiment of a gas diffusion plate 258. Fig. 10B shows a cross-sectional view of an embodiment of a gas diffusion plate 258 with a first mesh patch 1003. Fig. 10C shows a cross-sectional view of an embodiment of a gas diffusion plate 258 with a second mesh patch 1005. Fig. 10D shows a top view of the second mesh patch 1005.
As shown in fig. 10A, a mesh element 1002 is disposed over the backside 818 of the gas diffusion plate 258. Mesh element 1002 is disposed on one or more corners of back side 818 of gas diffusion plate 258. The mesh member 1002 is one of the first mesh patch 1003 shown in fig. 10B or the second mesh patch 1005 shown in fig. 10C and 10D. Mesh element 1002 is an accessory part of gas diffusion plate 258.
In one embodiment, as shown in fig. 10B, the gas diffusion plate 258 includes a first mesh patch 1003 disposed on and in contact with the backside 818 of the gas diffusion plate 258. The first mesh patch 1003 is fixed to the gas diffusion plate 258 by the fixing screw 1004. The first mesh patch 1003 may include a ceramic material. The thickness 1006 and patch hole size 1008 of the first mesh patch 1003 may be adjusted to improve flow conductance through the gas diffusion plate 258.
In another embodiment, as shown in fig. 10C and 10D, the gas diffusion plate 258 includes a second mesh patch 1005. The second mesh patch 1005 is disposed on and spaced apart from the backside 818 of the gas diffusion plate 258 supported by support posts 1010 disposed through the gas diffusion plate 258. The second mesh patch 1005 may comprise an aluminum material. As shown in fig. 10D, the second mesh patch 1005 includes the number of meshes related to the fineness of the second mesh patch 1005. The second mesh patch 1005 includes a separation distance 1012 that is related to the distance between the second mesh patch 1005 and the gas diffusion plate 258. The mesh count and spacing 1012 may be adjusted to improve the conductance through the gas diffuser plate 258.
Fig. 11A and 11B are schematic top views of a plurality of gas passages 262. A plurality of gas passages 262, shown in fig. 11A and 11B, are provided on one or more corners of the backside of the gas diffuser plate 258. The plurality of gas passages 262 includes a density control passage 1102. The density control passage 1102 includes a fixed length of pinholes 802 (shown in fig. 9) of the density control passage 1102. The fixed length may be about 2% greater than the length of the pinhole 802 of the gas passage 262. In one example, as shown in fig. 11A, 25% of the gas passages 262 are density control passages 1102. In another example, as shown in fig. 11B, 50% of the gas passages 262 are density control passages 1102. The plurality of density control passages 1102 provide a more stable flow conductance.
In some embodiments, the plurality of gas passages 262 may include one or more of a dummy aperture 902, a mesh element 1002 (including one or both of a first mesh patch 1003 and a second mesh patch 1005), and a plurality of density control passages 1102 to control and improve flow conductance. The dummy holes 902, mesh elements 1002 (including one or both of the first mesh patch 1003 and the second mesh patch 1005), and the plurality of density control passages 1102 avoid uneven contours at the corners of the process chamber 202, as shown in fig. 2A. The non-uniform profile at the corners of the process chamber 202 is reduced with a uniform gas flow through the gas diffuser plate 258.
Embodiments of the present disclosure generally relate to optical devices. More particularly, embodiments described herein relate to an optical device and a method of manufacturing an optical device having an optical device structure in which at least one of different depths or refractive indices are provided on a surface of a substrate.
While the foregoing is directed to examples of the present disclosure, other and further examples of the disclosure may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

1. A diffuser plate assembly, comprising:
a plate having a back side and a front side;
a gas passage extending through the plate from the back side to the front side, the gas passage comprising:
A back side hole extending from the back side to a first depth of the plate,
A pinhole extending from the backside hole at the first depth of the plate to a second depth of the plate, and
A nose cone extending from the pinhole at the second depth of the plate to the front side; and
A first dummy aperture on a perimeter of the plate, the first dummy aperture extending into the plate from the back side and not extending to the front side.
2. The diffuser plate assembly of claim 1, wherein the first dummy apertures extend from the backside to the first depth of the plate.
3. The diffuser plate assembly of claim 1, further comprising:
A second dummy aperture on the perimeter of the plate, the second dummy aperture extending into the plate from the front side and not into the back side.
4. The diffuser plate assembly of claim 3, wherein the second dummy holes are not connected to the first dummy holes.
5. The diffuser plate assembly of claim 1, wherein
The backside hole has a first diameter;
The pinhole having a second diameter;
The nose cone has the second diameter at the second depth and a third diameter at the front side; and
The first diameter is greater than the second diameter and the third diameter is greater than the second diameter.
6. The diffuser plate assembly of claim 1, wherein the backside aperture comprises a first flared connection section continuously connected with the pinhole aperture.
7. The diffuser plate assembly of claim 6, wherein the nose cone comprises a second flared connecting section continuously connected with the pinhole aperture.
8. A diffuser plate assembly, comprising:
a plate having a back side and a front side;
a gas passage extending through the plate from the back side to the front side, the gas passage comprising:
A back side hole extending from the back side to a first depth of the plate,
A pinhole having a first length and extending from the backside hole at the first depth of the plate to a second depth of the plate, and
A nose cone extending from the pinhole at the second depth of the plate to the front side; and
A mesh patch on the back side of the plate.
9. The diffuser plate assembly of claim 8, wherein the mesh patch comprises ceramic.
10. The diffuser plate assembly of claim 9, wherein the mesh patch is in contact with the back side of the plate and the mesh patch is secured to the back side of the plate by set screws.
11. The diffuser plate assembly of claim 8, wherein the mesh patch comprises aluminum.
12. The diffuser plate assembly of claim 11, wherein the mesh patch is spaced apart from the back side of the plate and is supported by support posts disposed through the plate.
13. The diffuser plate assembly of claim 8, wherein
The backside hole has a first diameter;
The pinhole having a second diameter;
The nose cone has the second diameter at the second depth and a third diameter at the front side; and
The first diameter is greater than the second diameter and the third diameter is greater than the second diameter.
14. The diffuser plate assembly of claim 8, wherein the backside aperture comprises a first flared connection section continuously connected with the pinhole aperture.
15. The diffuser plate assembly of claim 14, wherein the nose cone includes a second flared connecting section that continuously connects with the pinhole aperture.
16. A diffusion plate assembly includes
A plate having a back side and a front side;
A plurality of first gas passages extending through the plate from the back side to the front side, each of the plurality of first gas passages comprising:
A first backside hole extending from the backside into the plate,
A first needle hole having a first length and extending from the first backside hole toward the front side, and
A first nose cone extending from the first pinhole to the front side; and a plurality of second gas passages extending through the plate from the back side to the front side, each of the plurality of second gas passages comprising:
A second backside hole extending from the backside into the plate,
A second needle hole having a second length and extending from the second back side hole toward the front side, wherein the second length is greater than the first length, and
A second nose cone extending from the second pinhole to the front side.
17. The diffuser plate assembly of claim 16, wherein the density of the plurality of second gas passages is between 25% and 50%.
18. The diffuser plate assembly of claim 16, wherein
The first and second backside holes each have a first diameter;
The first pinhole and the second pinhole each have a second diameter;
The first nose cone and the second nose cone each have a third diameter at the front side; and
The first diameter is greater than the second diameter and the third diameter is greater than the second diameter.
19. The diffuser plate assembly of claim 16, wherein
The first backside hole includes a first flared connecting section continuously connected with the first pinhole, and
The second backside hole includes a second flared connection section continuously connected with the second pinhole.
20. The diffuser plate assembly of claim 16, wherein
The first nose cone includes a third flared connecting section continuously connected with the first pinhole, and
The second nose cone includes a fourth flared connecting section continuously connected with the second pinhole aperture.
CN202280070469.5A 2021-10-19 2022-09-27 Dummy holes and mesh patches for diffusers Pending CN118119733A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202163257400P 2021-10-19 2021-10-19
US63/257,400 2021-10-19
PCT/US2022/044830 WO2023069227A1 (en) 2021-10-19 2022-09-27 Dummy hole and mesh patch for diffuser

Publications (1)

Publication Number Publication Date
CN118119733A true CN118119733A (en) 2024-05-31

Family

ID=86059544

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280070469.5A Pending CN118119733A (en) 2021-10-19 2022-09-27 Dummy holes and mesh patches for diffusers

Country Status (3)

Country Link
KR (1) KR20240073169A (en)
CN (1) CN118119733A (en)
WO (1) WO2023069227A1 (en)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
TWI677929B (en) * 2015-05-01 2019-11-21 美商應用材料股份有限公司 Dual-channel showerhead for formation of film stacks
KR20200094781A (en) * 2017-12-04 2020-08-07 어플라이드 머티어리얼스, 인코포레이티드 Partially anodized shower head
US11123837B2 (en) * 2017-12-22 2021-09-21 Applied Materials, Inc. Method of removal of sharp corners from diffuser plate
US10920319B2 (en) * 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Also Published As

Publication number Publication date
KR20240073169A (en) 2024-05-24
WO2023069227A1 (en) 2023-04-27

Similar Documents

Publication Publication Date Title
JP5002132B2 (en) Gas distribution plate for plasma processing chamber
US10312058B2 (en) Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) Plasma uniformity control by gas diffuser curvature
US20060228490A1 (en) Gas distribution uniformity improvement by baffle plate with multi-size holes for large size PECVD systems
US8394231B2 (en) Plasma process device and plasma process method
US20090197015A1 (en) Method and apparatus for controlling plasma uniformity
US20090155488A1 (en) Shower plate electrode for plasma cvd reactor
US11735467B2 (en) Airgap formation processes
EP1789605A2 (en) Plasma uniformity control by gas diffuser curvature
CN118119733A (en) Dummy holes and mesh patches for diffusers
KR200419389Y1 (en) Gas distribution uniformity improvement by baffle plate with multi-size holes for large size pecvd systems
US20070202636A1 (en) Method of controlling the film thickness uniformity of PECVD-deposited silicon-comprising thin films
JPH0845858A (en) Plasma treatment system
US20180350571A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination