CN117999628A - Electron gun and electron microscope - Google Patents

Electron gun and electron microscope Download PDF

Info

Publication number
CN117999628A
CN117999628A CN202380013727.0A CN202380013727A CN117999628A CN 117999628 A CN117999628 A CN 117999628A CN 202380013727 A CN202380013727 A CN 202380013727A CN 117999628 A CN117999628 A CN 117999628A
Authority
CN
China
Prior art keywords
field emitter
tip portion
electron gun
silicon substrate
tin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202380013727.0A
Other languages
Chinese (zh)
Inventor
勇-霍·亚历克斯·庄
银英·肖-李
E·加西亚贝里奥斯
J·费尔登
L·吉拉尔迪尼
长尾昌善
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/122,388 external-priority patent/US20230298847A1/en
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN117999628A publication Critical patent/CN117999628A/en
Pending legal-status Critical Current

Links

Landscapes

  • Cold Cathode And The Manufacture (AREA)

Abstract

An electron gun for an electron microscope or similar device includes a field emitter cathode having a field emitter tab extending from an output surface of a monocrystalline silicon substrate and an electrode configured to enhance emission of electrons from a tip portion of the field emitter tab to generate a primary electron beam. A continuous TiN layer is disposed at least directly on the tip portion of the field emitter protrusion using a process that minimizes oxidation and defects in the TiN layer.

Description

Electron gun and electron microscope
Cross reference to related applications
The present application claims priority to a provisional patent application filed on day 18, 3, 2022 and assigned to U.S. application No. 63/321,112, the disclosures of which are hereby incorporated by reference.
Technical Field
The present disclosure relates generally to electron guns suitable for use in scanning electron microscopes, electron beam lithography systems, and other systems suitable for re-inspecting and inspecting samples (e.g., photomasks, reticles, and semiconductor wafers). In particular, the present disclosure relates to electron guns utilizing cold electron field emitters, and to review and inspection systems including such electron guns.
Background
The Integrated Circuit (IC) industry requires inspection tools with increasingly higher sensitivity to detect ever-smaller defects and particles that may be tens of nanometers (nm) or smaller in size. These inspection tools operate at high speeds to inspect at least a majority of the area of a photomask, reticle, or wafer in a short period of time. For example, high-speed inspection performed during IC production typically takes one hour or less. More detailed high-speed checks performed for research and development (R & D) or troubleshooting purposes can take up to several hours. High speed inspection tools scan the sample surface with an incident energy beam having a pixel or spot size greater than the size of the surface defect or particle of interest and monitor the amount of energy deflected from the sample surface, thereby detecting surface defects or undesirable particles through small changes in the amount of deflected energy. High-speed inspection is most often performed in production using inspection tools that operate with Ultraviolet (UV) light sources. A more detailed high-speed inspection performed in R & D may be performed using an electron gun.
After a defect or particle has been found by high-speed inspection, it is often desirable to make a higher resolution image and/or perform material analysis to determine the origin or type of the particle or defect. This process is commonly referred to as rechecking. Review is typically performed using a Scanning Electron Microscope (SEM). Review SEMs used in semiconductor manufacturing are typically required to review thousands of potential defects or particles per day, whereby the review SEMs are required to operate at speeds up to a few seconds allowed to operably scan each defect/particle.
Electron microscopes (e.g., SEMs) utilize an electron gun (i.e., electron source) to generate and direct an electron beam toward a target sample. The thermionic source typically comprises a cathode made of tungsten or lanthanum hexaboride (LaB 6). During thermionic emission, electrons evaporate from the surface of the material when the electron thermal energy is high enough to overcome the surface potential barrier. Thermionic emitters typically require high temperatures (e.g., greater than 1300K) to operate and have several drawbacks such as low efficient power consumption, wide energy spread, short lifetime, low current density, and limited brightness.
While thermionic sources are currently the most common type of electron gun, the need for more efficient electron guns has driven the development of schottky emitters and electron field emitters.
Schottky emitters are typically made of tungsten filaments with tips coated with a layer of zirconia (ZrO x) that exhibit work functions (2.9 eV) much lower than conventional thermionic emitters. Due to image charge effects under an applied external electric field, the schottky emitter exhibits enhanced thermionic emission through effective potential barrier reduction. However, thermally assisted schottky emitters do not need to operate at high temperatures (> 1000K) and high vacuum (-10 -7 torr) and have a wider energy of electron emission than would be desirable due to the high operating temperature. Thus, as IC feature sizes (and thus defects/particles of the size of interest) continue to shrink, schottky emitters fail to provide electron guns with lower energy dispersion, higher brightness (emissivity) and higher current densities that are desirable for semiconductor wafer and mask inspection, review and lithography.
Electron field emitters, referred to herein as "field emitters," typically have a conical emitter tip (cathode), a circular gate aperture, and an anode disposed in vacuum. During operation, a potential difference is established across the emitter cathode, gate and anode under an applied external field, resulting in a high electric field at the surface of the tip. The field emission occurs when the applied electric field is high enough to lower the potential barrier at the tip-vacuum interface so that electrons can tunnel through this barrier at room temperature and travel through the gate aperture toward the anode biased at the more positive potential. Electrons in a field emitter are initially in internal thermodynamic equilibrium, and most of the emitted electrons escape from the electron state near the Fermi (Fermi) level of the emitter by Fowler-Nordheim (Fowler-Nordheim) tunneling. In contrast, in schottky emitters, most electrons escape from a state well above the fermi level above the top of the field-lowering barrier.
Early field emitter design efforts focused on developing metal field emitters. Among them, spindt-type molybdenum field emitters are probably the best known metal field emitters because molybdenum has a low resistivity (53.4 nΩ·m at 20 ℃) and a high melting point (2896K). However, metal emitters have several drawbacks, such as lack of uniformity due to metal deposition techniques and, more seriously, degradation of emission current mainly due to oxidation.
With the advent of modern semiconductor fabrication technology, there has been investigation of semiconductor field emitters, especially silicon field emitters. Single-crystal (monocrystalline) silicon is an attractive material for field emitters. Silicon crystals having high purity and fewer crystal defects can be grown. The conductivity of silicon can be altered by doping and/or applying a voltage. More importantly, silicon has a complete technological base.
The structure of a typical prior art silicon field emitter is shown in fig. 5. The silicon substrate 51 is typically n-doped. A cone-shaped emitter 54 is formed on the silicon substrate 51 with an optional gate layer 57 attached to a dielectric layer 56 comprising one or more insulating layers. Optional gate layer 57 controls and extracts the emission current. A third electrode (i.e., anode, not shown) faces the gate layer 57 and is separated from the cathode by a distance on the order of hundreds of microns. This is a typical silicon field emitter triode configuration. It should be noted that without the gate layer 57, the field emitter may be used as a diode. Quantum tunneling of electrons occurs when a bias voltage is applied across the emitter structure. A large electric field is generated on the surface of the emitter tip and electrons are emitted from the tip. A cold (i.e., room temperature emission) electron gun that produces SEM instruments for semiconductor inspection/review/lithography instruments using this type of silicon field emitter would solve many of the problems associated with schottky and thermionic emitters.
Even though silicon field emitters have shown promise in recent years, they are not yet commercially available. One serious problem with using silicon to form field emitters is that: silicon is quite reactive and can be contaminated in a matter of hours even at pressures of about 10 -10 torr. Silicon readily forms native oxide on its surface. Even in vacuum, native oxide will eventually form because small amounts of oxygen and water present in the vacuum will react with the surface of the silicon. The interface between silicon and silicon dioxide has defects (due to dangling bonds) in which the probability of electron recombination is high. Furthermore, even if the oxide is thin, the larger bandgap of silicon dioxide (about 9 eV) still creates an additional barrier above the work function that electrons must overcome in order to escape. For example, native oxide on a smooth silicon surface is typically about 2nm thick. In some circumstances, oxidation may also change the shape of the field emitters. These problems can lead to low brightness and current as well as poor stability, reliability, scalability and uniformity, and have hampered the commercial use of silicon field emitters. Periodic blinking (i.e., temporarily raising the tip temperature) is required to remove the contaminants during which the system/instrument in which the cold electron gun is implemented remains unusable. While the tip is blinking, collection of higher resolution images is paused and/or material analysis is performed. This presents a problem in the semiconductor industry where inspection, review and lithographic apparatus are expected to operate continuously and stably over long periods of time without interruption. Thus, most commercially available SEM instruments for semiconductor inspection, review and lithography include electron guns that implement schottky emitters.
Research work has been extended to find surface treatments and coatings for field emitters to improve their performance for lower turn-on voltages, higher emission current densities, lower noise, and improved stability. These treatments may include: the emitter tips are coated with refractory metals, silicides, carbides, diamond, and the like. However, these coating materials are often limited by the manufacturing process and/or are often affected by oxide layers formed on the coating surface in forming a smooth and uniform coating surface, creating additional energy barriers. For these reasons, cold electron guns that utilize coated silicon field emitters have not been practical.
Accordingly, there is a need for an electron gun and an electron microscope incorporating such an electron gun that overcomes some or all of the limitations of the prior art.
Disclosure of Invention
In a first embodiment an electron gun is provided. The electron gun includes a field emitter configured to generate a primary electron beam. The field emitter includes a monocrystalline silicon substrate having opposing first and second surfaces and including at least one integral field emitter protrusion. The field emitter tab has a fixed portion integrally connected to the silicon substrate and extends from the second surface to a tip portion. The field emitter also includes a titanium nitride (TiN) layer that is hermetically disposed at least over the tip portion of the field emitter protrusion such that during operation, electrons that leave the single crystal silicon substrate through the tip portion to form the primary electron beam travel only through the TiN layer. The TiN layer comprises at least 75 atomic percent TiN.
In an example, the TiN layer comprises greater than 80 atomic percent TiN.
In an example, the single crystal silicon substrate may be p-doped at a doping level of less than about 10 19cm-3. In another example, the single crystal silicon substrate may be n-doped at a doping level between about 10 15cm-3 and about 10 19cm-3. In yet another example, the single crystal silicon substrate has a thickness greater than about 10 μm and is p-doped at a doping level less than about 10 14cm-3.
The electron gun may include a light source configured to illuminate the first surface of the monocrystalline silicon substrate. The light source may include one of a laser diode and a light emitting diode configured such that an emission current of the primary electron beam may be controlled to be proportional to an amount of light transmitted from the light source into the monocrystalline silicon substrate.
The TiN layer may have a thickness in the range of 2nm to 150 nm.
A portion of the TiN layer positioned within a radius of 100nm from the tip portion may include less than 10 atomic percent oxygen.
A portion of the TiN layer positioned within a radius of 100nm from the tip portion may include less than 10 atomic percent carbon.
The field emitter protrusion may comprise one of a cone, pyramid, or circular whisker. The tip portion of the field emitter tab may have a lateral dimension less than 300 nm. In an example, the tip portion of the field emitter tab has a lateral dimension greater than 10 nm.
The field emitter protrusion may comprise one of a cone, pyramid, or circular whisker. The tip portion of the field emitter tab may have a diameter less than 300 nm.
The field emitter may be configured to operate in a reverse bias mode in which a depletion layer is generated adjacent the second surface in response to an applied electric field.
The field emitter may further include a first dielectric layer disposed on the second surface of the monocrystalline silicon substrate adjacent to the field emitter protrusion; a first conductive gate disposed on the first dielectric layer such that an edge of the first conductive gate is spaced a non-zero distance from the tip portion of the field emitter protrusion; a second dielectric layer disposed on the second surface of the first conductive gate; and a second poly Jiao Shanji disposed on the second dielectric layer such that an edge of the second conductive gate is spaced a non-zero distance from the tip portion of the field emitter tab. Each of the thicknesses of the first and second dielectric layers is from 500nm to 3 μm, and the first dielectric layer is ±300nm of the height of the field emitter protrusion.
The electron gun may include a plurality of field emitter protrusions arranged in a two-dimensional periodic pattern. Each of the field emitter tabs may have an associated fixed portion integrally connected to the silicon substrate and extending from the second surface to a tip portion. The TiN layer may be hermetically disposed at least on the tip portion of each of the plurality of field emitter protrusions. In an example, the field emitter further includes a first dielectric layer disposed on the second surface of the monocrystalline silicon substrate adjacent to the plurality of field emitter protrusions; a first conductive gate disposed on the first dielectric layer such that an edge of the first conductive gate is spaced a non-zero distance from the tip portion of each of the plurality of field emitter protrusions; a second dielectric layer disposed on the second surface of the first conductive gate; and a second poly Jiao Shanji disposed on the second dielectric layer such that an edge of the second conductive gate is spaced a non-zero distance from the tip portion of each of the plurality of field emitter protrusions. Each of the thicknesses of the first and second dielectric layers is from 500nm to 3 μm, and the first dielectric layer is ±200nm of a nominal height of the plurality of field emitter protrusions.
In a second embodiment an SEM is provided. The SEM includes an electron gun including a field emitter configured to generate a primary electron beam; electron optics configured to demagnify and focus the primary electron beam onto a sample; and a detector configured to detect at least one of backscattered electrons and secondary electrons transmitted from the sample in response to the primary electron beam. The field emitter includes a monocrystalline silicon substrate having opposing first and second surfaces and including at least one integral field emitter protrusion. The field emitter tab has a fixed portion integrally connected to the silicon substrate and extends from the second surface to a tip portion. The field emitter also includes a titanium nitride (TiN) layer that is hermetically disposed at least over the tip portion of the field emitter protrusion such that during operation, electrons that leave the single crystal silicon substrate through the tip portion to form the primary electron beam travel only through the TiN layer. The TiN layer includes at least 75 atomic percent TiN. A portion of the TiN layer positioned within a radius of 100nm from the tip portion includes less than 10 atomic percent oxygen.
In a third embodiment, an apparatus is provided that includes an electron gun. The electron gun includes a field emitter configured to generate a primary electron beam. The field emitter includes a monocrystalline silicon substrate having opposing first and second surfaces and including at least one integral field emitter protrusion. The field emitter tab has a fixed portion integrally connected to the silicon substrate and extends from the second surface to a tip portion. The field emitter also includes a titanium nitride (TiN) layer that is hermetically disposed at least over the tip portion of the field emitter protrusion such that during operation, electrons that leave the single crystal silicon substrate through the tip portion to form the primary electron beam travel only through the TiN layer. The TiN layer includes at least 75 atomic percent TiN. A portion of the TiN layer positioned within a radius of 100nm from the tip portion includes less than 10 atomic percent oxygen. The device may include one of a Scanning Electron Microscope (SEM) system or an electron beam lithography system.
Drawings
For a fuller understanding of the nature and objects of the present disclosure, reference should be had to the following detailed description taken in connection with the accompanying drawings in which:
FIG. 1 illustrates an exemplary SEM incorporating an electron gun, electron optics, a backscattered electron detector, and a secondary electron detector in accordance with the present disclosure;
Fig. 2 is a perspective view illustrating an electron gun in a diode configuration including TiN-coated silicon field emitter protrusions formed on a silicon substrate, according to an exemplary embodiment of the present disclosure;
FIG. 2A is a cross-sectional view showing the electron gun of FIG. 2;
Fig. 3 is a cross-sectional side view illustrating an electron gun in a triode configuration including TiN-coated silicon field emitter protrusions and gate layers formed on a silicon substrate according to another embodiment of the present disclosure;
fig. 4A and 4B are cross-sectional side views illustrating a multi-electron beam electron gun in a diode and triode configuration including a TiN coated silicon field emitter protrusion array formed on a silicon substrate in accordance with embodiments of the present disclosure; and
Fig. 5 is a cross-sectional side view illustrating a prior electron gun including a silicon field emitter.
Detailed Description
Although the claimed subject matter will be described in terms of certain embodiments, other embodiments (including embodiments that do not provide all of the benefits and features set forth herein) are also within the scope of this disclosure. Various structural, logical, process steps, and electronic changes may be made without departing from the scope of the present disclosure. Accordingly, the scope of the disclosure is defined only by reference to the claims.
Embodiments disclosed herein relate to an electron gun for use in an SEM or other device/instrument. The electron gun includes a field emitter (cathode) having one or more field emitter tabs integrally connected to a monocrystalline (monocrystalline) (e.g., monocrystalline (SINGLE CRYSTAL)) silicon substrate such that the tab(s) extend from an output (second) surface of the silicon substrate. The electron gun also includes a substantially pure titanium nitride (TiN) layer (i.e., comprising or consisting of at least 75% TiN) hermetically disposed over at least the tip (free end) portion of the field emitter protrusion. The TiN layer is formed on the protrusion(s) such that during operation electrons exiting the monocrystalline silicon substrate through the tip portion travel only through the TiN layer before forming the primary electron beam. The integral connection of the field emitter tab to the silicon substrate (i.e., the remaining unetched portion of the monocrystalline silicon is made to form the tab by the etched portion of the monocrystalline silicon substrate) circumvents the relatively high work function of the silicon substrate by exploiting the field enhancement generated when the substrate is subjected to an applied external electric field that is strong enough to lower the potential barrier outside the tip portion, whereby electrons are able to tunnel through the lowered potential barrier (i.e., by quantum mechanical tunneling). Furthermore, the use of a continuous substantially pure TiN layer to hermetically seal the field emitter protrusions provides several advantages over conventional surface treatments and coatings. First, various techniques are known for forming a substantially pure TiN layer as a pinhole-free coating that prevents the formation of native oxides on the output surface of the field emitter protrusions. Second, the substantially pure TiN layer has a lower bandgap than conventional coatings (e.g., silicon dioxide), thereby increasing the flow of electrons during operation. Third, tiN coated silicon emitters demonstrated thermally stable electron emission compared to uncoated silicon emitters. Thus, by producing electron guns having field emitters comprising field emitter protrusions integrally formed on a monocrystalline silicon substrate and hermetically protected by a substantially pure TiN layer, embodiments disclosed herein facilitate producing cold electron guns having beneficial qualities of silicon (e.g., sub-1 μm wavelength absorption, high purity/low defect materials, and long electron recombination times) while avoiding the negative aspects of the broad commercial production previously preventing cold electron guns using coated silicon field emitters.
The electron gun of the embodiments disclosed herein circumvents the relatively high work function of silicon by utilizing field enhancement due to the field emitter tabs. The second electrode (i.e., anode) may be positioned facing the field emitter cathode. The anode may be made of metal (e.g., tungsten, molybdenum, or stainless steel). A high voltage source, typically on the order of kilovolts, may be used to create an external potential difference between the field emitter tab and the anode so as to create a strong electric field near the tip of the field emitter tab, thereby causing electrons to preferentially move toward the emitter tip. When a high potential difference (e.g., a voltage of about 1kV or more) between the field emitter tab and the anode is used, the anode should be about 50 μm away from the field emitter tab. In one embodiment, the anode is placed at a positive voltage of at least 500V relative to the field emitter protrusion at a distance of at least 50 μm from the emitter tip. In an alternative embodiment, the anode is placed near the emitter tip (e.g., a distance of about 2 μm or less) at a positive potential of less than 100V for the emitter. Placing the anode closer to the emitter tip allows a sufficiently strong electric field to be generated from a smaller voltage, which has the advantage of minimizing the back bombardment of the emitter by energetic ions that can reduce the lifetime of the emitter. However, placing the anode closer to the emitter tip may require more precise alignment of the aperture in the anode relative to the emitter tip.
The field emission occurs when the applied electric field is large enough to reduce the potential barrier at the silicon-vacuum interface so that electrons can tunnel through this barrier (i.e., quantum mechanical tunneling). The electron concentration is determined by the local doping level and potential in the semiconductor and the field emitter can be configured to operate in a reverse bias mode as in a p-n diode, where a depletion layer is generated due to the high electric field at the emitter surface. The interface between the vacuum and the TiN coated p-doped field emitter protrusion may form a p-n junction, where the vacuum is considered an n-type medium. In this case, the conduction and valence bands will bend downward at the surface. If the electric field is insufficient to bring the bottom of the conduction band below the fermi level, there will be a large number of electrons at the apex of the emitter tip and a current on the order of nA to μa will be generated. In another embodiment, the electric field may be kept at a slightly lower level so that the bottom of the conduction band remains just above the fermi level and little or no emission current is generated. In this embodiment, light may be used to generate electron-hole pairs. The electrons so generated will be strongly attracted towards the tip and many electrons will be emitted from the tip as an emission current. In this embodiment, the emission current can be controlled by controlling the light level incident on or near the field emitter substrate. In yet another embodiment, the body of the silicon wafer may be n-doped so that a large number of electrons are available to form the emission current. The emission current density can be estimated by considering a modified version of Fowler-Nordheim (Fowler-Nordheim) theory due to the field enhancement factor of the field emitter protrusions. Thus, by creating an electron emitter structure with both a TiN layer and field emitter protrusions formed on a monocrystalline silicon substrate, the embodiments disclosed herein provide beneficial qualities of silicon (e.g., high purity/low defect materials, long electron recombination time, and mature silicon-based fabrication processes) and achieve attractive features of the field emitter (e.g., small emitter size, low power consumption, high brightness, high current density, high speed, and long emitter lifetime) while avoiding the negative aspects that previously prevented widespread commercial use of silicon-based field emitter structures.
Additional layers and structures can further enhance the beneficial qualities of the disclosed emitter structures. In an embodiment, one or several gate layers or control electrodes may be placed at a height slightly below the field emitter protrusion (i.e., from the base to the tip) in order to further enhance the electric field at the emitter tip and enable fast and accurate control of the emission current. A gate layer is typically formed on top of one or several insulating layers disposed on a substrate. If several gate layers are present, the insulating layer is a spacer between such gate layers. In another embodiment, one or several gate layers or control electrodes are placed at a height slightly above the field emitter protrusions (100 to 200 nm), i.e. from the base to the tip, to suppress current degradation, remove electric field relaxation, and maintain high emission current when used with one or several focus electrodes placed at least 400nm below the gate layers. In yet another embodiment, a plurality of electron beam guns having a plurality of disclosed field emitter protrusions may be arranged in a two-dimensional periodic pattern, such as a Field Emitter Array (FEA), and may be disposed on an emitter surface to emit electrons in the presence of an electric field.
The electron gun disclosed herein may be incorporated into inspection, metrology, and review SEMs. SEM typically includes an electron gun, electron optics, and a detector. The electron optics may be configured to demagnify and focus the primary electron beam onto the sample and the detector may be configured to detect at least one of backscattered electrons and secondary electrons from the sample. The electron gun generates a primary electron beam directed toward the sample. The electron gun includes a TiN-coated silicon field emitter tab or a plurality of TiN-coated silicon field emitter tabs. The electron gun may be configured to operate the silicon-based field emitter as a diode or triode. The electron optics demagnify and focus the primary electron beam onto the sample. The electron optics may also include a deflector that may scan the primary electron beam across an area of the sample. When the primary electron beam hits the sample, the sample absorbs many electrons from the primary electron beam, but scatters some electrons (backscattered electrons). The absorbed energy causes secondary electrons to be emitted from the sample along with X-rays and Auger (Auger) electrons. Secondary electrons are collected by a secondary electron detector. The backscattered electrons are collected by a backscattered electron detector. The X-rays may be collected by an X-ray detector.
The apparatus may incorporate embodiments of the electron gun described herein. The device may be an SEM in which electron optics are configured to demagnify and focus a primary electron beam onto the sample. The apparatus may further comprise a detector for detecting at least one of backscattered electrons and secondary electrons from the sample. In another embodiment, the apparatus may be an electron beam lithography system, wherein the electron optics are configured to demagnify and focus the primary electron beam onto a target. The apparatus may further comprise a modulator for modulating the intensity of the electron beam.
FIG. 1 illustrates an exemplary inspection, metrology, or review SEM system 100 configured to inspect or review a sample 131, such as a semiconductor wafer, reticle, photomask, or other workpiece. SEM system 100 includes an electron gun 140, electron optics disposed in upper column 160 and lower column 170 and configured to focus and direct primary electron beam 150 to sample 131, and one or more detectors and computer 190 disposed in lower column 170 to detect electrons deflected from sample 131.
The electron gun 140 includes a silicon field emitter (cathode) 141 and an electrode 142 disposed inside a chamber 143. The field emitters 141 are configured as diodes or triodes to emit electrons that travel through an applied electric field generated by electrodes 142 (which may include anodes) to form a primary electron beam 150 that travels through an aperture 144 exiting the chamber 143 with a desired beam energy and beam current (typically about 1nA to 10 μa). The electron gun 140 may further include a magnetic lens (not shown). The interior of chamber 143 is maintained at a high vacuum condition during operation of pump 145 in order to protect field emitters 141 from contaminants and to minimize bombardment by energetic ions. Proper vacuum conditions of the electron gun 140 may be maintained while allowing the primary electron beam 150 to travel through the aperture 144 into the upper column 160. The vacuum pump 145 may include at least one of an ion pump and a getter pump. The aperture 144 may have a diameter of between about 100 μm and about 2 mm. Aperture 144 may serve as both a beam limiting aperture and a differential pumping aperture. In an embodiment, the chamber 143, aperture 144, and vacuum pump 145 are collectively configured to maintain the field emitter cathode 141 at a vacuum level of less than about 10 -10 torr during operation of the electron gun 140.
Referring to the upper left portion of fig. 1, the field emitter cathode 141 generally comprises a monocrystalline silicon substrate 101 containing at least one integrally connected field emitter tab 104. The silicon substrate 101 is substantially defect-free and has a thickness T1 in the range of about 10nm to about 800 μm as measured between the planar (first) surface 102 and the opposing output (second) surface 103. In an embodiment, the silicon substrate 101 is p-doped at a doping level of less than about 10 19cm-3. In another embodiment, the silicon substrate 101 is n-doped at a doping level between about 10 15 and 10 19cm-3. The field emitter tab 104 has a fixed base portion 105 integrally connected to the silicon substrate 101 and extends away from the surface 103 to a tip (free end) portion 106. In an example, the height H1 measured from the base 105 to the tip portion 106 in a direction perpendicular to the surface 103 is in a range from 0.5 μm to 5 μm, for example not more than about 2 μm. In one embodiment, the field emitter protrusions 104 are fabricated using standard CMOS fabrication techniques by etching or otherwise removing portions of the monocrystalline material forming the substrate 101 (i.e., such that the field emitter protrusions 104 and the remainder of the substrate 101 are the remainder of the same monocrystalline structure). For example, the field emitter protrusion 104 may be formed by each of: a silicon dioxide or silicon nitride mask is deposited over the surface 103 of the substrate 101 using plasma-assisted chemical vapor deposition (PECVD), followed by patterning the mask over a portion of the surface 103 using photolithography while exposing portions of the surface 103 surrounding the masked portions, and then utilizing a dry etching process (e.g., reactive Ion Etching (RIE), inductively Coupled Plasma (ICP) etching, or Electron Cyclotron Resonance (ECR) etching), a wet etching process, or a combination of dry and wet etching such that portions of the monocrystalline material are removed, leaving the protrusions 104 surrounded by the surface 103. In an embodiment, the field emitter protrusion 104 may be formed to have various cantilever shapes, such as circular whiskers (e.g., thin cylindrical pillars with rounded vertices), cones, or pyramids.
In an example, the continuous substantially pure TiN layer 110 is disposed at least hermetically over the tip portion 106 of the field emitter protrusion 104 such that during operation, electrons exiting the substrate 101 through the tip portion 106 to form the primary electron beam 150 travel only through the continuous substantially pure TiN layer 110 (i.e., no other material/layer is formed on the TiN layer 110 adjacent to the tip portion 106). As used herein, the phrase "hermetically disposed" is defined as forming in the near absence of oxygen and forming a hermetic seal at least on the tip (free end) portion 106 of the field emitter tab 104. As used herein, the term "continuous" in relation to the TiN layer 110 is defined to mean that the TiN layer 110 is complete across a designated portion of the substrate 101 that includes at least the region of the tip portion 106 from which electrons are emitted. That is, most of electrons from the field emitter protrusion 104 are emitted away from a region having a tip portion 106, for example, having a size of about tens of nm in radius, and this region is covered by the TiN layer 110 in a continuous (complete) manner. In other embodiments, the TiN layer 110 may be continuous over a larger portion of the peripheral surface of the field emitter tab 104 including the tip portion 106, or may be continuous over the entire peripheral surface including the field emitter tab 104 or even a larger area of some or all of the output surface 103 of the base portion 105 surrounding the field emitter tab 104.
Various known techniques may be used to form the continuous substantially pure TiN layer 110. In an embodiment, the TiN layer 110 is formed by reactive magnetron sputtering using a 2kW regulated power, a 3.4 milliTorr chamber pressure, a 10/16sccm flow rate for Ar/N 2, and a20 rpm turntable rotation rate. In another embodiment, the TiN layer 110 is formed in an NH 3 environment using a two-step rapid thermal nitridation process by which the titanium layer is thermally converted to a TiN/TiSi 2 bilayer. When formed using these techniques, the continuous substantially pure TiN layer 110 circumvents the oxidation problem of silicon by reliably and hermetically sealing the silicon surface against oxidation. TiN layer 110 may include various impurities, such as additional titanium atoms. Oxygen atoms may be present at any of the TiN-Si interface, the outer layer surface, or within the lattice. For example, the nitride to titanium ratio may vary at the interface between TiN layer 110 and substrate 101. It should be noted that TiN layer 110 may include a few atomic percent oxygen (e.g., less than 10% or less than 5%) remaining at the interface between TiN layer 110 and the silicon surface of protrusion 104, but this oxygen content may not increase significantly over time (e.g., over a period of one year) due to the hermetic seal. This low oxygen to silicon ratio means that there is no continuous silicon dioxide layer at the interface so that electrons can easily leave the silicon surface through the portion of TiN layer 110 that covers tip portion 106. Again, this low oxygen to silicon ratio means that there is no continuous titanium dioxide (TiO 2) layer at the interface so that electrons can easily leave the TiN layer 110. Thus, the TiN layer 110 may comprise, consist of, or consist essentially of a 1:1 (titanium to nitride ratio) TiN material. The substantially pure TiN layer 110 may comprise greater than 75% 1:1TiN material. For example, in alternative particular embodiments, the TiN layer 110 may include greater than 80% 1:1TiN material, greater than 85% 1:1TiN material, greater than 90% 1:1TiN material, greater than 95% 1:1TiN material, greater than 96% 1:1TiN material, greater than 97% 1:1TiN material, greater than 98% 1:1TiN material, or greater than 99% 1:1TiN material, where all percentage values are atomic percentages.
TiN layer 110 may include less than 10% oxygen and/or less than 10% carbon, where the percentage values are expressed in atomic percent. TiN layer 110 may contain less than 5%, less than 4%, less than 3%, less than 2%, or less than 1% oxygen. More than 10% oxygen in TiN layer 110 can be problematic because TiO 2 and SiO 2 are dielectric materials that block the emission of electrons. TiN layer 110 may comprise less than 5%, less than 4%, less than 3%, less than 2%, or less than 1% carbon. These oxygen and carbon values can be measured within a radius of 100nm from the tip portion. Oxygen and carbon values higher than those listed herein or higher oxygen and carbon values may occur at a radius greater than 100nm from the tip portion. There may be a higher percentage of carbon in TiN layer 110 than the percentage of oxygen with acceptable properties.
By creating electron gun 140 in the manner set forth herein, a cold electron gun with a coated silicon field emitter can overcome limitations associated with conventional approaches. The integral connection of the field emitter tabs 104 circumvents the relatively high work function of the silicon substrate by exploiting the field enhancement that occurs when the silicon substrate 101 is subjected to an applied external electric field EF that is strong enough to lower the potential barrier outside the tip portion 106, whereby electrons E are able to tunnel through the lowered potential barrier (i.e., by quantum mechanical tunneling). The resulting electron emission current density can be estimated by a modified version of fowler-nordheim theory. Furthermore, by forming the continuous substantially pure TiN layer 110 such that it hermetically seals the tip portion 106, oxidation of the field emitter output surface is substantially and completely prevented, thereby avoiding a significant barrier to electron escape caused by oxidation in conventional approaches. Thus, by creating an electron gun 140 with a field emitter cathode 141 that includes protrusions 104 integrally formed on a monocrystalline silicon substrate 101 and hermetically protected by a continuous substantially pure TiN layer 110, the cold electron gun can have the beneficial qualities of silicon (i.e., sub-1 μm wavelength absorption, high purity/low defect materials, and long electron recombination times) while avoiding the negative aspects of the broad commercial production of cold electron guns previously prevented from utilizing coated silicon field emitters.
Referring to the middle portion of fig. 1, upper column 160 of SEM 100 includes one or more condenser lenses 165 that reduce primary electron beam 150 such that the incident portion of primary electron beam 150 falls primarily within an area on the upper surface of sample 131 having a nominal diameter of about 20nm or less. One or more optional deflectors 167 may be placed on one or both sides of the condenser lens 165 to adjust (i.e., align, tilt and/or shift) the primary electron beam 150.
The lower column 170 includes a final lens 171 configured to focus the primary electron beam 150 onto the sample 131 such that incident electrons strike the sample 131 within a desired region, and includes various detectors 181, 182a, and 182b that receive redirected electrons from the sample 131. The lower column 170 also includes one or more deflectors 172 that work in combination with the deflectors 167 (if present) to scan the primary electron beam 150 across the region of the sample 131. In an embodiment, the sample 131 is placed on the stage 130 in order to facilitate movement relative to the electron gun 140 (i.e., positioning different surface areas of the sample 131 under the electron column). The incident electrons of the primary electron beam 150 strike the surface of the sample 131 and are deflected or otherwise scattered in a direction determined by the impacted surface features of the sample 131, thereby generating secondary electrons and backscattered electrons that move away from the sample 131 (e.g., in an upward direction in fig. 1). Secondary electrons may be collected and accelerated by the electrode 180 and directed to a secondary electron detector 181 for detection. The backscattered electrons may be detected by backscattered electron detectors 182a and 182b. In an embodiment, each electron detector 181, 182a, and 182b is a solid state device that includes at least one analog-to-digital converter that converts an analog output signal generated from a number of received electrons into digital form for transmission to computer 190 as one or more digital image data signals (i.e., image data signal ID1 generated by secondary electron detector 181 and/or signal ID2 generated by backscattered electron detector 182a or 182 b). Computer 190 is configured to process image data signals ID1 and ID2 and is configured to generate an image 191 of an area of sample 131 over which primary electron beam 150 is scanned.
Although fig. 1 depicts the configuration and operation of electron gun 140 integrated into SEM 100, the electron gun disclosed herein may also be incorporated into other devices, such as, for example, an electron beam lithography system including electron optics configured to reduce and focus a primary electron beam onto a target and a modulator for modulating the intensity of the electron beam.
Fig. 2 illustrates, in a partial perspective view, a cathode portion of an electron gun 200 including a field emitter tab 204 integrally connected to a substrate 201, and fig. 2A shows the cathode portion of the electron gun 200 in a cross-sectional view taken along line 2A-2A of fig. 2, in accordance with an embodiment of the present disclosure. Electron gun 200 may be used in SEM system 100 of FIG. 1. The electron gun 200 also includes an electrode, such as an anode facing the protrusion 204 (e.g., the anode in the electron gun 140 of fig. 1). The anode may be separated from the tip portion 206 of the tab 204 by a distance of from about microns to hundreds of microns. As in the previous embodiment, the field emitter cathode is formed on a silicon substrate 201, the silicon substrate 201 having an upwardly facing output surface 203 from which the field emitter protrusions 204 extend and a continuous TiN layer 210 disposed on the output surface 203 and completely covering the field emitter protrusions 204. As indicated in fig. 2, the field emitter protrusions 204 have a pyramid shape that can be made by anisotropic etching, which may have an inclination angle α (see fig. 2A) of approximately 54.7 °, since the angle corresponds to the intersection of the (100) and (111) planes in monocrystalline silicon. When a sharp field emitter tip portion 206 is desired, oxidative sharpening, which may be performed at low to moderate temperatures (less than about 950 ℃), may be used prior to forming TiN layer 210. The apex of the sharpened tip portion 206 may include a substantially flat region of atomic-level length, such as a surface that is substantially parallel to the crystal plane of the silicon crystal (e.g., substantially parallel to the (100) plane). In fig. 2A, the characteristic lateral dimension of the base region 205 is indicated by dimension D1 and the characteristic lateral dimension of the tip region 206 is indicated by dimension D2. In an embodiment, the ratio of the lateral dimension D1 to the height H1 of the field emitter protrusion (see fig. 3) is in the range from about one to about three, and the diameter (or another lateral dimension) D2 may be in the range from about 10nm to about 300 nm. The anode (not shown) may be made of metal such as tungsten, molybdenum, stainless steel, or other materials. A voltage source is used to create an external potential difference between the cathode and anode so as to cause electrons to preferentially move toward the tip portion 206 of the field emitter tab 204. The electron gun 200 operates similar to a typical cold electron gun in that electrons have a high probability of being emitted from the substrate 201 (most commonly from near the tip portion 206 of the field emitter 204) through the output surface 203 of the field emitter 204 when a strong electric field (e.g., a field between about 100V μm -1 and about 10kV μm -1) is applied to the tip portion 206. As explained above, tiN layer 210 is formed using a process of hermetically sealing tip 206 against oxidation. To ensure that TiN layer 210 provides a good hermetic seal without forming a strong barrier to electron emission, thickness T2 of TiN layer 205 may be from about 2nm to 150nm. Even though a percentage of oxygen remains at the interface between the silicon substrate 201 and the TiN layer 210, no more oxygen can penetrate the TiN layer 210 and thus no significant further oxidation can occur near the silicon/TiN interface. Since electron emission occurs from a small region near the apex of the tip portion 206, the quality of the TiN layer 210 near the tip portion 206 may be important. Thus, a portion 210A (shown in fig. 2A) of the TiN layer 210 within the radius R of the tip portion 206 may be formed pinhole-free, with the radius being about equal to or greater than D2. In the portion 210B of the TiN layer 210 that is positioned outside the radius R, several pinholes or gaps in the coverage of the TiN layer 210 or changes in the composition of the TiN layer 210 will typically not affect the emission and can be tolerated.
Diameter D2 or another lateral dimension may be less than 300nm. If the tip portion 206 is too blunt, there may be insufficient field enhancement for electron emission without using a high voltage for the extractor electrode, which may have other effects. In an example, the diameter D2 or another lateral dimension is about 100nm.
During operation of cathode 200, substantially all electron emission occurs from the region of the apex of tip portion 206 having diameter D2. Any pinholes in or immediately adjacent to this region may result in non-uniform or unstable emission because the work function of the surface will be different at the pinhole location than in the region fully covered by TiN layer 210. Thus, in an embodiment, no pinholes may be present in the emissive region of the cathode 200.
In addition to being substantially pure TiN, as described above with reference to TiN layer 110, being continuous in the region of tip portion 206 and forming a hermetic seal, in embodiments TiN layer 210 may also be formed to have a thickness T2 in the range from 2nm to 150nm, may have a uniform thickness, or may be formed to have a thickness on field emitter tab 204 that is different than on the remainder of output surface 203. If the thickness T2 is thinner than 2nm, the number of pinholes may increase, which may affect electron emission. If the thickness T2 is thicker than 150nm, the diameter D2 may be greater than about 300nm and the apex may not be sharp enough.
According to aspects of the present disclosure, the silicon substrate 201 may include single crystal silicon (i.e., a single crystal of silicon) p-type doped at a doping level of less than about 10 19cm-3 (i.e., a resistivity of about 0.005 Ω -cm or higher). Since minority carrier lifetime and diffusion length decrease with increasing dopant concentration, dopant concentrations above about 10 19cm-3 may be used when silicon is thin (e.g., thinner than about 1 μm), and dopant concentrations below about 10 19cm-3 may be used when silicon is thicker than about 1 μm. For silicon thicker than a few microns (e.g., 10 μm or greater), lower dopant concentrations, e.g., less than about 10 14cm-3, may be used to ensure long carrier lifetime and low dark current. Since electrons are minority carriers in p-type doped silicon, one embodiment of using a p-type silicon electron gun 200 includes an optional light source 220 configured to illuminate light 224 through the backside surface 202 to generate electron-hole pairs within the silicon substrate 201. The light source 220 may comprise a high intensity light source (e.g., a laser diode or a high brightness LED) and may have a bandwidth of about 20nm or less. The light source 220 may be placed behind the substrate 201 as shown to illuminate the backside surface 202 or may be positioned to illuminate the output surface 203 (i.e., positioned above the substrate 201 instead of below the substrate 201 as shown in fig. 2A). When the light source 220 is placed under the substrate 201 as shown, the light source 220 is configured to emit a relatively deep wavelength of light (e.g., a wavelength longer than about 500 nm) that penetrates into the silicon so as to generate electron-hole pairs away from the bottom surface 202 of the silicon substrate 201. When the light source 220 illuminates the field emitter tab 204 from the topside, shorter wavelengths (e.g., wavelengths shorter than about 550 nm) that penetrate shallower into the silicon may be used to generate electron-hole pairs against the tip portion 206 of the field emitter tab 204. In an embodiment, the light source 220 is configured such that the emission current of the primary electron beam (see, e.g., beam 150 in fig. 1) generated by the electron gun 200 can be controlled to be proportional to the amount of light 224 transmitted from the light source 220 into the silicon substrate 201.
In another embodiment, the silicon may be n-doped with a dopant concentration of about 10 15cm-3 or greater. For example, silicon may be n-doped from dopant concentrations of about 10 15cm-3 and 10 19cm-3. Silicon with n-type doping has many electrons available in the conduction band that can be drawn toward the tip portion 206 of the field emitter tab 204 to form an emission current. When n-doped silicon is used, the emission current can be controlled by adjusting the voltage on the gate electrode (e.g., the electrode within the extraction and focus electrode 142 in fig. 1, or the gate 307 in fig. 3) or by adjusting the voltage difference between the anode and the field emitter cathode. When n-doped silicon is used, optional light source 220 may be omitted. In either case, the field emitter tabs 204 may be formed using the process described above for the formation of the field emitter tabs 104.
According to another aspect of the present disclosure, the field emitter cathode structure of electron gun 200 may be configured to operate in a reverse bias mode as in a p-n diode, where a depletion layer is generated due to a high electric field at output surface 203. The interface between the vacuum and the TiN coated p-doped field emitter may form a p-n junction, where the vacuum is considered an n-type medium. In this case, the conduction and valence bands will bend downward at the surface. If the electric field is sufficient to bring the bottom of the conduction band below the fermi level, there will be a large number of electrons at the apex of the tip portion 206 and a current of about 1nA to 1 ua will be generated. Field emission occurs when the applied electric field is high enough to lower the potential barrier at the silicon-vacuum interface so that electrons can tunnel through this barrier (quantum mechanical tunneling). The emission current density may be estimated by considering a modified version of the fowler-nordheim theory due to the field enhancement factor of the field emitter.
According to another aspect of the present disclosure, tiN layer 210 comprises a continuous TiN film disposed directly on the output surface of the field emitter. As used herein, the phrase "directly on" in conjunction with a TiN and silicon interface is intended to mean that there is no continuous intervening layer (e.g., an oxide or SiN x layer) separating the output surface 203 of the field emitter cathode and the TiN layer 210, except for a possibly thin layer (i.e., several monolayers) of a material including, for example, tiN x (x < 1) and titanium silicide, which may be formed at the Si/TiN interface. It should also be noted that the phrase "directly on" does not exclude the presence of small amounts of oxide between TiN and certain portions of silicon. The TiN layer 210 is grown on clean silicon using known techniques such that TiN forms a pinhole-free coating on at least the field emitter protrusions 204 having a thickness T2 in the range from about 2nm to 150nm, including all ranges therebetween and values accurate to 0.1 nm. Other sections of the coating may include pinhole defects outside the coating on the field emitter protrusions 204 or the coating may be completely pinhole free. In an example, the presence of pinhole defects outside of the field emitter protrusion 204 is minimized.
For example, all native oxide may be removed from the silicon by wet cleaning followed by in situ etching prior to forming TiN layer 210. An advantage of TiN layer 210 is that this pinhole-free coating prevents native oxide formation on the output surface of the field emitter when applied to a clean silicon surface. As previously described, the silicon dioxide layer has a high band gap and even a thin layer can block most electrons from leaving the silicon. Thus, tiN layer 210 allows electrons having even low energies to leave silicon field emitter protrusions 204. The field emitter protrusions 204 formed on the silicon substrate 201 circumvent the limitations of previous electron emitters and the sharp emitters provide field enhancement and high emission currents. In addition, even though the silicon layer is free of oxygen when coated, previous silicon devices may not avoid the formation of a silicon dioxide interface layer between the silicon and the low work function material. That is, without an impermeable pinhole-free protective layer on silicon, oxygen will eventually migrate to the silicon surface and form an oxide layer. The advantage of using TiN layer forming layer 210 is that even a thin pinhole-free TiN layer is impermeable to oxygen and hermetically seals silicon. Since most electron emission occurs from a small region near the apex of the field emitter protrusion, it may be only required that no pinholes exist within hundreds of nm of the apex of the field emitter. Another advantage of TiN layer 210 is that the defect density and interface traps at the silicon-TiN interface are typically lower than at the silicon-silicon dioxide interface, resulting in higher emission currents.
Fig. 3 illustrates an electron gun 300 in a cross-sectional view according to another embodiment. Electron gun 300 may be used in SEM system 100 of FIG. 1. Similar to the structure described above in fig. 2, the electron gun 300 includes a field emitter cathode formed by field emitter tabs 204 integrally connected to the silicon substrate 201 and extending upward from the output surface 203. The electron gun 300 includes a grid 307 or control electrode, the grid 307 or control electrode being disposed on one or more dielectric layers 306 such that an inner edge 307E of the conductive grid 307 is spaced a predetermined distance D3 from the tip portion 206 of the field emitter tab 204. To achieve fast and accurate control of the emission current, the thickness T3 of the dielectric layer 306 is controlled such that the height H2 of the gate 307 is similar to the height H1 of the field emitter tip portion 206 (i.e., measured with respect to the output surface 203 positioned outside the periphery of the field emitter protrusion 204). Thus, the thickness T3 of the dielectric layer 306 is about equal to or less than the height H1 of the field emitter protrusion 204. The thickness T3 of the dielectric layer 306 may differ from the height H1 of the field emitter protrusion 204 by no more than a few hundred nm (e.g., such that the height H2 is within a range of H1 ± 300 nm). For example, the thickness T3 may be selected such that the gate 307 is at approximately the same height as the field emitter tip portion 206, or about 200nm higher than the field emitter tip portion 206 or about 200nm lower than the field emitter tip portion 206. Dielectric layer 306 may include one or more dielectric materials, such as SiO 2 or Si 3N4. A dielectric layer 306 is disposed on the top surface of the silicon surrounding field emitter tabs 204 but does not necessarily cover field emitter tabs 204. In another example, dielectric layer 306 partially covers the field emitters. The gate 307 and dielectric layer 306 may be fabricated by standard CMOS fabrication techniques, such as using PVD, CVD, or ALD deposition methods. The gate 307 may comprise metal or polysilicon. The simplest emitter design is a triode configuration in which only one gate 307 is used, but other configurations are possible. This gate 307 is typically formed on top of a dielectric layer 306 comprising one or several insulating layers deposited on the substrate 201. Two or more gate layers (not shown) may be utilized in more complex emitter designs, with multiple dielectric layers being used as spacers between these gate layers. It should be noted that the electron gun 300 is not limited to a pyramid or conical field emitter, and may include any shape of field emitter, such as a circular whisker shape field emitter or another shape. A change or configuration other than that in fig. 2 is possible in the embodiment of fig. 3.
Although TiN layer 210 is illustrated in fig. 3 as covering only field emitter protrusions 204, tiN layer 205 may also extend below dielectric layer 306. For example, tiN layer 210 may be formed on output surface 203 of substrate 201 and over field emitter protrusions 204 prior to forming dielectric layer 306.
Fig. 4A and 4B illustrate in cross-sectional view a disclosed electron gun in accordance with an embodiment of the present disclosure, wherein additional layers and structures are utilized to further enhance the beneficial qualities of the disclosed electron gun structure. The illustrated exemplary embodiments are not intended to be exhaustive, and it should be understood that electron guns comprising a combination of the additional layers and structures described above are possible. The embodiments of fig. 4A and 4B may be similar to the embodiments of fig. 2 and3 arranged in an array. Thus, tiN layer 410 may be similar to TiN layer 210 (described above). It should be noted that electron guns 400A and 400B are not limited to pyramid or conical field emitter protrusions, but may include any shape of field emitter protrusion, such as a circular whisker shape field emitter or another shape. Changes from the configurations shown in fig. 2 and3 or configurations other than those shown in fig. 2 and3 are possible in the embodiments of fig. 4A and 4B.
Fig. 4A illustrates an electron gun 400A in a cross-sectional view. Electron gun 400A includes a Field Emitter Array (FEA) cathode and an anode (not shown) facing the FEA cathode formed on a silicon substrate 401. The silicon substrate 401 has an upwardly-facing output (top) surface 403 with a plurality of field emitter protrusions 404-1 and 404-2 arranged in a two-dimensional periodic pattern, and a continuous TiN layer 405 disposed on the output surface 403 covering the field emitter protrusions 404-1 and 404-2. The field emitter protrusions 404-1 and 404-2 have a pyramid shape that can be made by anisotropic etching, whereby each protrusion 404-1 and 404-2 has a base portion 405 and a tip portion 406 that are generally aligned with the output surface 403. In a manner similar to field emitters 204 described above, field emitters 404-1 and 404-2 may be fabricated by standard CMOS fabrication techniques. When a sharp field emitter tip portion 406 is desired, oxidative sharpening, which may be performed at low to moderate temperatures (less than about 950 ℃), may be used prior to forming TiN layer 410. A second electrode (i.e., anode, not shown) is positioned facing the field emitter cathode. The anode may be made of metal (e.g., tungsten, molybdenum, stainless steel, etc.). A voltage source is utilized to create an external potential difference between the FEA cathode and anode so as to cause electrons to preferentially move toward the emitter tip portion 406. The electron gun 400A operates similar to a typical cold electron gun in that when properly positioned, electrons have a high probability of being emitted from the substrate 401 (most commonly from near the tip portions 406 of the field emitter tabs 404-1 and 404-2) through the output surface 403 of the FEA.
The parameter affecting the field emitter properties in the FEA cathode is the spacing S between adjacent field emitters 404-1 and 404-2. Due to the masking effect, closely spaced emitters reduce the field enhancement factor, resulting in insufficient electric field penetration into individual emitters. Thus, to minimize field masking effects and optimize field emission current density, the distance between vertically aligned emitter tabs 404-1 and 404-2 or emitter spacing S may be substantially large, such as on the order of tens of microns to even centimeters. In the example, the field emitters are spaced from 100 μm to 10cm, including the full range therebetween and values accurate to 1 μm. For example, the field emitters may be spaced apart by 10 μm, 50 μm, 100 μm, 200 μm, or 500 μm. The emitter spacing may be at least 3 times the nominal height H1 of the emitter tabs 404-1 and 404-2. In general, the spacing of the emitters matches the electron optics of the system in which they are incorporated. For this reason, a spacing between 100 μm and several cm may be chosen.
Fig. 4B illustrates an electron gun 400B in cross-sectional view. Similar to the structure described above, electron gun 400B includes a Field Emitter Array (FEA) cathode formed on a silicon substrate 401, silicon substrate 401 having an upwardly facing output (top) surface 403 with a plurality of field emitter protrusions 404-1 and 404-2 arranged in a two-dimensional periodic pattern, and a continuous TiN layer 405 disposed on output surface 403 at least over tip portions 406 of protrusions 404-1 and 404-2. The electron gun 400B differs from the electron gun 400A in that: including a gate 427 (or control electrode) disposed at a height H2 similar to the nominal height H1 of the tips of the field emitter protrusions 404-1 and 404-2 and attached to the substrate 401 by a dielectric 426. The gate 427 allows for fast and accurate control of the emission current. Dielectric layer 426 is disposed on the top surface of the silicon surrounding field emitter protrusions 404-1 and 404-2 but does not cover any portion of protrusions 404-1 and 404-2. In another example, the dielectric layer 426 partially covers one or more of the field emitter protrusions 404-1 and 404-2. The gate 427 or dielectric layer 426 may be fabricated by standard CMOS fabrication techniques (e.g., using PVD, CVD, or ALD deposition methods). The gate 427 may include metal or polysilicon. The simplest emitter design is a triode configuration in which only one gate layer is used, but other configurations are possible. This gate 427 is typically formed on top of an insulating layer (dielectric 426), which is typically an oxide layer, disposed on the substrate. Two or more gate layers are utilized in a more complex emitter design (not shown), with an insulating layer forming spacers between these gate layers. The inner edge 427E of the gate 427 is spaced a predetermined distance D3 from the tip portions 406 of the field emitter protrusions 404-1 and 404-2, respectively.
The field emission from a silicon field emitter may be described by the well known fowler-nordheim tunneling. The local field at the emitter tip is enhanced by a field enhancement factor compared to the applied electric field. As the external electric field penetrates into the semiconductor, the carrier concentration in the near-surface region changes.
For high electrostatic bias fields such as 10 7V cm-1, the conduction band of the p-type field emitter will degrade at the surface and a depletion region (where the fermi level is in the middle of the energy gap) will be created between the p-type interior and the n-type surface. This results in a minimum concentration of electrons and holes in this region, similar to the case of a reverse biased p-n junction.
When the cathode comprises n-type silicon, or when the cathode comprising p-type silicon is operated as a photocathode, the applied electrostatic field need only be strong enough to bend the conduction and valence bands downward at the tip, without bending the conduction band below the fermi level. Using this applied electrostatic field, a minority of electrons will spontaneously generate and most of the emitted current will come from electrons injected into the region from n-type silicon near the tip or from electron-hole pairs generated by absorption of light.
In previous silicon field emitters, there would be at least a thin oxide layer on the silicon surface. This oxide represents a substantial barrier to any electrons attempting to escape even though it is only about 2nm thick. The bandgap of silicon dioxide is about 9eV. This large band gap results in local peaks of conduction bands in the oxide that are several eV higher than the conduction bands in silicon. The TiN layer on the surface of the disclosed field emitter blocks oxygen or water from reaching the silicon surface and prevents the growth of oxide layers, thus realizing an efficient electron gun.
In an embodiment, this silicon field emitter operates at a temperature near room temperature to minimize the energy spread of the emitted electrons. In another embodiment useful in tolerating greater energy spread, the silicon field emitters operate at high temperatures (e.g., temperatures between about 400K and about 1000K) in order to reduce contamination of surfaces adhered to the field emitters and allow the silicon field emitters to operate in less clean vacuum environments.
Various modifications to the described embodiments will be readily apparent to those skilled in the art, and the generic principles defined herein may be applied to other embodiments. For example, additional electrodes may be placed in proximity to the electron emitters to control emission and/or focus and direct emitted electrons in a particular direction. While it is contemplated that the electron guns including silicon field emitters disclosed herein will be particularly useful in various kinds of scanning electron microscope and electron beam lithography systems, it is also contemplated that these electron guns may be useful in other applications where high emissivity and/or high current electron beams are required.
The electron gun and method of manufacture described herein are not intended to be limited to the specific embodiments shown and described, but are to be accorded the widest scope consistent with the principles and novel features disclosed herein.
While the present disclosure has been described with respect to one or more particular embodiments, it should be understood that other embodiments of the present disclosure may be made without departing from the scope of the present disclosure. Accordingly, the present disclosure is to be considered limited only by the claims and the reasonable interpretation thereof.

Claims (19)

1. An electron gun comprising a field emitter configured to generate a primary electron beam, wherein the field emitter comprises:
A monocrystalline silicon substrate having opposed first and second surfaces and including at least one integral field emitter tab having a fixed portion integrally connected to the silicon substrate and extending from the second surface to a tip portion; and
A titanium nitride (TiN) layer disposed hermetically at least over the tip portion of the field emitter protrusion such that during operation electrons that leave the monocrystalline silicon substrate through the tip portion to form the primary electron beam travel only through the TiN layer, wherein the TiN layer comprises at least 75 atomic percent TiN.
2. The electron gun of claim 1, wherein the TiN layer comprises greater than 80 atomic percent TiN.
3. The electron gun of claim 1, wherein the monocrystalline silicon substrate is p-doped at a doping level of less than about 10 19cm-3.
4. The electron gun of claim 1, wherein the single crystal silicon substrate is n-doped at a doping level between about 10 15cm-3 and about 10 19cm-3.
5. The electron gun of claim 1, wherein the monocrystalline silicon substrate has a thickness greater than about 10 μιη and is p-doped at a doping level less than about 10 14cm-3.
6. The electron gun of claim 1, further comprising a light source configured to illuminate the first surface of the monocrystalline silicon substrate, wherein the light source comprises one of a laser diode and a light emitting diode configured such that an emission current of the primary electron beam can be controlled to be proportional to an amount of light transmitted into the monocrystalline silicon substrate from the light source.
7. The electron gun of claim 1, wherein the TiN layer has a thickness in the range of 2nm to 150 nm.
8. The electron gun of claim 1, wherein a portion of the TiN layer positioned within a radius of 100nm from the tip portion comprises less than 10 atomic percent oxygen.
9. The electron gun of claim 1, wherein a portion of the TiN layer positioned within a radius of 100nm from the tip portion comprises less than 10 atomic percent carbon.
10. The electron gun of claim 1, wherein the field emitter tab comprises one of a cone, a pyramid, or a circular whisker, and wherein the tip portion of the field emitter tab has a lateral dimension of less than 300 nm.
11. The electron gun of claim 10, wherein the tip portion of the field emitter tab has a lateral dimension greater than 10 nm.
12. The electron gun of claim 1, wherein the field emitter protrusion comprises one of a cone, a pyramid, or a circular whisker, and wherein the tip portion of the field emitter protrusion has a diameter of less than 300 nm.
13. The electron gun of claim 1, wherein the field emitter is configured to operate in a reverse bias mode in which a depletion layer is generated adjacent the second surface in response to an applied electric field.
14. The electron gun of claim 1, wherein the field emitter further comprises:
a first dielectric layer disposed on the second surface of the monocrystalline silicon substrate adjacent to the field emitter protrusion;
A first conductive gate disposed on the first dielectric layer such that an edge of the first conductive gate is spaced a non-zero distance from the tip portion of the field emitter protrusion;
A second dielectric layer disposed on the second surface of the first conductive gate; and
A second poly Jiao Shanji disposed on the second dielectric layer such that an edge of the second conductive gate is spaced a non-zero distance from the tip portion of the field emitter tab;
Wherein each of the thicknesses of the first and second dielectric layers is from 500nm to 3 μm and the first dielectric layer is + -300 nm of the height of the field emitter protrusion.
15. The electron gun of claim 1, further comprising a plurality of the field emitter tabs arranged in a two-dimensional periodic pattern, each of the field emitter tabs having one of the fixed portions integrally connected to the silicon substrate and extending from the second surface to a tip portion, wherein the TiN layer is hermetically disposed at least on the tip portion of each of the plurality of field emitter tabs.
16. The electron gun of claim 15, wherein the field emitter further comprises:
A first dielectric layer disposed on the second surface of the monocrystalline silicon substrate adjacent to the plurality of the field emitter protrusions;
A first conductive gate disposed on the first dielectric layer such that an edge of the first conductive gate is spaced a non-zero distance from the tip portion of each of the plurality of field emitter protrusions;
A second dielectric layer disposed on the second surface of the first conductive gate; and
A second poly Jiao Shanji disposed on the second dielectric layer such that an edge of the second conductive gate is spaced a non-zero distance from the tip portion of each of the plurality of field emitter protrusions;
Wherein each of the thicknesses of the first and second dielectric layers is from 500nm to 3 μm and the first dielectric layer is ±200nm of a nominal height of the plurality of field emitter protrusions.
17. A Scanning Electron Microscope (SEM), comprising:
An electron gun including a field emitter configured to generate a primary electron beam;
electron optics configured to demagnify and focus the primary electron beam onto a sample; and
A detector configured to detect at least one of backscattered electrons and secondary electrons transmitted from the sample in response to the primary electron beam;
Wherein the field emitter comprises:
A monocrystalline silicon substrate having opposed first and second surfaces and including at least one integral field emitter tab having a fixed portion integrally connected to the silicon substrate and extending from the second surface to a tip portion; and
A titanium nitride (TiN) layer disposed hermetically at least over the tip portion of the field emitter protrusion such that during operation electrons that leave the single crystal silicon substrate through the tip portion to form the primary electron beam travel only through the TiN layer, wherein the TiN layer comprises at least 75 atomic percent TiN, and wherein a portion of the TiN layer positioned within a radius of 100nm from the tip portion comprises less than 10 atomic percent oxygen.
18. A device comprising an electron gun comprising a field emitter configured to generate a primary electron beam, wherein the field emitter comprises:
A monocrystalline silicon substrate having opposed first and second surfaces and including at least one integral field emitter tab having a fixed portion integrally connected to the silicon substrate and extending from the second surface to a tip portion; and
A titanium nitride (TiN) layer disposed hermetically at least over the tip portion of the field emitter protrusion such that during operation electrons that leave the single crystal silicon substrate through the tip portion to form the primary electron beam travel only through the TiN layer, wherein the TiN layer comprises at least 75 atomic percent TiN, and wherein a portion of the TiN layer positioned within a radius of 100nm from the tip portion comprises less than 10 atomic percent oxygen.
19. The apparatus of claim 18, wherein the apparatus comprises one of a Scanning Electron Microscope (SEM) system or an electron beam lithography system.
CN202380013727.0A 2022-03-18 2023-03-20 Electron gun and electron microscope Pending CN117999628A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US63/321,112 2022-03-18
US18/122,388 2023-03-16
US18/122,388 US20230298847A1 (en) 2022-03-18 2023-03-16 Electron gun and electron microscope
PCT/US2023/015595 WO2023177916A1 (en) 2022-03-18 2023-03-20 Electron gun and electron microscope

Publications (1)

Publication Number Publication Date
CN117999628A true CN117999628A (en) 2024-05-07

Family

ID=90901499

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202380013727.0A Pending CN117999628A (en) 2022-03-18 2023-03-20 Electron gun and electron microscope

Country Status (1)

Country Link
CN (1) CN117999628A (en)

Similar Documents

Publication Publication Date Title
JP7236515B2 (en) electron source
TWI733920B (en) Electron beam lithography systems
US11715615B2 (en) Light modulated electron source
CN112740355B (en) Electron gun and electron microscope
US20230298847A1 (en) Electron gun and electron microscope
CN117999628A (en) Electron gun and electron microscope
TWI840615B (en) Light modulated electron source, method for modulating an electron beam, and device including an electron source

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication