CN117826534A - Method for forming a semiconductor device - Google Patents
Method for forming a semiconductor device Download PDFInfo
- Publication number
- CN117826534A CN117826534A CN202310585916.7A CN202310585916A CN117826534A CN 117826534 A CN117826534 A CN 117826534A CN 202310585916 A CN202310585916 A CN 202310585916A CN 117826534 A CN117826534 A CN 117826534A
- Authority
- CN
- China
- Prior art keywords
- coating layer
- photoresist layer
- groups
- layer
- forming
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 106
- 239000004065 semiconductor Substances 0.000 title claims abstract description 59
- 239000010410 layer Substances 0.000 claims abstract description 165
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 162
- 239000011247 coating layer Substances 0.000 claims abstract description 160
- 229920000642 polymer Polymers 0.000 claims abstract description 94
- 239000000758 substrate Substances 0.000 claims abstract description 70
- 239000002253 acid Substances 0.000 claims abstract description 60
- 238000004132 cross linking Methods 0.000 claims abstract description 52
- 230000005855 radiation Effects 0.000 claims abstract description 51
- 230000008569 process Effects 0.000 claims abstract description 38
- 238000000059 patterning Methods 0.000 claims abstract 3
- 238000007667 floating Methods 0.000 claims description 27
- 150000002902 organometallic compounds Chemical class 0.000 claims description 24
- 125000000217 alkyl group Chemical group 0.000 claims description 20
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims description 18
- 239000002904 solvent Substances 0.000 claims description 16
- 125000004432 carbon atom Chemical group C* 0.000 claims description 15
- 239000001257 hydrogen Substances 0.000 claims description 14
- 229910052739 hydrogen Inorganic materials 0.000 claims description 14
- 125000002947 alkylene group Chemical group 0.000 claims description 11
- 239000008199 coating composition Substances 0.000 claims description 11
- 125000004122 cyclic group Chemical group 0.000 claims description 10
- 238000006243 chemical reaction Methods 0.000 claims description 9
- 229910052736 halogen Inorganic materials 0.000 claims description 9
- 238000010438 heat treatment Methods 0.000 claims description 8
- 229920006395 saturated elastomer Polymers 0.000 claims description 7
- 150000002367 halogens Chemical class 0.000 claims description 6
- 125000002015 acyclic group Chemical group 0.000 claims description 4
- 238000000151 deposition Methods 0.000 claims description 4
- 125000000732 arylene group Chemical group 0.000 claims description 3
- 125000004474 heteroalkylene group Chemical group 0.000 claims description 3
- 125000005549 heteroarylene group Chemical group 0.000 claims description 3
- -1 hydrogen radicals Chemical class 0.000 description 67
- 239000000463 material Substances 0.000 description 22
- 229910052751 metal Inorganic materials 0.000 description 20
- 239000002184 metal Substances 0.000 description 20
- 238000005530 etching Methods 0.000 description 18
- 238000004519 manufacturing process Methods 0.000 description 16
- 125000003118 aryl group Chemical group 0.000 description 15
- 125000002147 dimethylamino group Chemical group [H]C([H])([H])N(*)C([H])([H])[H] 0.000 description 14
- 125000001424 substituent group Chemical group 0.000 description 13
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 12
- ZMXDDKWLCZADIW-UHFFFAOYSA-N N,N-Dimethylformamide Chemical compound CN(C)C=O ZMXDDKWLCZADIW-UHFFFAOYSA-N 0.000 description 12
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical group [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 239000011248 coating agent Substances 0.000 description 12
- 238000000576 coating method Methods 0.000 description 12
- 125000000623 heterocyclic group Chemical group 0.000 description 12
- 229910052757 nitrogen Inorganic materials 0.000 description 12
- 125000001072 heteroaryl group Chemical group 0.000 description 11
- 229910052710 silicon Inorganic materials 0.000 description 11
- 239000010703 silicon Substances 0.000 description 11
- 150000001412 amines Chemical class 0.000 description 10
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 10
- IJGRMHOSHXDMSA-UHFFFAOYSA-N nitrogen Substances N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 10
- 206010073306 Exposure to radiation Diseases 0.000 description 9
- 238000011161 development Methods 0.000 description 9
- 125000000524 functional group Chemical group 0.000 description 9
- WYURNTSHIVDZCO-UHFFFAOYSA-N Tetrahydrofuran Chemical compound C1CCOC1 WYURNTSHIVDZCO-UHFFFAOYSA-N 0.000 description 8
- DKPFZGUDAPQIHT-UHFFFAOYSA-N butyl acetate Chemical compound CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 8
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 8
- LZCLXQDLBQLTDK-UHFFFAOYSA-N ethyl 2-hydroxypropanoate Chemical compound CCOC(=O)C(C)O LZCLXQDLBQLTDK-UHFFFAOYSA-N 0.000 description 8
- 229910052731 fluorine Inorganic materials 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- 150000003254 radicals Chemical class 0.000 description 8
- 229910052799 carbon Inorganic materials 0.000 description 7
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 7
- 150000001875 compounds Chemical class 0.000 description 7
- 238000006482 condensation reaction Methods 0.000 description 7
- 125000000753 cycloalkyl group Chemical group 0.000 description 7
- 125000005843 halogen group Chemical group 0.000 description 7
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 125000003545 alkoxy group Chemical group 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical group [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 229910052794 bromium Inorganic materials 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 125000001153 fluoro group Chemical group F* 0.000 description 6
- 239000007789 gas Substances 0.000 description 6
- 239000012212 insulator Substances 0.000 description 6
- 229910052740 iodine Inorganic materials 0.000 description 6
- 238000002955 isolation Methods 0.000 description 6
- 150000002736 metal compounds Chemical class 0.000 description 6
- 125000004433 nitrogen atom Chemical group N* 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 239000001301 oxygen Chemical group 0.000 description 6
- 238000004528 spin coating Methods 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- WVYWICLMDOOCFB-UHFFFAOYSA-N 4-methyl-2-pentanol Chemical compound CC(C)CC(C)O WVYWICLMDOOCFB-UHFFFAOYSA-N 0.000 description 5
- CSCPPACGZOOCGX-UHFFFAOYSA-N Acetone Chemical compound CC(C)=O CSCPPACGZOOCGX-UHFFFAOYSA-N 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 5
- 150000001768 cations Chemical class 0.000 description 5
- CATSNJVOTSVZJV-UHFFFAOYSA-N heptan-2-one Chemical compound CCCCCC(C)=O CATSNJVOTSVZJV-UHFFFAOYSA-N 0.000 description 5
- 125000001183 hydrocarbyl group Chemical group 0.000 description 5
- 125000001570 methylene group Chemical group [H]C([H])([*:1])[*:2] 0.000 description 5
- 230000009467 reduction Effects 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 150000003871 sulfonates Chemical class 0.000 description 5
- ARXJGSRGQADJSQ-UHFFFAOYSA-N 1-methoxypropan-2-ol Chemical compound COCC(C)O ARXJGSRGQADJSQ-UHFFFAOYSA-N 0.000 description 4
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 4
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 4
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 4
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 4
- 125000003282 alkyl amino group Chemical group 0.000 description 4
- 150000001450 anions Chemical class 0.000 description 4
- 125000003710 aryl alkyl group Chemical group 0.000 description 4
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 4
- LUZSPGQEISANPO-UHFFFAOYSA-N butyltin Chemical group CCCC[Sn] LUZSPGQEISANPO-UHFFFAOYSA-N 0.000 description 4
- 229920001795 coordination polymer Polymers 0.000 description 4
- 238000010894 electron beam technology Methods 0.000 description 4
- 229940116333 ethyl lactate Drugs 0.000 description 4
- 238000005755 formation reaction Methods 0.000 description 4
- 125000001188 haloalkyl group Chemical group 0.000 description 4
- 125000005842 heteroatom Chemical group 0.000 description 4
- 125000002768 hydroxyalkyl group Chemical group 0.000 description 4
- 125000001841 imino group Chemical group [H]N=* 0.000 description 4
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 4
- 239000003446 ligand Substances 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- 238000012545 processing Methods 0.000 description 4
- LLHKCFNBLRBOGN-UHFFFAOYSA-N propylene glycol methyl ether acetate Chemical compound COCC(C)OC(C)=O LLHKCFNBLRBOGN-UHFFFAOYSA-N 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- YLQBMQCUIZJEEH-UHFFFAOYSA-N tetrahydrofuran Natural products C=1C=COC=1 YLQBMQCUIZJEEH-UHFFFAOYSA-N 0.000 description 4
- 229910052718 tin Inorganic materials 0.000 description 4
- ZWEHNKRNPOVVGH-UHFFFAOYSA-N 2-Butanone Chemical compound CCC(C)=O ZWEHNKRNPOVVGH-UHFFFAOYSA-N 0.000 description 3
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- FJPVCRKAJACYRV-UHFFFAOYSA-N CCCCCC[Sn] Chemical group CCCCCC[Sn] FJPVCRKAJACYRV-UHFFFAOYSA-N 0.000 description 3
- WLLGXSLBOPFWQV-UHFFFAOYSA-N MGK 264 Chemical compound C1=CC2CC1C1C2C(=O)N(CC(CC)CCCC)C1=O WLLGXSLBOPFWQV-UHFFFAOYSA-N 0.000 description 3
- ZMANZCXQSJIPKH-UHFFFAOYSA-N Triethylamine Chemical compound CCN(CC)CC ZMANZCXQSJIPKH-UHFFFAOYSA-N 0.000 description 3
- 125000003158 alcohol group Chemical group 0.000 description 3
- 125000003342 alkenyl group Chemical group 0.000 description 3
- 150000001408 amides Chemical class 0.000 description 3
- 239000006117 anti-reflective coating Substances 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 125000002619 bicyclic group Chemical group 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000003776 cleavage reaction Methods 0.000 description 3
- 238000010511 deprotection reaction Methods 0.000 description 3
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 description 3
- 238000001312 dry etching Methods 0.000 description 3
- 125000004185 ester group Chemical group 0.000 description 3
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 3
- 239000010408 film Substances 0.000 description 3
- 125000004415 heterocyclylalkyl group Chemical group 0.000 description 3
- 125000004051 hexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 230000001965 increasing effect Effects 0.000 description 3
- 238000005468 ion implantation Methods 0.000 description 3
- 125000005647 linker group Chemical group 0.000 description 3
- 238000004943 liquid phase epitaxy Methods 0.000 description 3
- 125000002950 monocyclic group Chemical group 0.000 description 3
- 125000001147 pentyl group Chemical group C(CCCC)* 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- 125000001273 sulfonato group Chemical group [O-]S(*)(=O)=O 0.000 description 3
- 229910052717 sulfur Chemical group 0.000 description 3
- 125000004434 sulfur atom Chemical group 0.000 description 3
- 125000001544 thienyl group Chemical group 0.000 description 3
- 125000004001 thioalkyl group Chemical group 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 125000002827 triflate group Chemical class FC(S(=O)(=O)O*)(F)F 0.000 description 3
- 125000002023 trifluoromethyl group Chemical group FC(F)(F)* 0.000 description 3
- GEWWCWZGHNIUBW-UHFFFAOYSA-N 1-(4-nitrophenyl)propan-2-one Chemical compound CC(=O)CC1=CC=C([N+]([O-])=O)C=C1 GEWWCWZGHNIUBW-UHFFFAOYSA-N 0.000 description 2
- JOLQKTGDSGKSKJ-UHFFFAOYSA-N 1-ethoxypropan-2-ol Chemical compound CCOCC(C)O JOLQKTGDSGKSKJ-UHFFFAOYSA-N 0.000 description 2
- NIXOWILDQLNWCW-UHFFFAOYSA-N 2-Propenoic acid Natural products OC(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-N 0.000 description 2
- QTBSBXVTEAMEQO-UHFFFAOYSA-M Acetate Chemical compound CC([O-])=O QTBSBXVTEAMEQO-UHFFFAOYSA-M 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical group [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 239000007983 Tris buffer Substances 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 125000005073 adamantyl group Chemical group C12(CC3CC(CC(C1)C3)C2)* 0.000 description 2
- 125000004448 alkyl carbonyl group Chemical group 0.000 description 2
- 125000004390 alkyl sulfonyl group Chemical group 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- CUFNKYGDVFVPHO-UHFFFAOYSA-N azulene Chemical compound C1=CC=CC2=CC=CC2=C1 CUFNKYGDVFVPHO-UHFFFAOYSA-N 0.000 description 2
- 125000002047 benzodioxolyl group Chemical group O1OC(C2=C1C=CC=C2)* 0.000 description 2
- 125000000499 benzofuranyl group Chemical group O1C(=CC2=C1C=CC=C2)* 0.000 description 2
- 125000001164 benzothiazolyl group Chemical group S1C(=NC2=C1C=CC=C2)* 0.000 description 2
- 125000004541 benzoxazolyl group Chemical group O1C(=NC2=C1C=CC=C2)* 0.000 description 2
- 229910052797 bismuth Inorganic materials 0.000 description 2
- 238000009835 boiling Methods 0.000 description 2
- 229910000085 borane Inorganic materials 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 150000001721 carbon Chemical group 0.000 description 2
- 125000002843 carboxylic acid group Chemical group 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 125000001316 cycloalkyl alkyl group Chemical group 0.000 description 2
- 125000000582 cycloheptyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 2
- 125000000113 cyclohexyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C1([H])[H] 0.000 description 2
- 125000001511 cyclopentyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 239000012955 diaryliodonium Substances 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000009429 electrical wiring Methods 0.000 description 2
- 125000001033 ether group Chemical group 0.000 description 2
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 2
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 125000003709 fluoroalkyl group Chemical group 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 125000004446 heteroarylalkyl group Chemical group 0.000 description 2
- 238000002248 hydride vapour-phase epitaxy Methods 0.000 description 2
- 125000003453 indazolyl group Chemical group N1N=C(C2=C1C=CC=C2)* 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- MGFYSGNNHQQTJW-UHFFFAOYSA-N iodonium Chemical compound [IH2+] MGFYSGNNHQQTJW-UHFFFAOYSA-N 0.000 description 2
- 125000000904 isoindolyl group Chemical group C=1(NC=C2C=CC=CC12)* 0.000 description 2
- 125000002183 isoquinolinyl group Chemical group C1(=NC=CC2=CC=CC=C12)* 0.000 description 2
- 125000001786 isothiazolyl group Chemical group 0.000 description 2
- 125000000468 ketone group Chemical group 0.000 description 2
- 238000001741 metal-organic molecular beam epitaxy Methods 0.000 description 2
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 125000002868 norbornyl group Chemical group C12(CCC(CC1)C2)* 0.000 description 2
- 239000003960 organic solvent Substances 0.000 description 2
- ZHPWRIMJTIXQIA-UHFFFAOYSA-N pentyltin Chemical group CCCCC[Sn] ZHPWRIMJTIXQIA-UHFFFAOYSA-N 0.000 description 2
- 125000000843 phenylene group Chemical group C1(=C(C=CC=C1)*)* 0.000 description 2
- 229910000073 phosphorus hydride Inorganic materials 0.000 description 2
- BDERNNFJNOPAEC-UHFFFAOYSA-N propan-1-ol Chemical compound CCCO BDERNNFJNOPAEC-UHFFFAOYSA-N 0.000 description 2
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 125000004076 pyridyl group Chemical group 0.000 description 2
- 125000004621 quinuclidinyl group Chemical group N12C(CC(CC1)CC2)* 0.000 description 2
- 230000007017 scission Effects 0.000 description 2
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 description 2
- 239000011593 sulfur Chemical group 0.000 description 2
- 229910052714 tellurium Inorganic materials 0.000 description 2
- 125000004568 thiomorpholinyl group Chemical group 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- GETQZCLCWQTVFV-UHFFFAOYSA-N trimethylamine Chemical compound CN(C)C GETQZCLCWQTVFV-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 2
- DLDWUFCUUXXYTB-UHFFFAOYSA-N (2-oxo-1,2-diphenylethyl) 4-methylbenzenesulfonate Chemical compound C1=CC(C)=CC=C1S(=O)(=O)OC(C=1C=CC=CC=1)C(=O)C1=CC=CC=C1 DLDWUFCUUXXYTB-UHFFFAOYSA-N 0.000 description 1
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 1
- 125000004400 (C1-C12) alkyl group Chemical group 0.000 description 1
- 125000004169 (C1-C6) alkyl group Chemical group 0.000 description 1
- 125000004209 (C1-C8) alkyl group Chemical group 0.000 description 1
- BYEAHWXPCBROCE-UHFFFAOYSA-N 1,1,1,3,3,3-hexafluoropropan-2-ol Chemical group FC(F)(F)C(O)C(F)(F)F BYEAHWXPCBROCE-UHFFFAOYSA-N 0.000 description 1
- ACEKLXZRZOWKRY-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,5,5,5-undecafluoropentane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F ACEKLXZRZOWKRY-UHFFFAOYSA-M 0.000 description 1
- YFSUTJLHUFNCNZ-UHFFFAOYSA-M 1,1,2,2,3,3,4,4,5,5,6,6,7,7,8,8,8-heptadecafluorooctane-1-sulfonate Chemical compound [O-]S(=O)(=O)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)C(F)(F)F YFSUTJLHUFNCNZ-UHFFFAOYSA-M 0.000 description 1
- 125000005988 1,1-dioxo-thiomorpholinyl group Chemical group 0.000 description 1
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- RYHBNJHYFVUHQT-UHFFFAOYSA-N 1,4-Dioxane Chemical compound C1COCCO1 RYHBNJHYFVUHQT-UHFFFAOYSA-N 0.000 description 1
- 125000005877 1,4-benzodioxanyl group Chemical group 0.000 description 1
- SMNRWTHFHZCKKI-UHFFFAOYSA-N 1-(2H-pyrazin-1-yloxy)-2H-pyrazine Chemical compound N1(CC=NC=C1)ON1CC=NC=C1 SMNRWTHFHZCKKI-UHFFFAOYSA-N 0.000 description 1
- KJIRUFPEIRQLGV-UHFFFAOYSA-N 1-(2H-pyrimidin-1-yloxy)-2H-pyrimidine Chemical compound N1(CN=CC=C1)ON1CN=CC=C1 KJIRUFPEIRQLGV-UHFFFAOYSA-N 0.000 description 1
- YYDIGPGUISOOIJ-UHFFFAOYSA-N 1-(2h-pyridin-1-yloxy)-2h-pyridine Chemical compound C1C=CC=CN1ON1C=CC=CC1 YYDIGPGUISOOIJ-UHFFFAOYSA-N 0.000 description 1
- VXNZUUAINFGPBY-UHFFFAOYSA-N 1-Butene Chemical group CCC=C VXNZUUAINFGPBY-UHFFFAOYSA-N 0.000 description 1
- 125000004973 1-butenyl group Chemical group C(=CCC)* 0.000 description 1
- 125000005987 1-oxo-thiomorpholinyl group Chemical group 0.000 description 1
- 125000004206 2,2,2-trifluoroethyl group Chemical group [H]C([H])(*)C(F)(F)F 0.000 description 1
- SMZOUWXMTYCWNB-UHFFFAOYSA-N 2-(2-methoxy-5-methylphenyl)ethanamine Chemical compound COC1=CC=C(C)C=C1CCN SMZOUWXMTYCWNB-UHFFFAOYSA-N 0.000 description 1
- 125000003229 2-methylhexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- KUQVFOOAIOMQOT-UHFFFAOYSA-N 2-methylpropyltin Chemical compound CC(C)C[Sn] KUQVFOOAIOMQOT-UHFFFAOYSA-N 0.000 description 1
- 125000004638 2-oxopiperazinyl group Chemical group O=C1N(CCNC1)* 0.000 description 1
- 125000004637 2-oxopiperidinyl group Chemical group O=C1N(CCCC1)* 0.000 description 1
- XLLXMBCBJGATSP-UHFFFAOYSA-N 2-phenylethenol Chemical class OC=CC1=CC=CC=C1 XLLXMBCBJGATSP-UHFFFAOYSA-N 0.000 description 1
- 125000003469 3-methylhexyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000005986 4-piperidonyl group Chemical group 0.000 description 1
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 241000234282 Allium Species 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- MTNOHTZGQTZQKE-UHFFFAOYSA-N C(C)(C)[Sn] Chemical compound C(C)(C)[Sn] MTNOHTZGQTZQKE-UHFFFAOYSA-N 0.000 description 1
- HSJIUFUQDIUIAP-UHFFFAOYSA-N C(CC(C)C)[Sn] Chemical compound C(CC(C)C)[Sn] HSJIUFUQDIUIAP-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229930194542 Keto Natural products 0.000 description 1
- 239000002841 Lewis acid Substances 0.000 description 1
- 229910052765 Lutetium Inorganic materials 0.000 description 1
- GYCMBHHDWRMZGG-UHFFFAOYSA-N Methylacrylonitrile Chemical compound CC(=C)C#N GYCMBHHDWRMZGG-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 150000001204 N-oxides Chemical group 0.000 description 1
- HWVGZLKUYKTMNE-UHFFFAOYSA-N N1(NC=CC=C1)ON1NC=CC=C1 Chemical compound N1(NC=CC=C1)ON1NC=CC=C1 HWVGZLKUYKTMNE-UHFFFAOYSA-N 0.000 description 1
- 229910017711 NHRa Inorganic materials 0.000 description 1
- 229910003827 NRaRb Inorganic materials 0.000 description 1
- JCXJVPUVTGWSNB-UHFFFAOYSA-N Nitrogen dioxide Chemical compound O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 1
- 101100233916 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) KAR5 gene Proteins 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 description 1
- OQNXPQOQCWVVHP-UHFFFAOYSA-N [Si].O=[Ge] Chemical compound [Si].O=[Ge] OQNXPQOQCWVVHP-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- JDPAVWAQGBGGHD-UHFFFAOYSA-N aceanthrylene Chemical group C1=CC=C2C(C=CC3=CC=C4)=C3C4=CC2=C1 JDPAVWAQGBGGHD-UHFFFAOYSA-N 0.000 description 1
- 125000004054 acenaphthylenyl group Chemical group C1(=CC2=CC=CC3=CC=CC1=C23)* 0.000 description 1
- SQFPKRNUGBRTAR-UHFFFAOYSA-N acephenanthrylene Chemical group C1=CC(C=C2)=C3C2=CC2=CC=CC=C2C3=C1 SQFPKRNUGBRTAR-UHFFFAOYSA-N 0.000 description 1
- HXGDTGSAIMULJN-UHFFFAOYSA-N acetnaphthylene Natural products C1=CC(C=C2)=C3C2=CC=CC3=C1 HXGDTGSAIMULJN-UHFFFAOYSA-N 0.000 description 1
- 125000000641 acridinyl group Chemical group C1(=CC=CC2=NC3=CC=CC=C3C=C12)* 0.000 description 1
- 150000001252 acrylic acid derivatives Chemical class 0.000 description 1
- 150000001336 alkenes Chemical group 0.000 description 1
- 150000003973 alkyl amines Chemical group 0.000 description 1
- 125000005107 alkyl diaryl silyl group Chemical group 0.000 description 1
- 125000005011 alkyl ether group Chemical group 0.000 description 1
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 125000003368 amide group Chemical group 0.000 description 1
- 125000003277 amino group Chemical group 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 150000004982 aromatic amines Chemical group 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- DMLAVOWQYNRWNQ-UHFFFAOYSA-N azobenzene Chemical compound C1=CC=CC=C1N=NC1=CC=CC=C1 DMLAVOWQYNRWNQ-UHFFFAOYSA-N 0.000 description 1
- 125000003785 benzimidazolyl group Chemical group N1=C(NC2=C1C=CC=C2)* 0.000 description 1
- 125000005870 benzindolyl group Chemical group 0.000 description 1
- 125000005605 benzo group Chemical group 0.000 description 1
- 125000000928 benzodioxinyl group Chemical group O1C(=COC2=C1C=CC=C2)* 0.000 description 1
- 125000005878 benzonaphthofuranyl group Chemical group 0.000 description 1
- 125000004619 benzopyranyl group Chemical group O1C(C=CC2=C1C=CC=C2)* 0.000 description 1
- 125000005874 benzothiadiazolyl group Chemical group 0.000 description 1
- 125000004196 benzothienyl group Chemical group S1C(=CC2=C1C=CC=C2)* 0.000 description 1
- 125000003354 benzotriazolyl group Chemical group N1N=NC2=C1C=CC=C2* 0.000 description 1
- 235000019445 benzyl alcohol Nutrition 0.000 description 1
- 125000001797 benzyl group Chemical group [H]C1=C([H])C([H])=C(C([H])=C1[H])C([H])([H])* 0.000 description 1
- HPPSOVBQPGUHDN-UHFFFAOYSA-N bis(2,3-ditert-butylphenyl)iodanium Chemical compound CC(C)(C)C1=CC=CC([I+]C=2C(=C(C=CC=2)C(C)(C)C)C(C)(C)C)=C1C(C)(C)C HPPSOVBQPGUHDN-UHFFFAOYSA-N 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 125000001246 bromo group Chemical group Br* 0.000 description 1
- 125000000480 butynyl group Chemical group [*]C#CC([H])([H])C([H])([H])[H] 0.000 description 1
- JHRWWRDRBPCWTF-OLQVQODUSA-N captafol Chemical group C1C=CC[C@H]2C(=O)N(SC(Cl)(Cl)C(Cl)Cl)C(=O)[C@H]21 JHRWWRDRBPCWTF-OLQVQODUSA-N 0.000 description 1
- 125000000609 carbazolyl group Chemical group C1(=CC=CC=2C3=CC=CC=C3NC12)* 0.000 description 1
- 125000002837 carbocyclic group Chemical group 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 125000005708 carbonyloxy group Chemical group [*:2]OC([*:1])=O 0.000 description 1
- 150000007942 carboxylates Chemical class 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 125000001309 chloro group Chemical group Cl* 0.000 description 1
- 125000000259 cinnolinyl group Chemical group N1=NC(=CC2=CC=CC=C12)* 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 125000006165 cyclic alkyl group Chemical group 0.000 description 1
- 125000001995 cyclobutyl group Chemical group [H]C1([H])C([H])([H])C([H])(*)C1([H])[H] 0.000 description 1
- 125000004210 cyclohexylmethyl group Chemical group [H]C([H])(*)C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C1([H])[H] 0.000 description 1
- 125000000640 cyclooctyl group Chemical group [H]C1([H])C([H])([H])C([H])([H])C([H])([H])C([H])(*)C([H])([H])C([H])([H])C1([H])[H] 0.000 description 1
- 125000001559 cyclopropyl group Chemical group [H]C1([H])C([H])([H])C1([H])* 0.000 description 1
- 125000004652 decahydroisoquinolinyl group Chemical group C1(NCCC2CCCCC12)* 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 125000004663 dialkyl amino group Chemical group 0.000 description 1
- 125000005265 dialkylamine group Chemical group 0.000 description 1
- 125000005105 dialkylarylsilyl group Chemical group 0.000 description 1
- 125000005266 diarylamine group Chemical group 0.000 description 1
- 125000005520 diaryliodonium group Chemical group 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- HPNMFZURTQLUMO-UHFFFAOYSA-N diethylamine Chemical compound CCNCC HPNMFZURTQLUMO-UHFFFAOYSA-N 0.000 description 1
- 125000001664 diethylamino group Chemical group [H]C([H])([H])C([H])([H])N(*)C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000001028 difluoromethyl group Chemical group [H]C(F)(F)* 0.000 description 1
- 125000005879 dioxolanyl group Chemical group 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- WEHWNAOGRSTTBQ-UHFFFAOYSA-N dipropylamine Chemical compound CCCNCCC WEHWNAOGRSTTBQ-UHFFFAOYSA-N 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 150000002081 enamines Chemical group 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 150000002148 esters Chemical class 0.000 description 1
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 1
- 125000003844 furanonyl group Chemical group 0.000 description 1
- 125000002541 furyl group Chemical group 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 125000004404 heteroalkyl group Chemical group 0.000 description 1
- 125000004475 heteroaralkyl group Chemical group 0.000 description 1
- 125000000592 heterocycloalkyl group Chemical group 0.000 description 1
- 125000005980 hexynyl group Chemical group 0.000 description 1
- 150000007857 hydrazones Chemical group 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 125000002632 imidazolidinyl group Chemical group 0.000 description 1
- 125000002636 imidazolinyl group Chemical group 0.000 description 1
- 125000002883 imidazolyl group Chemical group 0.000 description 1
- 150000003949 imides Chemical group 0.000 description 1
- 150000002466 imines Chemical group 0.000 description 1
- 238000000671 immersion lithography Methods 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 125000003387 indolinyl group Chemical group N1(CCC2=CC=CC=C12)* 0.000 description 1
- 125000003406 indolizinyl group Chemical group C=1(C=CN2C=CC=CC12)* 0.000 description 1
- 125000001041 indolyl group Chemical group 0.000 description 1
- 230000002401 inhibitory effect Effects 0.000 description 1
- 229920000592 inorganic polymer Polymers 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 125000002346 iodo group Chemical group I* 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 125000000959 isobutyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])* 0.000 description 1
- 125000004491 isohexyl group Chemical group C(CCC(C)C)* 0.000 description 1
- 125000001972 isopentyl group Chemical group [H]C([H])([H])C([H])(C([H])([H])[H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000003965 isoxazolidinyl group Chemical group 0.000 description 1
- 125000000842 isoxazolyl group Chemical group 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 150000007517 lewis acids Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- OHSVLFRHMCKCQY-UHFFFAOYSA-N lutetium atom Chemical compound [Lu] OHSVLFRHMCKCQY-UHFFFAOYSA-N 0.000 description 1
- 150000002688 maleic acid derivatives Chemical class 0.000 description 1
- 229910052748 manganese Inorganic materials 0.000 description 1
- 150000002734 metacrylic acid derivatives Chemical class 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- FQPSGWSUVKBHSU-UHFFFAOYSA-N methacrylamide Chemical compound CC(=C)C(N)=O FQPSGWSUVKBHSU-UHFFFAOYSA-N 0.000 description 1
- 125000005395 methacrylic acid group Chemical group 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000000178 monomer Substances 0.000 description 1
- 125000002757 morpholinyl group Chemical group 0.000 description 1
- OOHAUGDGCWURIT-UHFFFAOYSA-N n,n-dipentylpentan-1-amine Chemical compound CCCCCN(CCCCC)CCCCC OOHAUGDGCWURIT-UHFFFAOYSA-N 0.000 description 1
- 125000004123 n-propyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 125000004593 naphthyridinyl group Chemical group N1=C(C=CC2=CC=CN=C12)* 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 150000002825 nitriles Chemical group 0.000 description 1
- 125000000449 nitro group Chemical group [O-][N+](*)=O 0.000 description 1
- 125000006574 non-aromatic ring group Chemical group 0.000 description 1
- 125000005060 octahydroindolyl group Chemical group N1(CCC2CCCCC12)* 0.000 description 1
- 125000005061 octahydroisoindolyl group Chemical group C1(NCC2CCCCC12)* 0.000 description 1
- JRZJOMJEPLMPRA-UHFFFAOYSA-N olefin Natural products CCCCCCCC=C JRZJOMJEPLMPRA-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 150000002892 organic cations Chemical class 0.000 description 1
- 239000013110 organic ligand Substances 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- 125000001715 oxadiazolyl group Chemical group 0.000 description 1
- 125000000160 oxazolidinyl group Chemical group 0.000 description 1
- 125000002971 oxazolyl group Chemical group 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- 150000002923 oximes Chemical group 0.000 description 1
- 125000000466 oxiranyl group Chemical group 0.000 description 1
- 125000005476 oxopyrrolidinyl group Chemical group 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 125000005981 pentynyl group Chemical group 0.000 description 1
- 125000001791 phenazinyl group Chemical group C1(=CC=CC2=NC3=CC=CC=C3N=C12)* 0.000 description 1
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N phenol group Chemical group C1(=CC=CC=C1)O ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 1
- 125000001644 phenoxazinyl group Chemical group C1(=CC=CC=2OC3=CC=CC=C3NC12)* 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 125000004193 piperazinyl group Chemical group 0.000 description 1
- 125000003386 piperidinyl group Chemical group 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- FBIVKLDWLAMJMB-UHFFFAOYSA-N propyltin Chemical compound CCC[Sn] FBIVKLDWLAMJMB-UHFFFAOYSA-N 0.000 description 1
- 125000002568 propynyl group Chemical group [*]C#CC([H])([H])[H] 0.000 description 1
- 125000001042 pteridinyl group Chemical group N1=C(N=CC2=NC=CN=C12)* 0.000 description 1
- 125000000561 purinyl group Chemical group N1=C(N=C2N=CNC2=C1)* 0.000 description 1
- 125000003373 pyrazinyl group Chemical group 0.000 description 1
- 125000003072 pyrazolidinyl group Chemical group 0.000 description 1
- 125000003226 pyrazolyl group Chemical group 0.000 description 1
- 125000002098 pyridazinyl group Chemical group 0.000 description 1
- 125000000714 pyrimidinyl group Chemical group 0.000 description 1
- 125000000719 pyrrolidinyl group Chemical group 0.000 description 1
- 125000000168 pyrrolyl group Chemical group 0.000 description 1
- 125000002294 quinazolinyl group Chemical group N1=C(N=CC2=CC=CC=C12)* 0.000 description 1
- 125000002943 quinolinyl group Chemical group N1=C(C=CC2=CC=CC=C12)* 0.000 description 1
- 125000001567 quinoxalinyl group Chemical group N1=C(C=NC2=CC=CC=C12)* 0.000 description 1
- 230000003362 replicative effect Effects 0.000 description 1
- 239000013557 residual solvent Substances 0.000 description 1
- 230000006335 response to radiation Effects 0.000 description 1
- 229910052703 rhodium Inorganic materials 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 238000003756 stirring Methods 0.000 description 1
- 125000003107 substituted aryl group Chemical group 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 125000000565 sulfonamide group Chemical group 0.000 description 1
- 150000003457 sulfones Chemical group 0.000 description 1
- 125000000542 sulfonic acid group Chemical group 0.000 description 1
- 125000000472 sulfonyl group Chemical group *S(*)(=O)=O 0.000 description 1
- 125000003375 sulfoxide group Chemical group 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 1
- 125000003718 tetrahydrofuranyl group Chemical group 0.000 description 1
- 125000001412 tetrahydropyranyl group Chemical group 0.000 description 1
- 125000000147 tetrahydroquinolinyl group Chemical group N1(CCCC2=CC=CC=C12)* 0.000 description 1
- 125000003831 tetrazolyl group Chemical group 0.000 description 1
- 125000001113 thiadiazolyl group Chemical group 0.000 description 1
- 125000000335 thiazolyl group Chemical group 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 125000003396 thiol group Chemical class [H]S* 0.000 description 1
- 150000003573 thiols Chemical group 0.000 description 1
- 125000004665 trialkylsilyl group Chemical group 0.000 description 1
- 125000005106 triarylsilyl group Chemical group 0.000 description 1
- 125000005409 triarylsulfonium group Chemical group 0.000 description 1
- 125000004306 triazinyl group Chemical group 0.000 description 1
- 125000001425 triazolyl group Chemical group 0.000 description 1
- 125000003866 trichloromethyl group Chemical group ClC(Cl)(Cl)* 0.000 description 1
- ITMCEJHCFYSIIV-UHFFFAOYSA-M triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-M 0.000 description 1
- ITMCEJHCFYSIIV-UHFFFAOYSA-N triflic acid Chemical compound OS(=O)(=O)C(F)(F)F ITMCEJHCFYSIIV-UHFFFAOYSA-N 0.000 description 1
- FAYMLNNRGCYLSR-UHFFFAOYSA-M triphenylsulfonium triflate Chemical compound [O-]S(=O)(=O)C(F)(F)F.C1=CC=CC=C1[S+](C=1C=CC=CC=1)C1=CC=CC=C1 FAYMLNNRGCYLSR-UHFFFAOYSA-M 0.000 description 1
- YFTHZRPMJXBUME-UHFFFAOYSA-N tripropylamine Chemical compound CCCN(CCC)CCC YFTHZRPMJXBUME-UHFFFAOYSA-N 0.000 description 1
- 125000005455 trithianyl group Chemical group 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 238000000927 vapour-phase epitaxy Methods 0.000 description 1
- 229920001567 vinyl ester resin Polymers 0.000 description 1
- 229920002554 vinyl polymer Polymers 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/095—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/004—Photosensitive materials
- G03F7/09—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
- G03F7/092—Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/16—Coating processes; Apparatus therefor
- G03F7/168—Finishing the coated layer, e.g. drying, baking, soaking
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Architecture (AREA)
- Structural Engineering (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
Abstract
Description
技术领域Technical Field
本揭露关于一种用于形成半导体装置的方法。The present disclosure relates to a method for forming a semiconductor device.
背景技术Background Art
半导体集成电路(integrated circuit,IC)行业经历了指数增长。IC材料及设计方面的技术进步产生了多代IC,其中每一代均具有比上一代更小且更复杂的电路。在IC进化过程中,功能密度(亦即,每芯片面积的互连装置数量)普遍增加,而几何尺寸(亦即,可使用制造工艺产生的最小元件(或线))已减小。这种按比例缩小的工艺通常通过提高生产效率及降低相关成本来提供益处。这种按比例缩小亦增加了处理及制造IC的复杂性。The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced multiple generations of ICs, each with smaller and more complex circuits than the previous generation. During the evolution of ICs, functional density (i.e., the number of interconnected devices per chip area) has generally increased, while geometry size (i.e., the smallest component (or line) that can be produced using a manufacturing process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and reducing associated costs. This scaling down also increases the complexity of processing and manufacturing ICs.
发明内容Summary of the invention
根据本揭露的一些实施例,一种用于形成半导体装置的方法包括以下步骤。在基板上方形成涂覆层。涂覆层包含可切换聚合物及酸产生剂。可切换聚合物包含聚合物主链及连接至聚合物主链的多个侧基,其中这些侧基包括多个酸不稳定基团及多个交联基团。进行烘烤工艺,以使这些交联基团发生交联反应,从而形成交联涂覆层。将光阻剂层沉积至交联涂覆层上方。将光阻剂层及交联涂覆层选择性地曝光于图案化辐射。显影选择性曝光的光阻剂层及交联涂覆层,以在光阻剂层及交联涂覆层中形成多个开口的图案。According to some embodiments of the present disclosure, a method for forming a semiconductor device includes the following steps. A coating layer is formed above a substrate. The coating layer includes a switchable polymer and an acid generator. The switchable polymer includes a polymer backbone and a plurality of side groups connected to the polymer backbone, wherein the side groups include a plurality of acid-labile groups and a plurality of cross-linking groups. A baking process is performed to cause the cross-linking groups to undergo a cross-linking reaction to form a cross-linked coating layer. A photoresist layer is deposited above the cross-linked coating layer. The photoresist layer and the cross-linked coating layer are selectively exposed to patterned radiation. The selectively exposed photoresist layer and the cross-linked coating layer are developed to form a pattern of a plurality of openings in the photoresist layer and the cross-linked coating layer.
根据本揭露的一些实施例,一种用于形成半导体装置的方法包括以下步骤。将包含有机金属化合物的光阻剂层沉积至基板上方。在光阻剂层上方形成涂覆层,涂覆层包含可切换聚合物、酸产生剂及淬灭剂。可切换聚合物包含聚合物主链以及连接至聚合物主链的多个悬垂酸不稳定基团及多个交联基团。在这些交联基团的交联温度下加热涂覆层,以形成交联涂覆层。将光阻剂层及交联涂覆层选择性地曝光于图案化辐射。显影选择性曝光的光阻剂层及交联涂覆层,以形成图案化的交联涂覆层及图案化的光阻剂层。According to some embodiments of the present disclosure, a method for forming a semiconductor device includes the following steps. A photoresist layer comprising an organic metal compound is deposited onto a substrate. A coating layer is formed over the photoresist layer, the coating layer comprising a switchable polymer, an acid generator, and a quencher. The switchable polymer comprises a polymer backbone and a plurality of pendant acid-labile groups and a plurality of cross-linking groups connected to the polymer backbone. The coating layer is heated at a cross-linking temperature of the cross-linking groups to form a cross-linked coating layer. The photoresist layer and the cross-linked coating layer are selectively exposed to patterned radiation. The selectively exposed photoresist layer and the cross-linked coating layer are developed to form a patterned cross-linked coating layer and a patterned photoresist layer.
根据本揭露的一些实施例,一种用于形成半导体装置的方法包括以下步骤。将涂覆组合物施加至基板上以形成涂覆层,涂覆组合物包含可切换聚合物、酸产生剂及溶剂。可切换聚合物具有聚合物主链及包括连接至聚合物主链的一或多个酸不稳定基团、一或多个交联基团及一或多个任选的漂浮基团的多个侧基。将基板及涂覆层加热至一或多个交联基团反应以交联可切换聚合物的温度,从而形成交联涂覆层。在交联涂覆层上方形成光阻剂层。通过光罩将光阻剂层及交联涂覆层曝光于辐射。通过显影剂移除光阻剂层及交联涂覆层的多个未曝光区域,以形成图案化的光阻剂层及图案化的交联涂覆层。According to some embodiments of the present disclosure, a method for forming a semiconductor device includes the following steps. A coating composition is applied to a substrate to form a coating layer, the coating composition comprising a switchable polymer, an acid generator and a solvent. The switchable polymer has a polymer backbone and multiple side groups including one or more acid-labile groups, one or more cross-linking groups and one or more optional floating groups connected to the polymer backbone. The substrate and the coating layer are heated to a temperature at which one or more cross-linking groups react to cross-link the switchable polymer, thereby forming a cross-linked coating layer. A photoresist layer is formed above the cross-linked coating layer. The photoresist layer and the cross-linked coating layer are exposed to radiation through a photomask. Multiple unexposed areas of the photoresist layer and the cross-linked coating layer are removed by a developer to form a patterned photoresist layer and a patterned cross-linked coating layer.
附图说明BRIEF DESCRIPTION OF THE DRAWINGS
当结合随附附图阅读时,自以下详细描述中可最好地理解本揭示案的态样。应注意,根据行业的标准作法,各种特征并未按比例绘制。事实上,为了论述的清楚起见,可任意地增加或减小各种特征的尺寸。The aspects of the present disclosure will be best understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale. In fact, the sizes of the various features may be arbitrarily increased or reduced for clarity of discussion.
图1是根据一些实施例的用于制造半导体装置的方法的流程图;FIG. 1 is a flow chart of a method for manufacturing a semiconductor device according to some embodiments;
图2A至图2F是使用根据一些实施例的图1的方法制造的半导体装置的横截面图;2A to 2F are cross-sectional views of a semiconductor device manufactured using the method of FIG. 1 according to some embodiments;
图3示出了根据一些实施例的涂覆层中的例示性可切换聚合物;FIG3 shows an exemplary switchable polymer in a coating layer according to some embodiments;
图4A示出了根据一些实施例的例示性有机金属化合物;FIG. 4A illustrates an exemplary organometallic compound according to some embodiments;
图4B示出了根据一些实施例的有机金属化合物在水存在下的例示性反应;FIG. 4B shows an exemplary reaction of an organometallic compound in the presence of water according to some embodiments;
图5示出了根据一些实施例的有机金属化合物的例示性反应;FIG5 shows an exemplary reaction of an organometallic compound according to some embodiments;
图6A示出了根据一些实施例的可切换聚合物的酸不稳定基团的例示性裂解反应;FIG6A shows an exemplary cleavage reaction of an acid-labile group of a switchable polymer according to some embodiments;
图6B示出了根据一些实施例的光阻剂层中的有机金属化合物与涂覆层中的脱保护的可切换聚合物之间的例示性缩合反应;FIG6B shows an exemplary condensation reaction between an organometallic compound in a photoresist layer and a deprotected switchable polymer in a coating layer according to some embodiments;
图7是根据一些实施例的用于制造半导体装置的方法的流程图;7 is a flow chart of a method for manufacturing a semiconductor device according to some embodiments;
图8A至图8E是使用根据一些实施例的图7的方法制造的半导体装置的横截面图。8A to 8E are cross-sectional views of a semiconductor device manufactured using the method of FIG. 7 according to some embodiments.
【符号说明】【Explanation of symbols】
100、700:方法100, 700: Method
102、104、106、108、110、112、702、704、706、708、710、712:操作102, 104, 106, 108, 110, 112, 702, 704, 706, 708, 710, 712: Operation
200:半导体装置200:Semiconductor devices
202:基板202: Substrate
210:涂覆层210: coating layer
210a:漂浮区域210a: Floating area
212:交联涂覆层212: Cross-linked coating layer
212a:交联漂浮区域212a: Cross-linked floating area
212e:曝光区212e: Exposure Area
212u:未曝光区212u: Unexposed area
212p:图案化的交联涂覆层212p: Patterned cross-linked coating
214:第一烘烤工艺、烘烤或加热工艺、烘烤工艺214: first baking process, baking or heating process, baking process
220:光阻剂层220: Photoresist layer
220e:曝光区220e: Exposure area
220u:未曝光区220u: Unexposed area
220p:图案化的光阻剂层220p: Patterned photoresist layer
230:辐射230: Radiation
240:光罩240: Photomask
242:第一区242: District 1
244:第二区244: District 2
250:开口250: Opening
260:凹部260: Recess
301:聚合物301:Polymer
302:可切换聚合物302: Switchable polymer
310:聚合物主链310: polymer main chain
312:酸不稳定基团312: Acid-labile group
314:交联基团314: Cross-linking group
316:漂浮基团316: floating group
320:酸产生剂320: Acid Generator
330:淬灭剂330: Quencher
402:有机金属化合物402:Organometallic compounds
404:含羟基化合物404: Hydroxyl compounds
406:有机金属聚合物406:Organometallic polymers
M:核心M: Core
M+:金属核M+: Metalcore
L:配位体L: Ligand
具体实施方式DETAILED DESCRIPTION
以下揭示内容提供了许多不同的实施例或实例,用于实现所提供主题的不同特征。下文描述了元件、值、操作、材料、布置或类似者的具体实例以简化本揭示案。当然这些实例仅为例示性的且并不意欲为限制性的。考虑了其他元件、值、操作、材料、布置及类似者。举例而言,在下面的描述中在第二特征上方或之上形成第一特征可包括第一特征及第二特征是以直接接触而形成的实施例,且亦可包括可在第一特征与第二特征之间形成额外特征,使得第一特征及第二特征可不直接接触的实施例。另外,本揭示案可在各种实例中重复元件符号及/或字母。这种重复是出于简单及清楚的目的,且其本身并不规定所论述的各种实施例与/或组态之间的关系。The following disclosure provides many different embodiments or examples for realizing the different features of the provided subject matter. Specific examples of components, values, operations, materials, arrangements or the like are described below to simplify the disclosure. Of course, these examples are only illustrative and are not intended to be restrictive. Other components, values, operations, materials, arrangements and the like are considered. For example, forming a first feature above or on a second feature in the following description may include an embodiment in which the first feature and the second feature are formed by direct contact, and may also include an embodiment in which additional features may be formed between the first feature and the second feature so that the first feature and the second feature may not be directly contacted. In addition, the disclosure may repeat component symbols and/or letters in various examples. This repetition is for the purpose of simplicity and clarity, and does not itself specify the relationship between the various embodiments and/or configurations discussed.
此外,本文中可使用空间相对术语,诸如“下方”、“在…之下”、“下”、“上方”、“上”及类似术语,以便于描述,以描述一个零件或特征与另一(些)零件或特征的关系,如图中所示。除图中所描绘的定向之外,空间相对术语亦意欲涵盖装置在使用或操作中的不同定向。系统可以其他方式定向(旋转90度或在其他定向上),且可同样相应地解释本文所使用的空间相对描述符。Additionally, spatially relative terms, such as "below," "beneath," "below," "above," "upper," and the like, may be used herein for ease of description to describe the relationship of one part or feature to another part or features, as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The system may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein should likewise be interpreted accordingly.
在描述本揭示案的化合物、组合物、方法及工艺时,除非另有说明,否则以下术语具有以下含义。When describing the compounds, compositions, methods and processes of the present disclosure, the following terms have the following meanings unless otherwise indicated.
如本文所描述的,本文所揭露的化合物可视情况经一或多个取代基取代,诸如下文一般说明的,或如本揭示案的特定类别、亚类及物质所例示。应了解,片语“视情况经取代”可与片语“经取代或未经取代”互换使用。通常,术语“经取代”,无论前面是否具有术语“视情况”,均指用指定取代基的自由基置换给定结构中的一或多个氢自由基。除非另有说明,否则视情况经取代的基团可在该基团的各可取代位置上具有取代基。当给定结构中的超过一个位置可经超过一个选自规定基团的取代基取代时,取代基在每个位置可为相同的或不同的。As described herein, the compounds disclosed herein may be optionally substituted with one or more substituents, such as described generally below, or as exemplified by specific classes, subclasses, and materials of the present disclosure. It should be understood that the phrase "optionally substituted" can be used interchangeably with the phrase "substituted or unsubstituted". In general, the term "substituted", whether preceded by the term "optionally", refers to the replacement of one or more hydrogen radicals in a given structure with a radical of a specified substituent. Unless otherwise specified, an optionally substituted group may have a substituent at each substitutable position of the group. When more than one position in a given structure is substituted with more than one substituent selected from a specified group, the substituent may be the same or different at each position.
“胺基”是指-NH2基团。"Amine" refers to a -NH2 group.
“羧基”是指-CO2H基团。"Carboxy" refers to a -CO2H group.
“羰基”是指-C=O基团。"Carbonyl" refers to a -C=O group.
“羟基(hydroxy/hydroxyl)”是指-OH基团。"Hydroxy" or "hydroxyl" refers to an -OH group.
“侧氧基”是指=O取代基。"Oxo" refers to a =0 substituent.
“硝基”是指-NO2基团。"Nitro" refers to the -NO2 radical.
“烷基”是指仅由碳原子及氢原子组成的直链或支链烃基,其为饱和或不饱和的(亦即,含有一或多个双键及/或三键),具有一至十二个碳原子(C1-C12烷基),较佳一至八个碳原子(C1-C8烷基)或一至六个碳原子(C1-C6烷基),并且其通过单键连接至分子的其余部分,例如甲基、乙基,正丙基、1-甲基乙基(异丙基)、正丁基、正戊基、1,1-二甲基乙基(三级丁基)、3-甲基己基、2-甲基己基、乙烯基、丙-1-烯基、丁-1-烯基、戊-1-烯基、戊-1,4-二烯基、乙炔基、丙炔基、丁炔基、戊炔基、己炔基及类似基团。除非说明书中另有具体说明,否则烷基可视情况经取代。"Alkyl" refers to a straight or branched hydrocarbon group consisting only of carbon atoms and hydrogen atoms, which is saturated or unsaturated (i.e., contains one or more double bonds and/or triple bonds), has one to twelve carbon atoms (C1-C12 alkyl), preferably one to eight carbon atoms (C1-C8 alkyl) or one to six carbon atoms (C1-C6 alkyl), and which is attached to the rest of the molecule by a single bond, such as methyl, ethyl, n-propyl, 1-methylethyl (isopropyl), n-butyl, n-pentyl, 1,1-dimethylethyl (tertiary butyl), 3-methylhexyl, 2-methylhexyl, vinyl, prop-1-enyl, but-1-enyl, pent-1-enyl, pent-1,4-dienyl, ethynyl, propynyl, butynyl, pentynyl, hexynyl and the like. Unless otherwise specifically stated in the specification, the alkyl group may be optionally substituted.
“伸烷基”或“伸烷基链”是指将分子的其余部分连接至取代基的仅由碳及氢组成的直链或支链二价烃链,该直链或支链二价烃链是饱和或不饱和的(亦即,含有一或多个双键及/或三键)且具有一至十二个碳原子,例如亚甲基、伸乙基、伸丙基、伸正丁基、伸乙烯基、伸丙烯基、伸正丁烯基、伸丙炔基、伸正丁炔基及类似基团。伸烷基链经由单键或双键连接至分子的其余部分且经由单键或双键连接至取代基。伸烷基链与分子的其余部分及与取代基的连接点可经由链内的一个碳或任两个碳来达成。除非说明书中另有具体说明,否则伸烷基链可视情况经取代。"Alkylene" or "alkylene chain" refers to a straight or branched divalent hydrocarbon chain consisting only of carbon and hydrogen that connects the rest of the molecule to a substituent, the straight or branched divalent hydrocarbon chain being saturated or unsaturated (i.e., containing one or more double bonds and/or triple bonds) and having one to twelve carbon atoms, such as methylene, ethylene, propylene, n-butylene, vinylene, propenylene, n-butenylene, propynylene, n-butynylene, and the like. The alkylene chain is connected to the rest of the molecule and to the substituent via a single bond or a double bond. The points of attachment of the alkylene chain to the rest of the molecule and to the substituent can be through one carbon or any two carbons within the chain. Unless otherwise specifically stated in the specification, the alkylene chain may be substituted as appropriate.
“烷氧基”是指式-ORa的基团,其中Ra为如上文所定义的含有一至十二个碳原子的烷基。除非说明书中另有具体说明,否则烷氧基可视情况经取代。"Alkoxy" refers to a radical of the formula -OR a , wherein Ra is an alkyl radical as defined above containing from one to twelve carbon atoms. Unless stated otherwise specifically in the specification, an alkoxy radical may be optionally substituted.
“烷胺基”是指式-NHRa或-NRaRa的基团,其中各Ra独立地为如上文所定义的含有一至十二个碳原子的烷基。除非说明书中另有具体说明,否则烷胺基可视情况经取代。"Alkylamino" refers to a radical of the formula -NHRa or -NRaRa , wherein each Ra is independently an alkyl radical as defined above containing from one to twelve carbon atoms. Unless stated otherwise specifically in the specification, an alkylamino radical may be optionally substituted.
“酰胺”是指-NRaRb自由基,其中Ra及Rb独立地为H、烷基或芳基。除非说明书中另有具体说明,否则酰胺基团可视情况经取代。"Amide" refers to a -NRaRb radical, where Ra and Rb are independently H, alkyl or aryl. Unless stated otherwise specifically in the specification, an amide group may be optionally substituted.
“芳基”是指包含氢、6至18个碳原子及至少一个芳香环的烃环系统基团。出于本揭露的目的,芳基可为单环、双环、三环或四环环系统,该环系统可包括稠合或桥接环系统。芳基包括但不限于衍生自醋蒽烯(aceanthrylene)、苊烯(acenaphthylene)、醋菲烯(acephenanthrylene)、葱、薁、苯、(chrysene)、萤蒽(fluoranthene)、茀、不对称吲丹烯(as-indacene)、对称吲丹烯、茚烷、茚、萘、萉(phenalene)、菲、七曜烯(pleiadene)、芘及联伸三苯。除非说明书中另有具体说明,否则术语“芳基”或前缀“ar-”(诸如在“芳烷基”中)意在包括视情况经取代的芳基。"Aryl" refers to a hydrocarbon ring system radical containing hydrogen, 6 to 18 carbon atoms and at least one aromatic ring. For the purposes of this disclosure, an aryl group may be a monocyclic, bicyclic, tricyclic or tetracyclic ring system, which may include fused or bridged ring systems. Aryl groups include, but are not limited to, those derived from aceanthrylene, acenaphthylene, acephenanthrylene, allium, azulene, benzene, The term "aryl" or the prefix "ar-" (such as in "aralkyl") is intended to include optionally substituted aryl groups, unless otherwise specifically stated in the specification.
“环烷基”或“碳环”是指仅由碳及氢原子组成的稳定的芳香族单环或多环烃基,该烃基可包括稠合或桥接环系统,具有三至十五个碳原子,较佳具有三至十个碳原子,并且该烃基系饱和或不饱和的且通过单键连接至分子的其余部分。单环环烷基包括例如环丙基、环丁基、环戊基、环己基、环庚基及环辛基。多环环烷基包括例如金刚烷基、降莰基、十氢萘基、7,7-二甲基-双环[2.2.1]庚烷基及类似基团。除非说明书中另有具体说明,否则环烷基可视情况经取代。"Cycloalkyl" or "carbocycle" refers to a stable, aromatic monocyclic or polycyclic hydrocarbon radical consisting solely of carbon and hydrogen atoms, which may include a fused or bridged ring system, having three to fifteen carbon atoms, preferably three to ten carbon atoms, and which is saturated or unsaturated and connected to the rest of the molecule by a single bond. Monocyclic cycloalkyls include, for example, cyclopropyl, cyclobutyl, cyclopentyl, cyclohexyl, cycloheptyl, and cyclooctyl. Polycyclic cycloalkyls include, for example, adamantyl, norbornyl, decahydronaphthyl, 7,7-dimethyl-bicyclo[2.2.1]heptanyl, and the like. Unless otherwise specifically stated in the specification, cycloalkyls may be optionally substituted.
“卤基”或“卤素”是指溴基、氯基、氟基及碘基。"Halo" or "halogen" refers to bromo, chloro, fluoro and iodo.
“卤烷基”是指经一或多个如上文所定义的卤基取代的如上文所定义的烷基,例如三氟甲基、二氟甲基、三氯甲基、2,2,2-三氟乙基、1,2-二氟乙基、3-溴-2-氟丙基、1,2-二溴乙基及类似基团。除非说明书中另有具体说明,否则卤烷基可视情况经取代。"Haloalkyl" refers to an alkyl group as defined above substituted with one or more halo groups as defined above, such as trifluoromethyl, difluoromethyl, trichloromethyl, 2,2,2-trifluoroethyl, 1,2-difluoroethyl, 3-bromo-2-fluoropropyl, 1,2-dibromoethyl and the like. Unless otherwise specifically stated in the specification, a haloalkyl group may be optionally substituted.
“杂环基”或“杂环”是指由二至十二个碳原子及一至六个选自氮、氧及硫的杂原子组成的稳定的3员至18员非芳香环基团。除非说明书中另有具体说明,否则杂环基可为单环、双环、三环或四环环系统,该环系统可包括稠合或桥接环系统;且杂环基中的氮、碳或硫原子可视情况经氧化;氮原子可视情况经四级铵化;且杂环基可为部分或完全饱和的。此类杂环基的实例包括但不限于二氧戊环基、噻吩基[1,3]二硫环己基、十氢异喹啉基、咪唑啉基、咪唑啶基、异四氢噻唑基、异恶唑啶基、吗啉基、八氢吲哚基、八氢异吲哚基、2-侧氧基哌嗪基、2-侧氧基哌啶基、2-侧氧基吡咯啶基、恶唑啶基、哌啶基、哌嗪基、4-哌啶酮基、吡咯啶基、吡唑啶基、奎宁环基、四氢噻唑基、四氢呋喃基、三噻唍基、四氢哌喃基、硫代吗啉基、硫吗啉基、1-侧氧基-硫代吗啉基及1,1-二侧氧基-硫代吗啉基。除非说明书中另有具体说明,否则杂环基可视情况经取代。"Heterocyclyl" or "heterocycle" refers to a stable 3- to 18-membered non-aromatic ring group consisting of 2 to 12 carbon atoms and 1 to 6 heteroatoms selected from nitrogen, oxygen and sulfur. Unless otherwise specifically stated in the specification, the heterocyclyl may be a monocyclic, bicyclic, tricyclic or tetracyclic ring system, which may include a fused or bridged ring system; and the nitrogen, carbon or sulfur atom in the heterocyclyl may be optionally oxidized; the nitrogen atom may be optionally quaternized; and the heterocyclyl may be partially or fully saturated. Examples of such heterocyclic groups include, but are not limited to, dioxolanyl, thienyl[1,3]dithiocyclohexyl, decahydroisoquinolinyl, imidazolinyl, imidazolidinyl, isothiazolyl, isoxazolidinyl, morpholinyl, octahydroindolyl, octahydroisoindolyl, 2-oxopiperazinyl, 2-oxopiperidinyl, 2-oxopyrrolidinyl, oxazolidinyl, piperidinyl, piperazinyl, 4-piperidonyl, pyrrolidinyl, pyrazolidinyl, quinuclidinyl, tetrahydrothiazolyl, tetrahydrofuranyl, trithianyl, tetrahydropyranyl, thiomorpholinyl, thiomorpholinyl, 1-oxo-thiomorpholinyl and 1,1-dioxo-thiomorpholinyl. Unless otherwise specifically stated in the specification, the heterocyclic group may be optionally substituted.
“N-杂环基”是指含有至少一个氮的如上文所定义的杂环基,并且其中杂环基与分子的其余部分的连接点是通过杂环基中的氮原子。除非说明书中另有具体说明,否则N-杂环基可视情况经取代。"N-heterocyclyl" refers to a heterocyclyl as defined above containing at least one nitrogen and wherein the point of attachment of the heterocyclyl to the rest of the molecule is through the nitrogen atom in the heterocyclyl. Unless stated otherwise specifically in the specification, an N-heterocyclyl group may be optionally substituted.
“杂环基烷基”是指式-RbRe的基团,其中Rb是如上文所定义的伸烷基链且Re是如上文所定义的杂环基,并且若杂环基是含氮杂环基,则杂环基可在氮原子处连接至烷基。除非说明书中另有具体说明,否则杂环基烷基可视情况经取代。"Heterocyclylalkyl" refers to a radical of the formula -RbRe , wherein Rb is an alkylene chain as defined above and Re is a heterocyclyl radical as defined above, and if the heterocyclyl radical is a nitrogen-containing heterocyclyl radical, the heterocyclyl radical may be attached to the alkyl radical at the nitrogen atom. Unless otherwise specifically stated in the specification, the heterocyclylalkyl radical may be optionally substituted.
“杂芳基”是指包含氢原子、一至十三个碳原子、一至六个选自氮、氧及硫的杂原子及至少一个芳香环的5员至14员环系统基团。出于本揭露的目的,杂芳基可为单环、双环、三环或四环环系统,该环系统可包括稠合或桥接环系统;且杂芳基中的氮、碳或硫原子可视情况经氧化;氮原子可视情况经四级铵化。实例包括但不限于氮呯基、吖啶基、苯并咪唑基、苯并噻唑基、苯并吲哚基、苯并二氧呃基、苯并呋喃基、苯并恶唑基、苯并噻唑基、苯并噻二唑基、苯并[b][1,4]二恶呯基、1,4-苯并二恶烷基、苯并萘并呋喃基、苯并恶唑基、苯并二氧呃基、苯并二恶英基、苯并哌喃基、苯并哌喃酮基、苯并呋喃基、苯并呋喃酮基、苯并噻吩基(苯并苯硫基)、苯并三唑基、苯并[4,6]咪唑并[1,2-a]吡啶基、咔唑基、噌啉基、二苯并呋喃基、二苯并苯硫基、呋喃基、呋喃酮基、异噻唑基、咪唑基、吲唑基、吲哚基、吲唑基、异吲哚基、吲哚啉基、异吲哚啉基、异喹啉基、吲嗪基、异恶唑基、萘啶基、恶二唑基、2-恶氮呯基、恶唑基、环氧乙烷基、1-氧化吡啶基、1-氧化嘧啶基、1-氧化吡嗪基、1-氧化哒嗪基、1-苯基-1H-吡咯基、啡嗪基、啡噻嗪基、啡恶嗪基、呔嗪基、喋啶基、嘌呤基、吡咯基、吡唑基、吡啶基、吡嗪基、嘧啶基、哒嗪基、喹唑啉基、喹恶啉基、喹啉基、奎宁环基、异喹啉基、四氢喹啉基、噻唑基、噻二唑基、三唑基、四唑基、三嗪基及苯硫基(亦即噻吩基)。除非说明书中另有具体说明,否则杂芳基可视情况经取代。"Heteroaryl" refers to a 5- to 14-membered ring system radical comprising hydrogen atoms, one to thirteen carbon atoms, one to six heteroatoms selected from nitrogen, oxygen and sulfur, and at least one aromatic ring. For the purposes of this disclosure, a heteroaryl group may be a monocyclic, bicyclic, tricyclic or tetracyclic ring system, which may include a fused or bridged ring system; and the nitrogen, carbon or sulfur atoms in the heteroaryl group may be optionally oxidized; the nitrogen atom may be optionally quaternized. Examples include, but are not limited to, azobenzene, acridinyl, benzimidazolyl, benzothiazolyl, benzindolyl, benzodioxolyl, benzofuranyl, benzoxazolyl, benzothiazolyl, benzothiadiazolyl, benzo[b][1,4]dioxolyl, 1,4-benzodioxanyl, benzonaphthofuranyl, benzoxazolyl, benzodioxolyl, benzodioxinyl, benzopyranyl, benzopyranonyl, benzofuranyl, benzofuranonyl, benzothiophenyl (benzophenylthio), benzotriazolyl, benzo[4,6]imidazo[1,2-a]pyridinyl, carbazolyl, cinnolinyl, dibenzofuranyl, dibenzophenylthio, furanyl, furanonyl, isothiazolyl, imidazolyl , indazolyl, indolyl, indazolyl, isoindolyl, indolinyl, isoindolyl, isoquinolinyl, indolizinyl, isoxazolyl, naphthyridinyl, oxadiazolyl, 2-oxazapyridine, oxazolyl, oxiranyl, 1-pyridinyl oxide, 1-pyrimidinyl oxide, 1-pyrazinyl oxide, 1-pyridazinyl oxide, 1-phenyl-1H-pyrrolyl, phenazinyl, phenathiazinyl, phenoxazinyl, oxazinyl, pteridinyl, purinyl, pyrrolyl, pyrazolyl, pyridinyl, pyrazinyl, pyrimidinyl, pyridazinyl, quinazolinyl, quinoxalinyl, quinolinyl, quinuclidinyl, isoquinolinyl, tetrahydroquinolinyl, thiazolyl, thiadiazolyl, triazolyl, tetrazolyl, triazinyl and thiophenyl (i.e., thienyl). Unless otherwise specifically stated in the specification, the heteroaryl group may be optionally substituted.
“N-杂芳基”是指含有至少一个氮的如上文所定义的杂芳基,并且其中杂芳基与分子的其余部分的连接点是通过杂芳基中的氮原子。除非说明书中另有具体说明,否则N-杂芳基可视情况经取代。"N-heteroaryl" refers to a heteroaryl group as defined above containing at least one nitrogen and wherein the point of attachment of the heteroaryl group to the rest of the molecule is through the nitrogen atom in the heteroaryl group. Unless stated otherwise specifically in the specification, an N-heteroaryl group may be optionally substituted.
“杂芳烷基”是指式-RbRf的基团,其中Rb是如上文所定义的伸烷基链且Rf是如上文所定义的杂芳基。除非说明书中另有具体说明,否则杂芳烷基可视情况经取代。"Heteroaralkyl" refers to a radical of the formula -RbRf , wherein Rb is an alkylene chain as defined above and Rf is a heteroaryl group as defined above. Unless stated otherwise specifically in the specification, a heteroaralkyl radical may be optionally substituted.
“羟烷基”是指包含至少一个羟基取代基的烷基。一或多个-OH取代基可位于一级、二级或三级碳原子上。除非说明书中另有具体说明,否则羟烷基可视情况经取代。"Hydroxyalkyl" refers to an alkyl group containing at least one hydroxy substituent. The one or more -OH substituents may be located on a primary, secondary or tertiary carbon atom. Unless otherwise specifically stated in the specification, a hydroxyalkyl group may be optionally substituted.
“羟烷基醚”是指包含至少一个羟基取代基的烷基醚基团。一或多个-OH取代基可位于一级、二级或三级碳原子上。除非说明书中另有具体说明,否则羟烷基醚基团可视情况经取代。"Hydroxyalkyl ether" refers to an alkyl ether group containing at least one hydroxy substituent. The one or more -OH substituents may be located on a primary, secondary or tertiary carbon atom. Unless otherwise specifically stated in the specification, a hydroxyalkyl ether group may be optionally substituted.
“磺酸酯”是指-OS(O)2Ra基团,其中Ra是烷基或芳基。除非说明书中另有具体说明,否则磺酸酯基团可视情况经取代。"Sulfonate" refers to a -OS(O) 2Ra radical , where Ra is alkyl or aryl. Unless stated otherwise specifically in the specification, a sulfonate group may be optionally substituted.
本文所用的术语“经取代”意谓其中至少一个氢原子由与非氢原子的键置换的上述基团(亦即烷基、伸烷基、烷氧基、烷胺基、酰胺、芳基、环烷基等)中的任一者,这些非氢原子诸如但不限于:卤素原子,诸如F、Cl、Br及I;诸如羟基、烷氧基及酯基的基团中的氧原子;诸如硫醇基、硫代烷基、砜基、磺酰基及亚砜基的基团中的硫原子;诸如胺、酰胺、烷基胺、二烷基胺、芳基胺、烷芳基胺、二芳基胺、N-氧化物、酰亚胺及烯胺的基团中的氮原子;诸如三烷基硅烷基、二烷芳基硅烷基、烷基二芳基硅烷基及三芳基硅烷基的基团中的硅原子;以及各种其他基团中的其他杂原子。“经取代”亦意谓其中一或多个氢原子由与杂原子的高阶键(例如双键或三键)置换的上述基团中的任一者,该杂原子诸如侧氧基、羰基、羧基及酯基中的氧;及诸如亚胺、肟、腙及腈中的氮。举例而言,“经取代”包括其中一或多个氢原子经-NRgRh、-NRgC(=O)Rh、-NRgC(=O)NRgRh、-NRgC(=O)ORh、-NRgSO2Rh、-OC(=O)NRgRh、-ORg、-SRg、-SORg、-SO2Rg、-OSO2Rg、-SO2ORg、=NSO2Rg及-SO2NRgRh置换的上述基团中的任一者。“经取代”亦意谓其中一或多个氢原子经-C(=O)Rg、-C(=O)ORg、-C(=O)NRgRh、-CH2SO2Rg、-CH2SO2NRgRh置换的上述基团中的任一者。在上文中,Rg及Rh相同或不同,且独立地为氢、烷基、烷氧基、烷胺基、硫代烷基、芳基、芳烷基、环烷基、环烷基烷基、卤烷基、杂环基、N-杂环基、杂环基烷基、杂芳基、N-杂芳基及/或杂芳基烷基。“经取代”进一步意谓上述基团中的任一者,在这些基团中一或多个氢原子由与以下的键置换:胺基、氰基、羟基、亚胺基、硝基、侧氧基、硫基、卤基、烷基、烷氧基、烷胺基、硫代烷基、芳基、芳烷基、环烷基、环烷基烷基、卤烷基、杂环基、N-杂环基、杂环基烷基、杂芳基、N-杂芳基及/或杂芳基烷基。另外,前述取代基中的每一者亦可视情况经上述取代基中的一或多者取代。As used herein, the term "substituted" means any of the above groups (i.e., alkyl, alkylene, alkoxy, alkylamino, amide, aryl, cycloalkyl, etc.) in which at least one hydrogen atom is replaced by a bond to a non-hydrogen atom, such as, but not limited to, halogen atoms such as F, Cl, Br, and I; oxygen atoms in groups such as hydroxyl, alkoxy, and ester groups; sulfur atoms in groups such as thiol, thioalkyl, sulfone, sulfonyl, and sulfoxide groups; nitrogen atoms in groups such as amine, amide, alkylamine, dialkylamine, arylamine, alkarylamine, diarylamine, N-oxide, imide, and enamine; silicon atoms in groups such as trialkylsilyl, dialkylarylsilyl, alkyldiarylsilyl, and triarylsilyl; and other heteroatoms in various other groups. "Substituted" also means any of the above groups in which one or more hydrogen atoms are replaced by a higher order bond (e.g., a double bond or a triple bond) to a heteroatom, such as oxygen in pendant oxy, carbonyl, carboxyl, and ester groups; and nitrogen in groups such as imines , oximes, hydrazones, and nitriles. For example, "substituted" includes any of the above groups in which one or more hydrogen atoms are replaced by -NRgRh , -NRgC (= O ) Rh , -NRgC (=O) NRgRh , -NRgC ( = O ) ORh, -NRgSO2Rh , -OC ( = O ) NRgRh, -ORg , -SRg, -SORg , -SO2Rg , -OSO2Rg , -SO2ORg , = NSO2Rg , and -SO2NRgRh . "Substituted" also means any of the above groups in which one or more hydrogen atoms are replaced by -C(=O) Rg , -C(=O ) ORg , -C(=O) NRgRh , -CH2SO2Rg , -CH2SO2NRgRh . In the above, Rg and Rh are the same or different and are independently hydrogen , alkyl, alkoxy , alkylamino , thioalkyl, aryl , aralkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, heterocyclyl, N - heterocyclyl, heterocyclylalkyl, heteroaryl, N-heteroaryl and/or heteroarylalkyl. "Substituted" further means any of the above groups in which one or more hydrogen atoms are replaced by a bond to an amine, cyano, hydroxyl, imino, nitro, pendant, thiol, halo, alkyl, alkoxy, alkylamino, thioalkyl, aryl, aralkyl, cycloalkyl, cycloalkylalkyl, haloalkyl, heterocyclyl, N-heterocyclyl, heterocyclylalkyl, heteroaryl, N-heteroaryl and/or heteroarylalkyl. In addition, each of the above substituents may also be substituted with one or more of the above substituents as appropriate.
IC制造使用一或多种光蚀刻工艺以将几何图案转移至薄膜或基板上。半导体上的几何形状及图案构成了复杂的结构,使掺杂剂、电气特性及导线能够构成电路且实现技术目的。在光蚀刻工艺中,将光阻剂作为薄膜施加至基板上,且随后通过光罩曝光于一或多种类型的辐射或光。光罩含有透明及不透明的特征,这些特征界定了将在光阻剂层中产生的图案。光阻剂中曝光于通过光罩的光的区域可溶于或不可溶于称为显影剂的特定类型的溶液。在所曝光区域可溶的情况下,在光阻剂中产生光罩的正像,且这种类型的光阻剂称为正性光阻剂。另一方面,若未曝光的区域由显影液溶解,则在光阻剂中产生负像,且这种类型的光阻剂称为负性光阻剂。显影剂移除更易溶解的区域,将图案化的光阻剂留在原处。抗蚀剂图案随后在后续蚀刻工艺中用作蚀刻遮罩,将图案转移至下层材料层,从而在下层材料层中复制遮罩图案。替代地,抗蚀剂图案随后在施加至诸如外延半导体层的下层材料层的后续离子植入工艺中用作离子植入遮罩。IC manufacturing uses one or more photolithography processes to transfer geometric patterns onto a film or substrate. The geometric shapes and patterns on the semiconductor form complex structures that enable dopants, electrical properties, and wires to form circuits and achieve technological goals. In the photolithography process, a photoresist is applied as a thin film to a substrate and then exposed to one or more types of radiation or light through a mask. The mask contains transparent and opaque features that define the pattern to be produced in the photoresist layer. The areas of the photoresist exposed to the light passing through the mask are soluble or insoluble in a specific type of solution called a developer. In the case where the exposed areas are soluble, a positive image of the mask is produced in the photoresist, and this type of photoresist is called a positive photoresist. On the other hand, if the unexposed areas are dissolved by the developer, a negative image is produced in the photoresist, and this type of photoresist is called a negative photoresist. The developer removes the more soluble areas, leaving the patterned photoresist in place. The resist pattern is then used as an etch mask in a subsequent etching process to transfer the pattern to the underlying material layer, thereby replicating the mask pattern in the underlying material layer. Alternatively, the resist pattern is then used as an ion implantation mask in a subsequent ion implantation process applied to the underlying material layer, such as an epitaxial semiconductor layer.
实现亚20nm半间距解析度的极紫外(EUV)蚀刻术正在开发中,用于下一代亚5nm节点的大量生产。EUV蚀刻术需要具有高灵敏度的高性能光阻剂,以降低高功率曝光源的成本,且提供良好的图像解析度。Extreme ultraviolet (EUV) lithography that achieves sub-20nm half-pitch resolution is being developed for mass production at the next-generation sub-5nm node. EUV lithography requires high-performance photoresists with high sensitivity to reduce the cost of high-power exposure sources and provide good image resolution.
随着特征大小减小至低于40nm图案间距,线宽解析度受到影响。在小间距及高深宽比图案中,难以移除残留的光阻剂或浮渣。为了改进EUV蚀刻操作中的线宽粗糙度(linewidth roughness,LWR),根据本揭示案的实施例在光阻剂层下方或在光阻剂层顶部形成涂覆层,该涂覆层包括具有聚合物主链以及连接至该聚合物主链的悬垂酸不稳定基团及交联基团的可切换聚合物。在辐射时,涂覆层的曝光区域中的可切换聚合物的酸不稳定基团自聚合物主链裂解以产生反应性官能基,这些反应性官能基与光阻剂层中的有机金属化合物反应以在其间形成共价键。因此,涂覆层有助于增强崩溃窗口、降低LWR且微调抗蚀剂图案的轮廓形状。通过在光阻剂层下方或上方使用涂覆层,崩溃窗口可扩大约0.5nm至2nm,LWR可提高5%以上,且抗蚀剂图案完整性可提高10%以上。在一些实施例中,在光阻剂层下方形成涂覆层且充当底部抗反射涂层(bottom anti-reflective coating,BARC)。在一些实施例中,在光阻剂层的顶部形成涂覆层且充当顶部抗反射涂层(top anti-reflectivecoating,TARC)。As feature size decreases to below 40nm pattern pitch, line width resolution is affected. In small pitch and high aspect ratio patterns, it is difficult to remove residual photoresist or scum. In order to improve line width roughness (LWR) in EUV etching operations, a coating layer is formed under a photoresist layer or on top of a photoresist layer according to an embodiment of the present disclosure, the coating layer includes a switchable polymer having a polymer backbone and pendant acid-labile groups and cross-linking groups connected to the polymer backbone. Upon irradiation, the acid-labile groups of the switchable polymer in the exposed areas of the coating layer cleave from the polymer backbone to generate reactive functional groups, which react with the organometallic compound in the photoresist layer to form covalent bonds therebetween. Therefore, the coating layer helps to enhance the collapse window, reduce LWR, and fine-tune the profile shape of the resist pattern. By using a coating layer under or over the photoresist layer, the collapse window can be expanded by about 0.5nm to 2nm, the LWR can be improved by more than 5%, and the resist pattern integrity can be improved by more than 10%. In some embodiments, the coating layer is formed below the photoresist layer and serves as a bottom anti-reflective coating (BARC). In some embodiments, the coating layer is formed on top of the photoresist layer and serves as a top anti-reflective coating (TARC).
图1为示出根据本揭示案的一些实施例的用于形成半导体装置200的方法100的流程图。图2A至图2F是根据本揭示案的一些实施例的处于各个制造阶段的半导体装置200的横截面图。参考如图2A至图2F中所示的半导体装置200的横截面图描述方法100的中间步骤。应理解,对于该方法的额外实施例,可在方法100之前、期间及之后提供额外步骤,且可替换或消除下文所描述的一些步骤。应进一步理解,对于半导体装置200的额外实施例,可在半导体装置200中添加额外特征,且可替换或消除下面所描述的一些特征。FIG. 1 is a flow chart illustrating a method 100 for forming a semiconductor device 200 according to some embodiments of the present disclosure. FIGS. 2A-2F are cross-sectional views of the semiconductor device 200 at various stages of fabrication according to some embodiments of the present disclosure. Intermediate steps of the method 100 are described with reference to the cross-sectional views of the semiconductor device 200 as shown in FIGS. 2A-2F. It should be understood that for additional embodiments of the method, additional steps may be provided before, during, and after the method 100, and some of the steps described below may be replaced or eliminated. It should be further understood that for additional embodiments of the semiconductor device 200, additional features may be added to the semiconductor device 200, and some of the features described below may be replaced or eliminated.
半导体装置200可为IC制造期间的中间结构或其一部分。IC可能包括逻辑电路、记忆体结构、被动元件(诸如电阻器、电容器及电感器)及主动元件,诸如二极管、场效晶体管(field-effect transistor,FET)、金属氧化物半导体场效晶体管(metal-oxidesemiconductor field effect transistor,MOSFET)、互补性金属氧化半导体(complementary metal-oxide semiconductor,CMOS)晶体管、双极晶体管、高压晶体管、高频晶体管、鳍状FET(FinFET)、其他三维(3D)FET及其组合。半导体装置200可包括多个半导体装置(例如晶体管),这些半导体装置可以相互连接。Semiconductor device 200 may be an intermediate structure or a portion thereof during IC manufacturing. An IC may include logic circuits, memory structures, passive components (such as resistors, capacitors, and inductors), and active components such as diodes, field-effect transistors (FETs), metal-oxide semiconductor field effect transistors (MOSFETs), complementary metal-oxide semiconductor (CMOS) transistors, bipolar transistors, high-voltage transistors, high-frequency transistors, fin FETs, other three-dimensional (3D) FETs, and combinations thereof. Semiconductor device 200 may include multiple semiconductor devices (e.g., transistors) that may be interconnected.
参考图1及图2A,根据一些实施例,方法100包括操作102,在操作102中在基板202上方形成涂覆层210。图2A是根据一些实施例的在基板202上方形成涂覆层210之后的半导体装置200的横截面图。1 and 2A, according to some embodiments, method 100 includes operation 102, in which a coating layer 210 is formed over a substrate 202. FIG2A is a cross-sectional view of a semiconductor device 200 after forming a coating layer 210 over a substrate 202, according to some embodiments.
在一些实施例中,基板202可为包括一或多种半导体材料的主体半导体基板。在一些实施例中,基板202可包括硅、硅锗、碳掺杂硅(Si:C)、碳化硅锗或其他合适的半导体材料。在一些实施例中,基板202完全由硅构成。In some embodiments, substrate 202 may be a bulk semiconductor substrate including one or more semiconductor materials. In some embodiments, substrate 202 may include silicon, silicon germanium, carbon-doped silicon (Si:C), silicon germanium carbide, or other suitable semiconductor materials. In some embodiments, substrate 202 is entirely composed of silicon.
在一些实施例中,基板202可包括在主体半导体基板的顶表面上形成的一或多个外延层。在一些实施例中,一或多个外延层在基板202中引入应变以用于性能增强。举例而言,外延层包括不同于主体半导体基板的半导体材料的半导体材料,诸如硅锗层覆盖主体硅或硅层覆盖主体硅锗。在一些实施例中,并入基板202中的一或多个外延层是由选择性外延生长(诸如金属有机气相外延(MOVPE)、分子束外延(molecular beam epitaxy,MBE)、氢化物气相外延(hydride vapor phase epitaxy,HVPE)、液相外延(liquid phase epitaxy,LPE)、金属有机分子束外延(metal-organic molecular beam epitaxy,MOMBE)或其组合)形成。In some embodiments, substrate 202 may include one or more epitaxial layers formed on a top surface of a bulk semiconductor substrate. In some embodiments, the one or more epitaxial layers introduce strain into substrate 202 for performance enhancement. For example, the epitaxial layers include a semiconductor material different from the semiconductor material of the bulk semiconductor substrate, such as a silicon germanium layer covering bulk silicon or a silicon layer covering bulk silicon germanium. In some embodiments, the one or more epitaxial layers incorporated into substrate 202 are formed by selective epitaxial growth (such as metal-organic vapor phase epitaxy (MOVPE), molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE), liquid phase epitaxy (liquid phase epitaxy, LPE), metal-organic molecular beam epitaxy (MOMBE) or a combination thereof).
在一些实施例中,基板202可为绝缘层上半导体(semiconductor-on-insulator,SOI)基板。在一些实施例中,SOI基板包括半导体层,诸如在绝缘体层上形成的硅层。在一些实施例中,绝缘体层为包括氧化硅或氧化硅锗的埋入式氧化物(BOX)层。绝缘体层设置于诸如硅基板的处理基板上。在一些实施例中,SOI基板是使用分离植入氧气(SIMOX)或其他合适的技术(例如晶圆接合及研磨)形成。In some embodiments, substrate 202 may be a semiconductor-on-insulator (SOI) substrate. In some embodiments, the SOI substrate includes a semiconductor layer, such as a silicon layer formed on an insulator layer. In some embodiments, the insulator layer is a buried oxide (BOX) layer including silicon oxide or silicon germanium oxide. The insulator layer is disposed on a processing substrate such as a silicon substrate. In some embodiments, the SOI substrate is formed using separation implantation oxygen (SIMOX) or other suitable techniques such as wafer bonding and grinding.
在一些实施例中,基板202亦可包括介质基板,诸如氧化硅、氮化硅、氮氧化硅、低k介电质、碳化硅及/或其他合适的层。In some embodiments, substrate 202 may also include a dielectric substrate such as silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric, silicon carbide, and/or other suitable layers.
在一些实施例中,基板202亦可包括各种p型掺杂区及/或n型掺杂区,通过诸如离子植入及/或扩散的工艺实现。那些掺杂区域包括n型井、p型井、轻掺杂区(lightly dopedregion,LDD)及各种通道掺杂分布,这些分布用以形成各种IC装置,诸如COMOS晶体管、成像感测器及/或发光二极管(light emitting diode,LED)。基板202亦可包括其他功能特征,诸如在基板202中及/或基板202上形成的电阻器及/或电容器。In some embodiments, substrate 202 may also include various p-type doped regions and/or n-type doped regions, which are implemented by processes such as ion implantation and/or diffusion. Those doped regions include n-type wells, p-type wells, lightly doped regions (LDDs), and various channel doping profiles, which are used to form various IC devices, such as COMOS transistors, imaging sensors, and/or light emitting diodes (LEDs). Substrate 202 may also include other functional features, such as resistors and/or capacitors formed in and/or on substrate 202.
在一些实施例中,基板202亦可包括各种隔离特征。隔离特征将基板202中的各个装置区域分隔开。隔离特征包括通过使用不同的处理技术形成的不同结构。举例而言,隔离特征可包括浅沟槽隔离(shallow trench isolation,STI)特征。STI的形成可包括在基板202中蚀刻沟槽且用诸如氧化硅、氮化硅及/或氮氧化硅的绝缘体材料填充沟槽。填充的沟槽可具有多层结构,诸如具有填充沟槽的氮化硅的热氧化物衬层。可执行化学机械抛光(chemical mechanical polishing,CMP)以抛光掉过量的绝缘体材料且使隔离特征的顶表面平坦化。In some embodiments, substrate 202 may also include various isolation features. Isolation features separate various device regions in substrate 202. Isolation features include different structures formed by using different processing techniques. For example, isolation features may include shallow trench isolation (STI) features. The formation of STI may include etching trenches in substrate 202 and filling the trenches with insulator materials such as silicon oxide, silicon nitride and/or silicon oxynitride. The filled trenches may have a multi-layer structure, such as a thermal oxide liner with silicon nitride filling the trenches. Chemical mechanical polishing (CMP) may be performed to polish away excess insulator material and planarize the top surface of the isolation features.
在一些实施例中,基板202亦可包括由介电层及电极层形成的栅极堆叠。介电层可包括通过合适的技术沉积的界面层及高k介电层,这些合适的技术诸如化学气相沉积(chemical vapor deposition,CVD)、原子层沉积(atomic layer deposition,ALD)、物理气相沉积(physical vapor deposition,PVD)、热氧化、其组合,及/或其他合适的技术。界面层可包括二氧化硅且高k介质层可包括LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3、BaTiO3、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、SiON及/或其他合适的材料。电极层可包括单层或者多层结构,诸如具有用以增强装置性能的功函数的金属层(功函数金属层)、衬层、润湿层、粘附层及金属、金属合金或金属硅化物的导电层的各种组合。电极层可包括Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、任何合适的材料及/或其组合。In some embodiments, the substrate 202 may also include a gate stack formed of a dielectric layer and an electrode layer. The dielectric layer may include an interfacial layer and a high-k dielectric layer deposited by a suitable technique, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), thermal oxidation, combinations thereof, and/or other suitable techniques. The interfacial layer may include silicon dioxide and the high-k dielectric layer may include LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 , BaTiO 3 , BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO 3 (BST), Al 2 O 3 , Si 3 N 4 , SiON, and/or other suitable materials. The electrode layer may include a single layer or a multilayer structure, such as a metal layer having a work function for enhancing device performance (work function metal layer), a liner, a wetting layer, an adhesion layer, and various combinations of a conductive layer of a metal, a metal alloy, or a metal silicide. The electrode layer may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, any suitable material, and/or combinations thereof.
在一些实施例中,基板202亦可包括整合的多个层间介质(inter-leveldielectric,ILD)层及导电特征以形成互连结构,该互连结构用以耦合各种p型及n型掺杂区域及其他功能特征(诸如栅电极),从而形成功能性集成电路。在一个实例中,基板202可包括互连结构的一部分,且互连结构可包括多层互连(multi-layer interconnect,MLI)结构及与MLI结构整合的ILD层,提供电气布线以将基板202中的各种装置耦合至输入/输出功率及信号。互连结构包括各种金属线、触点及通孔特征(或塞孔)。金属线提供水平电气布线。触点提供硅基板与金属线之间的垂直连接,而通孔特征提供不同金属层中的金属线之间的垂直连接。In some embodiments, the substrate 202 may also include multiple inter-level dielectric (ILD) layers and conductive features integrated to form an interconnect structure, which is used to couple various p-type and n-type doped regions and other functional features (such as gate electrodes) to form a functional integrated circuit. In one example, the substrate 202 may include a portion of an interconnect structure, and the interconnect structure may include a multi-layer interconnect (MLI) structure and an ILD layer integrated with the MLI structure, providing electrical wiring to couple various devices in the substrate 202 to input/output power and signals. The interconnect structure includes various metal lines, contacts, and through-hole features (or plug holes). Metal lines provide horizontal electrical wiring. Contacts provide vertical connections between the silicon substrate and the metal lines, and through-hole features provide vertical connections between metal lines in different metal layers.
在一些实施例中,基板202包括介电层。在一些实施例中,介电层包括氧化硅、氮化硅或氮氧化硅。在一些其他实施例中,介电材料包括诸如氧化钛的金属氧化物或诸如氮化钛的金属氮化物。In some embodiments, substrate 202 includes a dielectric layer. In some embodiments, the dielectric layer includes silicon oxide, silicon nitride, or silicon oxynitride. In some other embodiments, the dielectric material includes a metal oxide such as titanium oxide or a metal nitride such as titanium nitride.
涂覆层210布置于基板202上。在一些实施例中,涂覆层210提高了光阻剂层对基板202的粘附性。在一些实施例中,涂覆层210充当底部抗反射涂层(bottom anti-reflectivecoating,BARC)。BARC吸收穿过光阻剂层的辐射,从而防止辐射自基板202反射且使光阻剂层的非预期部分曝光。因此,BARC改进了光阻剂图案的线宽粗糙度及线边缘粗糙度。The coating layer 210 is disposed on the substrate 202. In some embodiments, the coating layer 210 improves the adhesion of the photoresist layer to the substrate 202. In some embodiments, the coating layer 210 acts as a bottom anti-reflective coating (BARC). The BARC absorbs radiation passing through the photoresist layer, thereby preventing the radiation from reflecting from the substrate 202 and exposing unintended portions of the photoresist layer. Therefore, the BARC improves the line width roughness and line edge roughness of the photoresist pattern.
在一些实施例中且如图3中所示,涂覆层210可包括可切换聚合物302、酸产生剂320及淬灭剂330。In some embodiments and as shown in FIG. 3 , the coating layer 210 may include a switchable polymer 302 , an acid generator 320 , and a quencher 330 .
可切换聚合物302具有聚合物主链310及连接至该聚合物主链310的多个侧基(例如,基团312、314及316)。在一些实施例中,聚合物主链310为有机聚合物或无机聚合物。在一些实施例中,聚合物主链310(亦即,聚合物主链(polymer main chain))由一或多种选自由以下组成的群的单体形成:丙烯酸酯、丙烯酸、硅氧烷、羟基苯乙烯、甲基丙烯酸酯、乙烯基酯、马来酸酯、甲基丙烯腈及甲基丙烯酰胺。The switchable polymer 302 has a polymer backbone 310 and a plurality of side groups (e.g., groups 312, 314, and 316) connected to the polymer backbone 310. In some embodiments, the polymer backbone 310 is an organic polymer or an inorganic polymer. In some embodiments, the polymer backbone 310 (i.e., the polymer main chain) is formed by one or more monomers selected from the group consisting of acrylates, acrylic acid, siloxanes, hydroxystyrenes, methacrylates, vinyl esters, maleates, methacrylonitrile, and methacrylamide.
在一些实施例中,连接至聚合物主链310的官能基可包括酸不稳定基团312、交联基团314及漂浮基团316。漂浮基团316是可选的且在一些实施例中可省略。在一些实施例中,额外官能基可键结至聚合物主链310及/或聚合物主链310与官能基312、314及316之间。In some embodiments, the functional groups attached to the polymer backbone 310 may include an acid labile group 312, a crosslinking group 314, and a floating group 316. The floating group 316 is optional and may be omitted in some embodiments. In some embodiments, additional functional groups may be bonded to the polymer backbone 310 and/or between the polymer backbone 310 and the functional groups 312, 314, and 316.
酸不稳定基团(acid labile group,ALG)312经由连接子L1连接至聚合物主链310。酸不稳定基团312在曝光于辐射及/或热处理时经历酸促进的脱保护反应,从而在聚合物侧链上产生反应性基团。在一些实施例中,将分解的酸不稳定基团312衍生自羧酸基团、氟化醇基团、酚醇基团、磺酸基团、磺酰胺基团、磺酰亚胺基基团、(烷基磺酰基)(烷羰基)亚甲基基团、(烷基磺酰基)(烷羰基)亚胺基基团、双(烷羰基)亚甲基基团、双(烷羰基)亚胺基基团、双(烷基磺酰基)亚甲基基团、双(烷基磺酰基)亚胺基基团、参(烷羰基)亚甲基基团、参(烷基磺酰基)亚甲基基团、这些基团的组合或类似基团。用于氟化醇基团的具体基团包括氟化羟烷基,在一些实施例中,诸如六氟异丙醇基团。用于羧酸基团的具体基团包括丙烯酸基团、甲基丙烯酸基团或类似基团。Acid labile groups (ALG) 312 are linked to the polymer backbone 310 via linkers L1. Acid labile groups 312 undergo an acid-promoted deprotection reaction upon exposure to radiation and/or heat treatment, thereby generating reactive groups on the polymer side chains. In some embodiments, the decomposed acid labile groups 312 are derived from carboxylic acid groups, fluorinated alcohol groups, phenolic alcohol groups, sulfonic acid groups, sulfonamide groups, sulfonimide groups, (alkylsulfonyl)(alkylcarbonyl)methylene groups, (alkylsulfonyl)(alkylcarbonyl)imino groups, bis(alkylcarbonyl)methylene groups, bis(alkylcarbonyl)imino groups, bis(alkylsulfonyl)methylene groups, bis(alkylsulfonyl)imino groups, tris(alkylcarbonyl)methylene groups, tris(alkylsulfonyl)methylene groups, combinations of these groups, or the like. Specific groups for fluorinated alcohol groups include fluorinated hydroxyalkyl groups, such as hexafluoroisopropanol groups in some embodiments. Specific groups for the carboxylic acid group include an acrylic acid group, a methacrylic acid group or the like.
选择酸不稳定基团312以在交联基团314的交联温度及光阻剂曝光前烘烤温度下稳定,使得酸不稳定基团312在曝光于辐射之前不切换或分解。举例而言,在交联温度为215℃且光阻剂曝光前烘烤温度为180℃的情况下,酸不稳定基团312至少需要在215℃下稳定。在一些实施例中,酸不稳定基团312占可切换聚合物302的约10wt.%至约70wt.%。当酸不稳定基团312的量在所揭露的范围之外时,线宽粗糙度及浮渣减少可能不会改进。The acid-labile groups 312 are selected to be stable at the crosslinking temperature of the crosslinking groups 314 and the photoresist pre-exposure bake temperature, so that the acid-labile groups 312 do not switch or decompose before exposure to radiation. For example, in the case where the crosslinking temperature is 215° C. and the photoresist pre-exposure bake temperature is 180° C., the acid-labile groups 312 need to be stable at least at 215° C. In some embodiments, the acid-labile groups 312 account for about 10 wt.% to about 70 wt.% of the switchable polymer 302. When the amount of the acid-labile groups 312 is outside the disclosed range, line width roughness and scum reduction may not be improved.
交联基团314经由连接子L2连接至聚合物主链310。两条聚合物链上的交联基团314可发生反应以将两条聚合物链键结在一起,从而提高涂覆层210的抗溶剂性,使得涂覆层210不会被用于形成光阻剂层的溶剂溶解。选择交联基团314使得交联基团314的活化能低于酸不稳定基团312的活化能,使得可切换聚合物302的交联不会引起酸不稳定基团312的反应或分解。在一些实施例中,交联基团314占可切换聚合物302的约30wt.%至约70wt.%。当交联基团314的量在所揭露的范围之外时,线宽粗糙度及浮渣减少可能不会改进。The crosslinking group 314 is connected to the polymer backbone 310 via the linker L2. The crosslinking groups 314 on the two polymer chains can react to bond the two polymer chains together, thereby improving the solvent resistance of the coating layer 210, so that the coating layer 210 is not dissolved by the solvent used to form the photoresist layer. The crosslinking group 314 is selected so that the activation energy of the crosslinking group 314 is lower than the activation energy of the acid-labile group 312, so that the crosslinking of the switchable polymer 302 does not cause the reaction or decomposition of the acid-labile group 312. In some embodiments, the crosslinking group 314 accounts for about 30 wt.% to about 70 wt.% of the switchable polymer 302. When the amount of the crosslinking group 314 is outside the disclosed range, the line width roughness and scum reduction may not be improved.
漂浮基团316经由连接子L3连接至聚合物主链310。在一些实施例中,L3不存在且漂浮基团316直接连接至聚合物主链310。漂浮基团316有助于可切换聚合物302在涂覆及烘烤工艺期间漂浮至涂覆层210的上部。在一些实施例中,漂浮基团316包括含氟官能基。在一些实施例中,漂浮基团316是氟烷基,诸如-CF3、-C2F5、-C3F7或-C4F9。在一些实施例中,在酸不稳定基团312包括可使可切换聚合物302漂浮的氟烷基的情况下,自聚合物结构中省略漂浮基团316。若存在,漂浮基团316占可切换聚合物302的约5wt.%至约40wt.%。当漂浮基团316的量在所揭露的范围之外时,线宽粗糙度及浮渣减少可能不会改进。The floating group 316 is connected to the polymer backbone 310 via a linker L3 . In some embodiments, L3 is not present and the floating group 316 is directly connected to the polymer backbone 310. The floating group 316 helps the switchable polymer 302 float to the upper part of the coating layer 210 during the coating and baking process. In some embodiments, the floating group 316 includes a fluorine-containing functional group. In some embodiments, the floating group 316 is a fluoroalkyl group, such as -CF3 , -C2F5 , -C3F7 , or -C4F9 . In some embodiments, in the case where the acid-labile group 312 includes a fluoroalkyl group that can float the switchable polymer 302, the floating group 316 is omitted from the polymer structure . If present, the floating group 316 accounts for about 5 wt.% to about 40 wt.% of the switchable polymer 302. When the amount of the floating group 316 is outside the disclosed range, line width roughness and scum reduction may not be improved.
在一些实例中,可切换聚合物302具有以下结构(I):In some examples, the switchable polymer 302 has the following structure (I):
其中:in:
L1、L2及L3在每次出现时独立地为直接键或C1-10伸烷基、C1-10杂伸烷基、伸芳基、杂伸芳基或杂原子连接子。L 1 , L 2 and L 3 are independently at each occurrence a direct bond or a C 1-10 alkylene, C 1-10 heteroalkylene, arylene, heteroarylene or heteroatom linker.
Ra、Rb及Rc在每次出现时独立地为氢、C1-10烷基或卤素;Ra, Rb and Rc are independently hydrogen, C 1-10 alkyl or halogen at each occurrence;
R1在每次出现时为酸不稳定基团;R 1, at each occurrence, is an acid-labile group;
R2在每次出现时为交联基团;R 2, at each occurrence, is a cross-linking group;
R3在每次出现时为漂浮基团;R 3 is a floating group at each occurrence;
m及n独立地为1或更大的整数;并且m and n are independently an integer of 1 or greater; and
p为0或更大的整数。p is an integer of 0 or greater.
在一些实施例中,Ra、Rb及Rc各自独立地为氢或甲基。In some embodiments, Ra, Rb, and Rc are each independently hydrogen or methyl.
在一些实施例中,R1具有以下结构中的一者:In some embodiments, R 1 has one of the following structures:
在一些实施例中,R2具有以下结构中的一者:In some embodiments, R 2 has one of the following structures:
其中:in:
R在每次出现时为氢或C1-10烷基;R at each occurrence is hydrogen or C 1-10 alkyl;
q为1至300的整数;并且q is an integer from 1 to 300; and
w为1至6的整数。w is an integer from 1 to 6.
在一些具体实施例中,R是甲基、乙基、丙基、异丙基、正丁基及正戊基。In some embodiments, R is methyl, ethyl, propyl, isopropyl, n-butyl, and n-pentyl.
R3是含CxFy基团。CxFy可含有直链或支链。碳原子数(x)可为一(1)至九(9)。氟数(y)可等于2x+1或3x。在一些实施例中,R3具有以下结构中的一者:R 3 is a CxFy-containing group. CxFy may contain a straight chain or a branched chain. The number of carbon atoms (x) may be one (1) to nine (9). The number of fluorine atoms (y) may be equal to 2x+1 or 3x. In some embodiments, R 3 has one of the following structures:
在一些实施例中,L1、L2及L3独立地为经取代或未经取代、支链或未支链、环状或非环状基团,且包括未经取代或经卤素(例如烯烃)取代的饱和1-9个碳环或非环状基团、-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-、-C6H6-O-、-C6H6-O-C(=O)O-或醚基、酮基、酯基或伸苯基。In some embodiments, L 1 , L 2 and L 3 are independently substituted or unsubstituted, branched or unbranched, cyclic or acyclic groups, and include unsubstituted or halogen (e.g., olefin) substituted saturated 1-9 carbocyclic or acyclic groups, -S-, -P-, -P(O 2 )-, -C(═O)S-, -C(═O)O-, -O-, -N-, -C(═O)N-, -SO 2 O-, -SO 2 S-, -SO-, -SO 2 -, -C 6 H 6 -O-, -C 6 H 6 -OC(═O)O-, or ether, keto, ester, or phenylene.
在一些实施例中,L1、L2或L3独立地具有以下结构中的一者:In some embodiments, L 1 , L 2 or L 3 independently has one of the following structures:
酸产生剂320分散于涂覆层210内。选择酸产生剂320以具有足够的热稳定性来承受涂覆层210在处理(例如,交联交联基团314且烘烤光阻剂)期间经受的加热工艺中所使用的高温。Acid generator 320 is dispersed within coating layer 210. Acid generator 320 is selected to have sufficient thermal stability to withstand the high temperatures used in the heating process to which coating layer 210 is subjected during processing (eg, crosslinking crosslinking groups 314 and baking the photoresist).
在一些实施例中,酸产生剂320是光酸产生剂(PAG),该光酸产生剂在曝光于辐射,例如EUV辐射或电子束辐射时产生酸。在一些实施例中,光酸产生剂可包括阳离子及阴离子的组合。根据本揭示案的实施例的光酸产生剂的实例包括α-(三氟甲基磺酰氧基)-双环[2.2.1]庚-5-烯-2,3-二甲酰亚胺(MDT)、N-羟基-萘二甲酰亚胺(DDSN)、苯偶姻甲苯磺酸酯、三级丁基苯基-α-(对甲苯磺酰氧基)乙酸酯及三级丁基-α-(对甲苯磺酰氧基)乙酸酯、三芳基锍及二芳基碘鎓六氟锑酸盐、六氟砷酸盐、三氟甲磺酸盐、碘鎓全氟辛烷磺酸盐、N-樟脑磺酰氧基萘二甲酰亚胺、N-五氟苯基磺酰氧基萘二甲酰亚胺、离子碘鎓磺酸盐(诸如二芳基碘鎓(烷基或芳基)磺酸盐及双-(二-三级丁基苯基)碘鎓莰基磺酸盐)、全氟烷烃磺酸盐(诸如全氟戊磺酸盐、全氟辛磺酸盐、全氟甲磺酸盐)、芳基(例如苯基或苄基)三氟甲磺酸盐(诸如三苯基锍三氟甲磺酸盐或双-三级丁基苯基)碘鎓三氟甲磺酸盐)、五倍子酚衍生物(例如五倍子酚的三甲磺酸盐)、羟基酰亚胺的三氟甲磺酸酯、α,α′-双-磺酰基-重氮甲烷、硝基取代的苄醇的磺酸酯、萘醌-4-二叠氮化物、烷基二砜或类似者。In some embodiments, the acid generator 320 is a photoacid generator (PAG) that generates an acid when exposed to radiation, such as EUV radiation or electron beam radiation. In some embodiments, the photoacid generator may include a combination of cations and anions. Examples of photoacid generators according to embodiments of the present disclosure include α-(trifluoromethylsulfonyloxy)-bicyclo[2.2.1]hept-5-ene-2,3-dicarboximide (MDT), N-hydroxy-naphthalene dicarboximide (DDSN), benzoin tosylate, tert-butylphenyl-α-(p-toluenesulfonyloxy) acetate and tert-butyl-α-(p-toluenesulfonyloxy) acetate, triarylsulfonium and diaryliodonium hexafluoroantimonates, hexafluoroarsenates, trifluoromethanesulfonates, iodonium perfluorooctanesulfonates, N-camphorsulfonyloxynaphthalene dicarboximide, N-pentafluorophenylsulfonyloxynaphthalene dicarboximide, ionic iodonium, sulfonates (such as diaryliodonium (alkyl or aryl) sulfonates and bis-(di-tert-butylphenyl)iodonium camphenyl sulfonate), perfluoroalkane sulfonates (such as perfluoropentanesulfonate, perfluorooctanesulfonate, perfluoromethanesulfonate), aryl (e.g. phenyl or benzyl) trifluoromethanesulfonates (such as triphenylsulfonium trifluoromethanesulfonate or bis-tert-butylphenyl)iodonium trifluoromethanesulfonate), gallol derivatives (e.g. gallol trimesylate), trifluoromethanesulfonates of hydroxyimides, α,α′-bis-sulfonyl-diazomethane, sulfonates of nitro-substituted benzyl alcohols, naphthoquinone-4-diazide, alkyl disulfones or the like.
在一些实施例中,阳离子选自由以下组成的群:In some embodiments, the cation is selected from the group consisting of:
在一些实施例中,阴离子选自由以下组成的群:In some embodiments, the anion is selected from the group consisting of:
在一些实施例中,酸产生剂320是在加热时产生酸的热酸产生剂(TAG)。在一些实施例中,热酸产生剂选自由以下组成的群:In some embodiments, the acid generator 320 is a thermal acid generator (TAG) that generates acid when heated. In some embodiments, the thermal acid generator is selected from the group consisting of:
其中:in:
R为H或烷基;且R is H or alkyl; and
n为1至6的整数。n is an integer of 1 to 6.
在一些实施例中,基于涂覆组合物的总重量,酸产生剂320的浓度在约1wt.%至约20wt.%范围内。在其他实施例中,基于涂覆组合物的总重量,酸产生剂320的浓度在约10wt.%至约15wt.%范围内。在酸产生剂320的浓度低于所揭露的范围时,可能不会产生足够的酸来改进线宽粗糙度及减少浮渣。在酸产生剂320的浓度大于所揭露的范围时,可能不存在显著改进或线宽粗糙度及浮渣可能会增加。In some embodiments, the concentration of the acid generator 320 is in the range of about 1 wt.% to about 20 wt.% based on the total weight of the coating composition. In other embodiments, the concentration of the acid generator 320 is in the range of about 10 wt.% to about 15 wt.% based on the total weight of the coating composition. When the concentration of the acid generator 320 is below the disclosed range, sufficient acid may not be generated to improve line width roughness and reduce scum. When the concentration of the acid generator 320 is greater than the disclosed range, there may be no significant improvement or line width roughness and scum may increase.
淬灭剂330分散于涂覆层210内。淬灭剂330中和由辐射操作及后续曝光后烘烤操作产生的过量的酸,因此抑制产生的酸在涂覆层210内的扩散。淬灭剂330改进抗蚀剂图案组态以及光阻剂随时间的稳定性。在一些实施例中,淬灭剂330是胺,诸如二级低级脂肪胺、三级低级脂肪胺或类似胺。胺的具体实例包括三甲胺、二乙胺、三乙胺、二正丙胺、三正丙胺、三戊胺、二乙醇胺及三乙醇胺、烷醇胺、其组合或类似胺。在一些实施例中,淬灭剂330具有以下结构中的一者:The quencher 330 is dispersed in the coating layer 210. The quencher 330 neutralizes the excess acid generated by the irradiation operation and the subsequent post-exposure baking operation, thereby inhibiting the diffusion of the generated acid in the coating layer 210. The quencher 330 improves the resist pattern configuration and the stability of the photoresist over time. In some embodiments, the quencher 330 is an amine, such as a secondary lower aliphatic amine, a tertiary lower aliphatic amine, or a similar amine. Specific examples of amines include trimethylamine, diethylamine, triethylamine, di-n-propylamine, tri-n-propylamine, tripentylamine, diethanolamine and triethanolamine, alkanolamine, a combination thereof, or a similar amine. In some embodiments, the quencher 330 has one of the following structures:
在一些实施例中,淬灭剂330是响应于辐射产生碱性部分的光可分解碱(PDB)。由光可分解碱产生的碱性部分与产生的酸反应,从而防止产生的酸扩散至未曝光于光化辐射的涂覆层210的部分中。在一些实施例中,光可分解碱可包括阳离子及阴离子的组合。在一些实例中,光碱产生剂具有以下结构:In some embodiments, the quencher 330 is a photodecomposable base (PDB) that generates a basic moiety in response to radiation. The basic moiety generated by the photodecomposable base reacts with the generated acid, thereby preventing the generated acid from diffusing into the portion of the coating layer 210 that is not exposed to the actinic radiation. In some embodiments, the photodecomposable base may include a combination of cations and anions. In some examples, the photobase generator has the following structure:
其中:in:
R是烷基、杂烷基、环烷基或杂环烷基;R is alkyl, heteroalkyl, cycloalkyl or heterocycloalkyl;
X是羰氧基(-C(=O)O-);X is a carbonyloxy group (-C(=O)O-);
Y是直链、支链或环伸烷基或伸芳基;Y is a linear, branched or cyclic alkyl or aryl group;
Rf是含氟原子的烃基;并且Rf is a hydrocarbon group containing a fluorine atom; and
表示有机阳离子或金属阳离子。 represents an organic cation or a metal cation.
在一些实施例中,R选自环戊基、环己基、环庚基、4-甲基环己基、环己基甲基、降莰基、金刚烷基、2-侧氧基环戊基、2-侧氧基环己基、2-环戊基-2-侧氧基乙基、2-环己基-2-侧氧基乙基、2-(4-甲基环己基)-2-侧氧基乙基及4-侧氧基金刚烷基。In some embodiments, R is selected from cyclopentyl, cyclohexyl, cycloheptyl, 4-methylcyclohexyl, cyclohexylmethyl, norbornyl, adamantyl, 2-oxycyclopentyl, 2-oxycyclohexyl, 2-cyclopentyl-2-oxyethyl, 2-cyclohexyl-2-oxyethyl, 2-(4-methylcyclohexyl)-2-oxyethyl, and 4-oxyadamantyl.
在一些实施例中,Rf是三氟甲基。In some embodiments, Rf is trifluoromethyl.
在一些实施例中,阳离子选自以下结构中的一者:In some embodiments, the cation is selected from one of the following structures:
在一些实施例中,阴离子选自以下结构中的一者:In some embodiments, the anion is selected from one of the following structures:
在一些实施例中,基于涂覆组合物的总重量,淬灭剂330的浓度在约1wt.%至约20wt.%范围内。在其他实施例中,基于涂覆组合物的总重量,淬灭剂330的浓度在约10wt.%至约15wt.%范围内。在淬灭剂330的浓度低于所揭露的范围时,可能不存在足够的碱来改进线宽粗糙度及减少浮渣。在淬灭剂330的浓度大于所揭露的范围时,可能不存在显著改进或可能减少线宽粗糙度及减少浮渣。In some embodiments, the concentration of the quencher 330 is in the range of about 1 wt.% to about 20 wt.% based on the total weight of the coating composition. In other embodiments, the concentration of the quencher 330 is in the range of about 10 wt.% to about 15 wt.% based on the total weight of the coating composition. When the concentration of the quencher 330 is below the disclosed range, there may not be enough base to improve the line width roughness and reduce scum. When the concentration of the quencher 330 is greater than the disclosed range, there may not be a significant improvement or may be a reduction in line width roughness and scum.
在一些实施例中,涂覆层210的厚度可在约2nm至约1m范围内。在一些实施例中,涂覆层210的厚度在约5nm至约500nm范围内,且在其他实施例中,涂覆层210的厚度在约10nm至约200nm范围内。小于所揭露的范围的涂层厚度可能不足以提供足够的光阻剂粘附及抗反射性能。大于所揭露的范围的涂层厚度可能不必要地厚且可能不会提供抗蚀剂层粘附及浮渣减少的进一步改进。In some embodiments, the coating layer 210 may have a thickness in the range of about 2 nm to about 1 nm. In some embodiments, the coating layer 210 has a thickness in the range of about 5 nm to about 500 nm, and in other embodiments, the coating layer 210 has a thickness in the range of about 10 nm to about 200 nm. Coating thicknesses less than the disclosed ranges may not be sufficient to provide adequate photoresist adhesion and anti-reflective properties. Coating thicknesses greater than the disclosed ranges may be unnecessarily thick and may not provide further improvements in resist layer adhesion and scum reduction.
为了形成涂覆层210,将包括可切换聚合物302、酸产生剂320及淬灭剂330的涂覆层210的个别组分置于溶剂中,且随后将所得涂覆组合物例如通过旋涂或通过CVD、PVD或ALD施加至基板202的顶表面上。溶剂可为用于溶解可切换聚合物302及所选涂覆组分,诸如酸产生剂320及淬灭剂330的任何合适的溶剂。在一些实施例中,溶剂为选自以下中的一或多者:丙二醇甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁内酯(GBL)、环己酮(CHN)、乳酸乙酯(EL)、甲醇、乙醇、丙醇、正丁醇、丙酮、二甲基甲酰胺(DMF)、异丙醇(IPA)、四氢呋喃(THF)、甲基异丁基甲醇(MIBC)、乙酸正丁酯(nBA)及2-庚酮(MAK)。To form the coating layer 210, the individual components of the coating layer 210 including the switchable polymer 302, the acid generator 320, and the quencher 330 are placed in a solvent, and the resulting coating composition is then applied to the top surface of the substrate 202, for example, by spin coating or by CVD, PVD, or ALD. The solvent can be any suitable solvent for dissolving the switchable polymer 302 and the selected coating components, such as the acid generator 320 and the quencher 330. In some embodiments, the solvent is one or more selected from the following: propylene glycol methyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), 1-ethoxy-2-propanol (PGEE), γ-butyrolactone (GBL), cyclohexanone (CHN), ethyl lactate (EL), methanol, ethanol, propanol, n-butanol, acetone, dimethylformamide (DMF), isopropyl alcohol (IPA), tetrahydrofuran (THF), methyl isobutyl carbinol (MIBC), n-butyl acetate (nBA), and 2-heptanone (MAK).
在一些实施例中,涂覆层210可包括沿着涂覆层210的顶表面的漂浮区域210a。在一些实施例中,漂浮区域210a可包括酸不稳定基团312及漂浮基团316(若存在)。漂浮区域210a是由于酸不稳定基团312及漂浮基团316在例如通过旋涂施加涂覆层210时移动至涂覆层210的顶部而形成的。这种运动引发是因为氟原子的添加导致酸不稳定基团312及漂浮基团316具有高表面能。这种高表面能与涂覆层210内的氟原子与其他原子之间的低相互作用相结合,同时引发酸不稳定基团312及漂浮基团316向涂覆层210的顶表面移动。In some embodiments, the coating layer 210 may include a floating region 210a along the top surface of the coating layer 210. In some embodiments, the floating region 210a may include the acid-labile groups 312 and the floating groups 316 (if present). The floating region 210a is formed due to the acid-labile groups 312 and the floating groups 316 moving to the top of the coating layer 210 when the coating layer 210 is applied, for example, by spin coating. This movement is caused because the acid-labile groups 312 and the floating groups 316 have high surface energy due to the addition of fluorine atoms. This high surface energy, combined with the low interaction between the fluorine atoms and other atoms in the coating layer 210, simultaneously causes the acid-labile groups 312 and the floating groups 316 to move toward the top surface of the coating layer 210.
在形成漂浮区域210a的实施例中,漂浮区域210a将具有比涂覆层210的其余部分更高浓度的酸不稳定基团312,诸如具有介于约0.01%与约10%之间,诸如约2%的浓度,而涂覆层210的其余部分(漂浮区域210a之外)将具有不大于约5%的酸不稳定基团312的浓度。在一些实施例中,漂浮区域210a将具有介于约与约之间,诸如约的厚度T1。然而,这些尺寸及浓度可变化且意欲仅为说明性的,并且任何益处均可自不同于本文所列那些浓度的合适浓度中获得。In embodiments where a float region 210a is formed, the float region 210a will have a higher concentration of acid-labile groups 312 than the remainder of the coating layer 210, such as between about 0.01% and about 10%, such as about 2%, while the remainder of the coating layer 210 (outside the float region 210a) will have a concentration of acid-labile groups 312 no greater than about 5%. In some embodiments, the float region 210a will have a concentration between about 0.01% and about 10%, such as about 2%. About Between, such as about However, these dimensions and concentrations may vary and are intended to be illustrative only, and any benefits may be obtained from suitable concentrations other than those listed herein.
参考图1及图2B,根据一些实施例,方法100进行至操作104,在操作104中在涂覆层210发生交联以形成交联涂覆层212。图2B是根据一些实施例的在形成交联涂覆层212之后的半导体装置200的横截面图。1 and 2B, according to some embodiments, method 100 proceeds to operation 104, where coating layer 210 is cross-linked to form cross-linked coating layer 212. FIG2B is a cross-sectional view of semiconductor device 200 after forming cross-linked coating layer 212 according to some embodiments.
在一些实施例中,进行第一烘烤工艺214以自涂覆层210移除残留溶剂且引起交联基团314发生交联,从而形成交联涂覆层212。在一些实施例中,交联涂覆层212包括沿着交联涂覆层212的顶表面的交联漂浮区域212a。第一烘烤工艺214是在足以引起交联基团314彼此反应且将个别聚合物301键结成聚合物网络;但不会引起酸不稳定基团312分解的温度下进行一段时间。在一些实施例中,烘烤或加热工艺214是在约40℃至约300℃范围内的温度下进行。在某些实施例中,第一烘烤工艺214是在约80℃至约200℃的温度下进行约20秒至约3分钟。在其他实施例中,烘烤工艺214是在约100℃至约250℃指温度下进行约10秒至约2分钟。In some embodiments, a first baking process 214 is performed to remove residual solvent from the coating layer 210 and cause the crosslinking groups 314 to crosslink, thereby forming a crosslinked coating layer 212. In some embodiments, the crosslinked coating layer 212 includes a crosslinked floating area 212a along the top surface of the crosslinked coating layer 212. The first baking process 214 is performed for a period of time at a temperature sufficient to cause the crosslinking groups 314 to react with each other and bond the individual polymers 301 into a polymer network; but does not cause the acid-labile groups 312 to decompose. In some embodiments, the baking or heating process 214 is performed at a temperature ranging from about 40°C to about 300°C. In certain embodiments, the first baking process 214 is performed at a temperature of about 80°C to about 200°C for about 20 seconds to about 3 minutes. In other embodiments, the baking process 214 is performed at a temperature of about 100°C to about 250°C for about 10 seconds to about 2 minutes.
参考图1及图2C,根据一些实施例,该方法进行至操作106,在操作106中在交联涂覆层212上方形成光阻剂层220。图2C是根据一些实施例的在交联涂覆层212上方形成光阻剂层220之后的半导体装置200的横截面图。1 and 2C, according to some embodiments, the method proceeds to operation 106 where a photoresist layer 220 is formed over the cross-linked coating layer 212. FIG2C is a cross-sectional view of the semiconductor device 200 after forming the photoresist layer 220 over the cross-linked coating layer 212 according to some embodiments.
光阻剂层220是通过曝光于辐射而图案化的光敏层。通常,受入射辐射照射的光阻剂区域的化学性质以取决于所用光阻剂类型的方式变化。光阻剂层220包括正型抗蚀剂或负型抗蚀剂。正型抗蚀剂是指当曝光于辐射(诸如UV光)时变得可溶于显影剂,而未曝光(或曝光较少)的光阻剂区域不溶于显影剂的光阻剂材料。另一方面,负型抗蚀剂是指当曝光于辐射时变得不溶于显影剂,而未曝光(或曝光较少)的光阻剂区域可溶于显影剂的光阻剂材料。在曝光于辐射时变得不溶的负型抗蚀剂区域可能由于曝光于辐射引起的交联反应而变得不溶。Photoresist layer 220 is a photosensitive layer patterned by exposure to radiation. Generally, the chemical properties of the photoresist area irradiated by the incident radiation vary in a manner depending on the type of photoresist used. Photoresist layer 220 includes a positive resist or a negative resist. A positive resist refers to a photoresist material that becomes soluble in a developer when exposed to radiation (such as UV light), while the unexposed (or less exposed) photoresist area is insoluble in the developer. On the other hand, a negative resist refers to a photoresist material that becomes insoluble in a developer when exposed to radiation, while the unexposed (or less exposed) photoresist area is soluble in the developer. The negative resist area that becomes insoluble when exposed to radiation may become insoluble due to the cross-linking reaction caused by exposure to radiation.
在一些实施例中,光阻剂层220包括高灵敏度光阻剂组合物。在一些实施例中,高灵敏度光阻剂组合物包括对EUV辐射具有高吸收的金属。In some embodiments, the photoresist layer 220 includes a high-sensitivity photoresist composition. In some embodiments, the high-sensitivity photoresist composition includes a metal that has a high absorption to EUV radiation.
在一些实施例中,光阻剂层220可包括有机金属化合物,该有机金属化合物包括与多个有机配位体配位的金属核。在一些实施例中且如图4A中所示,有机金属化合物具有下式:In some embodiments, the photoresist layer 220 may include an organometallic compound including a metal core coordinated with a plurality of organic ligands. In some embodiments and as shown in FIG. 4A , the organometallic compound has the following formula:
MaLbXc,M a L b X c ,
其中:in:
M是锡(Sn)、铋(Bi)、锑(Sb)、铟(In)、碲(Te)、钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、钴(Co)、钼(Mo)、钨(W)、铝(Al)、砷(As)、钇(Y)、镧(La)、铈(Ce)或镥(Lu)中的至少一者;M is at least one of tin (Sn), bismuth (Bi), antimony (Sb), indium (In), tellurium (Te), titanium (Ti), zirconium (Zr), hafnium (Hf), vanadium (V), cobalt (Co), molybdenum (Mo), tungsten (W), aluminum (Al), arsenic (As), yttrium (Y), lanthanum (La), cerium (Ce), or lutetium (Lu);
L独立地为经取代或未经取代的烷基、烯基、环烷基、环杂烷基、芳烷基、芳基或杂芳基;L is independently substituted or unsubstituted alkyl, alkenyl, cycloalkyl, cycloheteroalkyl, aralkyl, aryl or heteroaryl;
X独立地为可水解的配位体;并且X is independently a hydrolyzable ligand; and
1≤a≤2、b≥1、c≥1及b+c≤5。1≤a≤2, b≥1, c≥1 and b+c≤5.
在一些实施例中,M选自由以下组成的群:Sn、Bi、Sb、In、Te及其组合。在一些实施例中,L是C3-C6烷基、烯基。在一些实施例中,L选自由以下组成的群:丙基、异丙基、丁基、异丁基、二级丁基、三级丁基、戊基、异戊基、二级戊基、三级戊基、己基、异己基、二级己基、三级己基及其组合。在一些实施例中,L经氟化,使得烷基或烯基经一或多个氟基取代。In some embodiments, M is selected from the group consisting of Sn, Bi, Sb, In, Te, and combinations thereof. In some embodiments, L is a C3-C6 alkyl, alkenyl. In some embodiments, L is selected from the group consisting of propyl, isopropyl, butyl, isobutyl, secondary butyl, tertiary butyl, pentyl, isopentyl, secondary pentyl, tertiary pentyl, hexyl, isohexyl, secondary hexyl, tertiary hexyl, and combinations thereof. In some embodiments, L is fluorinated such that the alkyl or alkenyl is substituted with one or more fluoro groups.
在一些实施例中,X是容易与第二化合物反应以产生-OH的任何部分,诸如选自由胺组成的群的部分,包括二烷胺基基单烷胺基;烷氧基;羧酸酯、卤素及磺酸酯。在一些实施例中,磺酸酯基经一或多个胺基团取代。在一些实施例中,卤化物是选自由F、Cl、Br及I组成的组中的一或多者。在一些实施例中,磺酸酯基包括经取代或未经取代的C1-C3基团。In some embodiments, X is any moiety that readily reacts with a second compound to produce -OH, such as a moiety selected from the group consisting of amines, including dialkylamino and monoalkylamino; alkoxy; carboxylates, halogens, and sulfonates. In some embodiments, the sulfonate group is substituted with one or more amine groups. In some embodiments, the halide is one or more selected from the group consisting of F, Cl, Br, and I. In some embodiments, the sulfonate group includes a substituted or unsubstituted C1-C3 group.
在一些实施例中,第二化合物是胺、硼烷、膦或水中的至少一者。在一些实施例中,胺具有式NpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,且当p为2时n+m=4,并且各X独立地为选自由F、Cl、Br及I组成的群的卤素。在一些实施例中,硼烷具有式BpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,且当p为2时n+m=4,并且各X独立地为选自由F、Cl、Br及I组成的群的卤素。在一些实施例中,膦具有式PpHnXm,其中0≤n≤3,0≤m≤3,当p为1时n+m=3,或当p为2时n+m=4,并且各X独立地为选自由F、Cl、Br及I组成的群的卤素。In some embodiments, the second compound is at least one of an amine, a borane, a phosphine, or water. In some embodiments, the amine has the formula NpHnXm, wherein 0≤n≤3, 0≤m≤3, n+m=3 when p is 1, and n+m=4 when p is 2, and each X is independently a halogen selected from the group consisting of F, Cl, Br, and I. In some embodiments, the borane has the formula BpHnXm, wherein 0≤n≤3, 0≤m≤3, n+m=3 when p is 1, and n+m=4 when p is 2, and each X is independently a halogen selected from the group consisting of F, Cl, Br, and I. In some embodiments, the phosphine has the formula PpHnXm , wherein 0≤n≤3, 0≤m≤3, n+m=3 when p is 1, or n+m=4 when p is 2, and each X is independently a halogen selected from the group consisting of F, Cl, Br, and I.
在一些实施例中,第二化合物是水、氨或肼。水、氨或肼与有机金属化合物的反应产物可形成氢键,这些氢键增加反应产物的沸点且防止金属光阻剂材料的发射,从而防止金属污染。氢键亦可有助于防止水分对光阻剂层质量的影响。In some embodiments, the second compound is water, ammonia or hydrazine. The reaction products of water, ammonia or hydrazine with the organometallic compound can form hydrogen bonds, which increase the boiling point of the reaction product and prevent emission of the metal photoresist material, thereby preventing metal contamination. Hydrogen bonds can also help prevent moisture from affecting the quality of the photoresist layer.
图4B示出了有机金属化合物402与水之间的反应。如图4B中所示,在水存在下,有机金属化合物402被水解,即羟基置换可水解配位体且键结至核心M上,得到含羟基化合物404。超过一种含羟基化合物404可进行缩合反应以形成有机金属聚合物406。应注意,尽管有机金属聚合物406包括三种有机金属化合物402,但亦设想具有更少或更多有机金属化合物402的有机金属聚合物。4B shows the reaction between the organometallic compound 402 and water. As shown in FIG4B , in the presence of water, the organometallic compound 402 is hydrolyzed, i.e., the hydroxyl group replaces the hydrolyzable ligand and bonds to the core M to obtain a hydroxyl-containing compound 404. More than one hydroxyl-containing compound 404 may undergo a condensation reaction to form an organometallic polymer 406. It should be noted that although the organometallic polymer 406 includes three organometallic compounds 402, organometallic polymers with fewer or more organometallic compounds 402 are also contemplated.
在一些实施例中,有机金属化合物包括二级己基参(二甲胺基)锡、三级己基参(二甲胺基)锡、异己基参(二甲胺基)锡、正己基参(二甲胺基)锡、二级戊基参(二甲胺基)锡、三级戊基参(二甲胺基)锡、异戊基参(二甲胺基)锡、正戊基参(二甲胺基)锡、二级丁基参(二甲胺基)锡、三级丁基参(二甲胺基)锡、异丁基参(二甲胺基)锡、正丁基参(二甲胺基)锡、二级丁基参(二甲胺基)锡、异丙基(参)二甲胺基锡、正丙基参(二乙胺基)锡,以及类似的烷基(参)(三级丁氧基)锡化合物,包括二级己基参(三级丁氧基)锡、三级己基参(三级丁氧基)锡、异己基参(三级丁氧基)锡、正己基参(三级丁氧基)锡、二级戊基参(三级丁氧基)锡、三级戊基参(三级丁氧基)锡、异戊基参(三级丁氧基)锡、正戊基参(三级丁氧基)锡、三级丁基参(三级丁氧基)锡、异丁基参(丁氧基)锡、正丁基参(丁氧基)锡、二级丁基参(丁氧基)锡、异丙基(参)二甲胺基锡或正丙基参(丁氧基)锡。在一些实施例中,有机金属化合物是氟化的。在一些实施例中,有机金属化合物的沸点低于约200℃。In some embodiments, the organometallic compound includes secondary hexyl tin (dimethylamino), tertiary hexyl tin (dimethylamino), isohexyl tin (dimethylamino), n-hexyl tin (dimethylamino), secondary amyl tin (dimethylamino), tertiary amyl tin (dimethylamino), isoamyl tin (dimethylamino), n-amyl tin (dimethylamino), secondary butyl tin (dimethylamino), tertiary butyl tin (dimethylamino), isobutyl tin (dimethylamino), n-butyl tin (dimethylamino), secondary butyl tin (dimethylamino), isopropyl tin (dimethylamino), n-propyl tin (diethylamino) , and similar alkyl (tin) (tertiary butoxy) tin compounds, including secondary hexyl tin (tertiary butoxy) tin, tertiary hexyl tin (tertiary butoxy) tin, isohexyl tin (tertiary butoxy) tin, n-hexyl tin (tertiary butoxy) tin, secondary amyl tin (tertiary butoxy) tin, tertiary amyl tin (tertiary butoxy) tin, isopentyl tin (tertiary butoxy) tin, n-pentyl tin (tertiary butoxy) tin, tertiary butyl tin (tertiary butoxy) tin, isobutyl tin (butoxy) tin, n-butyl tin (butoxy) tin, secondary butyl tin (butoxy) tin, isopropyl (tin) dimethylamino tin or n-propyl tin (butoxy) tin. In some embodiments, the organometallic compound is fluorinated. In some embodiments, the boiling point of the organometallic compound is less than about 200°C.
在一些实施例中,有机金属化合物具有以下结构中的一者:In some embodiments, the organometallic compound has one of the following structures:
在一些实施例中,光阻剂层220是通过使用例如旋涂将光阻剂组合物施加至交联涂覆层212上而形成的。在一些实施例中,光阻剂组合物包括至少一种有机金属化合物及至少一种溶剂。光阻剂组合物中有机金属化合物的量可为约0.5重量%至10重量%。在一些实施例中,光阻剂组合物可包括约1重量%的有机金属化合物。In some embodiments, the photoresist layer 220 is formed by applying a photoresist composition to the cross-linked coating layer 212 using, for example, spin coating. In some embodiments, the photoresist composition includes at least one organic metal compound and at least one solvent. The amount of the organic metal compound in the photoresist composition may be about 0.5 wt % to 10 wt %. In some embodiments, the photoresist composition may include about 1 wt % of the organic metal compound.
在一些实施例中,在交联涂覆层212上设置光阻剂层220之后,可进行曝光前烘烤工艺以自光阻剂层220中移除溶剂。选择烘烤温度使得曝光前烘烤工艺不会引起交联涂覆层212中的可切换聚合物302的酸不稳定基团312的裂解。在一些实施例中,曝光前烘烤工艺可在约40℃至约140℃的温度下进行10秒至5分钟。在一些实施例中,将光阻剂层220及交联涂覆层212在约60℃至约120℃的温度加热20秒至3分钟。In some embodiments, after the photoresist layer 220 is disposed on the cross-linked coating layer 212, a pre-exposure baking process may be performed to remove the solvent from the photoresist layer 220. The baking temperature is selected so that the pre-exposure baking process does not cause the cleavage of the acid-labile groups 312 of the switchable polymer 302 in the cross-linked coating layer 212. In some embodiments, the pre-exposure baking process may be performed at a temperature of about 40° C. to about 140° C. for 10 seconds to 5 minutes. In some embodiments, the photoresist layer 220 and the cross-linked coating layer 212 are heated at a temperature of about 60° C. to about 120° C. for 20 seconds to 3 minutes.
参考图1及图2D,根据一些实施例,方法100进行至操作108,在操作108中将光阻剂层220及交联涂覆层212曝光于辐射230。图2D是根据一些实施例的在将光阻剂层220及交联涂覆层212曝光于辐射230之后的半导体装置200的横截面图。1 and 2D, according to some embodiments, the method 100 proceeds to operation 108, where the photoresist layer 220 and the cross-linked coating layer 212 are exposed to radiation 230. FIG2D is a cross-sectional view of the semiconductor device 200 after exposing the photoresist layer 220 and the cross-linked coating layer 212 to radiation 230, according to some embodiments.
将光阻剂层220及交联涂覆层212通过光罩240曝光于来自光源的辐射230。基于待制造的IC的规格,光罩240具有为IC设计的预先界定的图案。光罩240的图案对应于构成待制造的IC装置的各种元件的材料的图案。举例而言,IC设计布局的一部分包括各种IC特征,诸如待形成于基板202中的主动区、栅电极、源极及漏极、层间互连的金属线或通孔,以及用于焊垫的开口。The photoresist layer 220 and the cross-linked coating layer 212 are exposed to radiation 230 from a light source through a photomask 240. The photomask 240 has a predefined pattern designed for the IC based on the specifications of the IC to be manufactured. The pattern of the photomask 240 corresponds to the pattern of the materials that make up the various elements of the IC device to be manufactured. For example, a portion of the IC design layout includes various IC features such as active regions to be formed in the substrate 202, gate electrodes, source and drain electrodes, metal lines or vias for interlayer interconnects, and openings for bonding pads.
在一些实施例中,光罩240包括第一区242及第二区244。在第一区242中,辐射230被光罩240阻挡而到达光阻剂层220及交联涂覆层212,而在第二区244中,辐射230未被光罩240阻挡且可穿过光罩240到达光阻剂层220及交联涂覆层212。因此,光罩240用于形成光阻层的曝光区220e及未曝光区220u,以及交联涂覆层212的曝光区212e及未曝光区212u。在一些实施例中,曝光于辐射230是通过将涂有光阻剂的基板202置放于光蚀刻工具中来进行。光蚀刻工具包括光罩240、光学器件、提供用于曝光的辐射230的曝光辐射源,以及用于在辐射230下支撑及移动基板202的可移动平台。In some embodiments, the photomask 240 includes a first area 242 and a second area 244. In the first area 242, the radiation 230 is blocked by the photomask 240 from reaching the photoresist layer 220 and the cross-linked coating layer 212, while in the second area 244, the radiation 230 is not blocked by the photomask 240 and can pass through the photomask 240 to reach the photoresist layer 220 and the cross-linked coating layer 212. Therefore, the photomask 240 is used to form exposed areas 220e and unexposed areas 220u of the photoresist layer, and exposed areas 212e and unexposed areas 212u of the cross-linked coating layer 212. In some embodiments, the exposure to the radiation 230 is performed by placing the photoresist-coated substrate 202 in a photolithography tool. The photolithography tool includes the photomask 240, an optical device, an exposure radiation source that provides the radiation 230 for exposure, and a movable stage for supporting and moving the substrate 202 under the radiation 230.
在一些实施例中,辐射230是EUV辐射(例如13.5nm)。替代地,在一些实施例中,辐射230是DUV辐射(例如248nm KrF准分子激光或193nm ArF准分子激光)、X射线辐射、电子束辐射、离子束辐射或其他合适的辐射。在一些实施例中,操作108是在液体中(浸渍微影)或在用于EUV蚀刻术及电子束蚀刻术的真空中进行。In some embodiments, radiation 230 is EUV radiation (e.g., 13.5 nm). Alternatively, in some embodiments, radiation 230 is DUV radiation (e.g., 248 nm KrF excimer laser or 193 nm ArF excimer laser), X-ray radiation, electron beam radiation, ion beam radiation, or other suitable radiation. In some embodiments, operation 108 is performed in a liquid (immersion lithography) or in a vacuum for EUV etching and electron beam etching.
在一些实施例中,光阻剂层220的被辐射230照射的曝光区220e发生进一步的缩合反应以形成金属簇,而未被辐射230照射的未曝光区220u不发生缩合反应。光阻剂层220的曝光区220e可构成潜在图案。由于金属簇实质上不溶于后续显影工艺中所用的显影剂,因此光阻剂层220的被辐射230照射的曝光区220e实质上不溶于显影剂。未被辐射230照射的未曝光区220u不发生缩合反应且可溶于显影剂。溶解度的差异允许在显影工艺中显影潜在图案。In some embodiments, the exposed areas 220e of the photoresist layer 220 irradiated by the radiation 230 undergo further condensation reactions to form metal clusters, while the unexposed areas 220u not irradiated by the radiation 230 do not undergo condensation reactions. The exposed areas 220e of the photoresist layer 220 may constitute a latent pattern. Since the metal clusters are substantially insoluble in the developer used in the subsequent development process, the exposed areas 220e of the photoresist layer 220 irradiated by the radiation 230 are substantially insoluble in the developer. The unexposed areas 220u not irradiated by the radiation 230 do not undergo condensation reactions and are soluble in the developer. The difference in solubility allows the latent pattern to be developed in the development process.
图5示出了在一些实施例中有机金属化合物由于曝光于辐射230而发生的反应。由于曝光于辐射230,配位体L自有机金属化合物的金属核M+裂解,且两个或更多个有机金属化合物核彼此键结以形成金属氧化物簇。5 illustrates the reaction of an organometallic compound in some embodiments as a result of exposure to radiation 230. As a result of exposure to radiation 230, ligand L is cleaved from the metal core M + of the organometallic compound, and two or more organometallic compound cores bond to each other to form a metal oxide cluster.
在辐射时,交联涂覆层212的曝光区212e中的酸产生剂320,诸如PAG或TAG吸收能量以产生酸。在曝光于辐射230期间产生的酸自交联涂覆层212中的交联可切换聚合物裂解酸不稳定基团(acid labile group,ALG),从而在曝光区212e中形成反应性官能基,诸如-COOH或-OH。交联涂覆层212中的反应性官能基随后与水解的有机金属化合物(M-OH)中的羟基(OH)反应。在光阻剂层220与交联涂覆层212之间形成的所得共价键有助于增强崩溃窗口、LWR且微调抗蚀剂轮廓形状。Upon irradiation, the acid generator 320, such as PAG or TAG, in the exposure region 212e of the cross-linked coating layer 212 absorbs energy to generate acid. The acid generated during exposure to radiation 230 cleaves the acid labile group (ALG) from the cross-linked switchable polymer in the cross-linked coating layer 212, thereby forming a reactive functional group, such as -COOH or -OH, in the exposure region 212e. The reactive functional group in the cross-linked coating layer 212 then reacts with the hydroxyl group (OH) in the hydrolyzed organometallic compound (M-OH). The resulting covalent bond formed between the photoresist layer 220 and the cross-linked coating layer 212 helps to enhance the collapse window, LWR and fine-tune the resist profile shape.
图6A示出了根据本揭示案的实施例的酸不稳定基团(acid labile group,ALG)312的脱保护反应。当交联涂覆层212曝光于辐射230时,酸产生剂320产生裂解酸不稳定基团(acid labile group,ALG)312的酸(H+),且在聚合物侧链上产生羧基(-COOH)或羟基(-OH)。6A shows a deprotection reaction of an acid labile group (ALG) 312 according to an embodiment of the present disclosure. When the cross-linked coating layer 212 is exposed to radiation 230, the acid generator 320 generates an acid (H + ) that cleaves the acid labile group (ALG) 312 and generates a carboxyl group (-COOH) or a hydroxyl group (-OH) on the polymer side chain.
图6B示出了ALG裂解的交联可切换聚合物与水解的有机金属化合物(M-OH)之间的缩合反应。FIG6B shows the condensation reaction between the ALG-cleaved cross-linked switchable polymer and the hydrolyzed organometallic compound (M-OH).
接着,对光阻剂层220进行曝光后烘烤(post-exposure baking,PEB)。在一些实施例中,将光阻剂层220在约50℃至约250℃的温度下加热约20秒至约300秒。在一些实施例中,曝光后烘烤是在约100℃至约230℃范围内的温度下,以及在其他实施例中在约150℃至约200℃范围内的温度下进行。在PEB操作期间,在交联涂覆层212的曝光区212e中产生更多的酸。产生的酸促进ALG的脱保护反应及交联涂覆层212与光阻剂层220之间的缩合反应。Next, the photoresist layer 220 is subjected to post-exposure baking (PEB). In some embodiments, the photoresist layer 220 is heated at a temperature of about 50° C. to about 250° C. for about 20 seconds to about 300 seconds. In some embodiments, the post-exposure baking is performed at a temperature in the range of about 100° C. to about 230° C., and in other embodiments at a temperature in the range of about 150° C. to about 200° C. During the PEB operation, more acid is generated in the exposed area 212 e of the cross-linked coating layer 212. The generated acid promotes the deprotection reaction of the ALG and the condensation reaction between the cross-linked coating layer 212 and the photoresist layer 220.
参考图1及图2E,根据一些实施例,方法100进行至操作110,在操作110中显影光阻剂层220及交联涂覆层212以形成图案化的光阻剂层220p及图案化的交联涂覆层212p。图2E是根据一些实施例的在显影光阻剂层220及交联涂覆层212以形成图案化的光阻剂层220p及图案化的交联涂覆层212p之后的半导体装置200的横截面图。1 and 2E, according to some embodiments, the method 100 proceeds to operation 110, where the photoresist layer 220 and the cross-linked coating layer 212 are developed to form a patterned photoresist layer 220p and a patterned cross-linked coating layer 212p. FIG2E is a cross-sectional view of the semiconductor device 200 after developing the photoresist layer 220 and the cross-linked coating layer 212 to form a patterned photoresist layer 220p and a patterned cross-linked coating layer 212p according to some embodiments.
在一些实施例中,通过将基于溶剂的显影剂施加至光阻剂层220来显影光阻剂层220。在一些实施例中,光阻剂层220的曝光区220e由于曝光于辐射而经历金属簇形成反应,且光阻剂层220的未曝光区220u被在光阻剂层220中形成开口250的图案的显影剂移除以暴露基板202。在一些实施例中,在显影操作期间移除位于光阻剂层220的未曝光区220u下方的交联涂覆层212。In some embodiments, the photoresist layer 220 is developed by applying a solvent-based developer to the photoresist layer 220. In some embodiments, the exposed regions 220e of the photoresist layer 220 undergo a metal cluster formation reaction due to exposure to radiation, and the unexposed regions 220u of the photoresist layer 220 are removed by the developer forming a pattern of openings 250 in the photoresist layer 220 to expose the substrate 202. In some embodiments, the cross-linked coating layer 212 located below the unexposed regions 220u of the photoresist layer 220 is removed during the development operation.
在一些实施例中,抗蚀剂显影剂包括溶剂及酸或碱。在一些实施例中,基于抗蚀剂显影剂的总重量,溶剂的浓度为约60wt.%至约99wt.%。基于抗蚀剂显影剂的总重量,酸或碱的浓度为约0.001wt.%至约20wt.%。在某些实施例中,基于显影剂的总重量,显影剂中的酸或碱的浓度为约0.01wt.%至约15wt.%。In some embodiments, the resist developer includes a solvent and an acid or base. In some embodiments, the concentration of the solvent is about 60 wt.% to about 99 wt.% based on the total weight of the resist developer. The concentration of the acid or base is about 0.001 wt.% to about 20 wt.% based on the total weight of the resist developer. In certain embodiments, the concentration of the acid or base in the developer is about 0.01 wt.% to about 15 wt.% based on the total weight of the developer.
在一些实施例中,使用旋涂工艺将显影剂施加至光阻剂层220。在旋涂工艺中,显影剂自光阻剂层220上方施加至光阻剂层220,同时旋转涂有光阻剂的基板202。在一些实施例中,显影剂以介于约5ml/min与约800ml/min之间的速率供应,而涂有光阻剂的基板202以介于约100rpm与约2000rpm之间的速度旋转。在一些实施例中,显影剂处于约10℃与约80℃之间的温度下。在一些实施例中,显影操作持续约30秒至约10分钟。In some embodiments, the developer is applied to the photoresist layer 220 using a spin coating process. In the spin coating process, the developer is applied to the photoresist layer 220 from above the photoresist layer 220 while the photoresist-coated substrate 202 is rotated. In some embodiments, the developer is supplied at a rate between about 5 ml/min and about 800 ml/min, while the photoresist-coated substrate 202 is rotated at a speed between about 100 rpm and about 2000 rpm. In some embodiments, the developer is at a temperature between about 10° C. and about 80° C. In some embodiments, the development operation lasts from about 30 seconds to about 10 minutes.
在一些实施例中,显影剂包括有机溶剂。有机溶剂可为任何合适的溶剂。在一些实施例中,溶剂为选自以下中的一或多者:丙二醇甲醚乙酸酯(PGMEA)、丙二醇单甲醚(PGME)、1-乙氧基-2-丙醇(PGEE)、γ-丁内酯(GBL)、环己酮(CHN)、乳酸乙酯(EL)、甲醇、乙醇、丙醇、正丁醇、4-甲基-2-戊醇、丙酮、甲基乙基酮、二甲基甲酰胺(DMF)、异丙醇(IPA)、四氢呋喃(THF)、甲基异丁基甲醇(MIBC)、乙酸正丁酯(nBA)、2-庚酮(MAK)及二恶烷。In some embodiments, the developer includes an organic solvent. The organic solvent may be any suitable solvent. In some embodiments, the solvent is one or more selected from the following: propylene glycol methyl ether acetate (PGMEA), propylene glycol monomethyl ether (PGME), 1-ethoxy-2-propanol (PGEE), γ-butyrolactone (GBL), cyclohexanone (CHN), ethyl lactate (EL), methanol, ethanol, propanol, n-butanol, 4-methyl-2-pentanol, acetone, methyl ethyl ketone, dimethylformamide (DMF), isopropyl alcohol (IPA), tetrahydrofuran (THF), methyl isobutyl carbinol (MIBC), n-butyl acetate (nBA), 2-heptanone (MAK) and dioxane.
尽管旋涂操作是一种用于在曝光后显影光阻剂层220的合适的方法,但其意欲为说明性的而不意欲限制实施例。相反,可替代地使用任何合适的显影操作,包括浸渍工艺、搅炼工艺及喷涂方法。所有此类显影操作均包括在实施例的范围内。Although spin coating is a suitable method for developing photoresist layer 220 after exposure, it is intended to be illustrative and not intended to limit the embodiment. Instead, any suitable developing operation may be used alternatively, including dipping process, stirring process and spraying method. All such developing operations are included within the scope of the embodiment.
在一些实施例中,将干式显影剂施加至光阻剂层220。在一些实施例中,干式显影剂是电浆或化学蒸气,并且干式显影操作是电浆蚀刻或化学蚀刻操作。干式显影使用与组成、交联程度及薄膜密度相关的差异来选择性地移除抗蚀剂的所需部分。在一些实施例中,干式显影工艺使用温和的电浆(高压、低功率)或加热真空腔室中的热工艺,同时流动干式显影化学物质,诸如BCl3、BF3或呈蒸气状态的其他路易斯酸。在一些实施例中,BCl3移除未曝光的材料,留下曝光薄膜的图案,该图案通过基于电浆的蚀刻工艺转移至下层中。In some embodiments, a dry developer is applied to the photoresist layer 220. In some embodiments, the dry developer is a plasma or a chemical vapor, and the dry development operation is a plasma etch or a chemical etch operation. Dry development uses differences related to composition, degree of crosslinking, and film density to selectively remove desired portions of the resist. In some embodiments, the dry development process uses a mild plasma (high voltage, low power) or a thermal process in a heated vacuum chamber while flowing a dry development chemical, such as BCl 3 , BF 3 , or other Lewis acids in a vapor state. In some embodiments, BCl 3 removes unexposed material, leaving a pattern of exposed film, which is transferred to the underlying layer by a plasma-based etching process.
在一些实施例中,干式显影包括电浆工艺,包括变压器耦合电浆(transformercoupled plasma,TCP)、感应耦合电浆(inductively coupled plasma,ICP)或电容耦合电浆(capacitively coupled plasma,CCP)。在一些实施例中,电浆工艺是在约5毫托至约20毫托范围内的压力、约250W至约1000W的功率水准、约0℃至约300℃范围内的温度下,以及约100sccm至约1000sccm的流动速率下进行约1秒至约3000秒。In some embodiments, the dry development includes a plasma process, including transformer coupled plasma (TCP), inductively coupled plasma (ICP) or capacitively coupled plasma (CCP). In some embodiments, the plasma process is performed at a pressure in the range of about 5 mTorr to about 20 mTorr, a power level of about 250 W to about 1000 W, a temperature in the range of about 0° C. to about 300° C., and a flow rate of about 100 sccm to about 1000 sccm for about 1 second to about 3000 seconds.
在一些实施例中,光阻剂是负型抗蚀剂,且通过显影操作移除光阻剂层220的未曝光区220u。在其他实施例中,光阻剂是正型抗蚀剂,且通过显影操作移除光阻剂层220的曝光区220e。In some embodiments, the photoresist is a negative resist, and the unexposed regions 220u of the photoresist layer 220 are removed by a developing operation. In other embodiments, the photoresist is a positive resist, and the exposed regions 220e of the photoresist layer 220 are removed by a developing operation.
参考图1及图2F,根据一些实施例,方法100进行至操作112,在操作112中使用图案化的光阻剂层220p及图案化的交联涂覆层212p作为蚀刻遮罩来蚀刻基板202。图2F是根据一些实施例的在使用图案化的光阻剂层220p及图案化的交联涂覆层212p作为蚀刻遮罩来蚀刻基板202之后的半导体装置200的横截面图。1 and 2F, according to some embodiments, the method 100 proceeds to operation 112, in which the substrate 202 is etched using the patterned photoresist layer 220p and the patterned cross-linked coating layer 212p as an etching mask. FIG2F is a cross-sectional view of the semiconductor device 200 after etching the substrate 202 using the patterned photoresist layer 220p and the patterned cross-linked coating layer 212p as an etching mask according to some embodiments.
如图2F中所示,使用图案化的光阻剂层220P作为蚀刻遮罩来图案化基板202以在基板202中形成凹部260。As shown in FIG. 2F , the substrate 202 is patterned using the patterned photoresist layer 220P as an etching mask to form a recess 260 in the substrate 202 .
可进行蚀刻工艺以将图案化光阻剂层220p中的图案转移至基板202。在一些实施例中,尽管可采用任何合适的蚀刻工艺,但所采用的蚀刻工艺是诸如干蚀刻的非等向性蚀刻。在一些实施例中,干蚀刻是活性离子蚀刻(reactive ion etch,RIE)或电浆蚀刻。在一些实施例中,干蚀刻是通过含氟气体(例如CF4、SF6、CH2F2、CHF3及/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4及/或BCl3)、含溴气体(例如HBr及/或CHBr3)、含氧气体、含碘气体、其他合适的气体及/或电浆,或其组合来实现。在一些实施例中,执行氧电浆以蚀刻基板202。在一些实施例中,非等向性蚀刻是在约250℃至450℃的温度下进行约20秒至约300秒的持续时间。An etching process may be performed to transfer the pattern in the patterned photoresist layer 220p to the substrate 202. In some embodiments, the etching process employed is an anisotropic etching such as dry etching, although any suitable etching process may be employed. In some embodiments, the dry etching is reactive ion etching (RIE) or plasma etching. In some embodiments, the dry etching is performed by a fluorine-containing gas (e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 and/or C 2 F 6 ), a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 and/or BCl 3 ), a bromine-containing gas (e.g., HBr and/or CHBr 3 ), an oxygen-containing gas, an iodine-containing gas, other suitable gases and/or plasma, or a combination thereof. In some embodiments, oxygen plasma is performed to etch the substrate 202. In some embodiments, the anisotropic etching is performed at a temperature of about 250° C. to 450° C. for a duration of about 20 seconds to about 300 seconds.
若在蚀刻工艺中未被完全消耗,则在形成凹部260之后,例如通过电浆灰化或湿剥离移除图案化的光阻剂层220p及图案化的交联涂覆层212p。If not completely consumed in the etching process, after forming the recess 260 , the patterned photoresist layer 220 p and the patterned cross-linked coating layer 212 p are removed, for example, by plasma ashing or wet stripping.
图7为示出根据本揭示案的一些实施例的用于形成半导体装置200的方法700的流程图。图8A至图8E是根据本揭示案的一些实施例的处于各个制造阶段的半导体装置200的横截面图。参考如图8A至图8E中所示的半导体装置200的横截面图描述方法700的中间步骤。与其中涂覆层210形成为光阻剂层下方的底层的方法100不同,在方法700中,涂覆层210形成为光阻剂层220上方的顶涂覆层。除非另有说明,否则这些实施例中的元件的材料及形成方法与其相似的元件基本相同,这些元件由在图2A至图2F中所示的实施例中的相似元件符号指示。因此,在图2A至图2F中所示的实施例的论述中发现有关图8A至图8E中所示的形成工艺及元件材料的详细信息。FIG. 7 is a flow chart showing a method 700 for forming a semiconductor device 200 according to some embodiments of the present disclosure. FIGS. 8A to 8E are cross-sectional views of a semiconductor device 200 at various stages of manufacture according to some embodiments of the present disclosure. Intermediate steps of method 700 are described with reference to cross-sectional views of semiconductor device 200 as shown in FIGS. 8A to 8E. Unlike method 100 in which coating layer 210 is formed as a bottom layer below a photoresist layer, in method 700, coating layer 210 is formed as a top coating layer above photoresist layer 220. Unless otherwise specified, the materials and formation methods of the elements in these embodiments are substantially the same as those of similar elements, which are indicated by similar element symbols in the embodiments shown in FIGS. 2A to 2F. Therefore, detailed information on the formation process and element materials shown in FIGS. 8A to 8E is found in the discussion of the embodiments shown in FIGS. 2A to 2F.
参考图7及图8A,根据一些实施例,方法700包括操作702,在操作702中在基板202上方形成光阻剂层220。图8A是根据一些实施例的在基板202上方形成光阻剂层220之后的半导体装置200的横截面图。在一些实施例中,光阻剂层220包括有机金属化合物且是通过图2C中的上述制造工艺形成的。7 and 8A, according to some embodiments, method 700 includes operation 702, in which a photoresist layer 220 is formed over substrate 202. FIG8A is a cross-sectional view of semiconductor device 200 after forming photoresist layer 220 over substrate 202 according to some embodiments. In some embodiments, photoresist layer 220 includes an organic metal compound and is formed by the above-described manufacturing process in FIG2C.
参考图7及图8B,根据一些实施例,方法700进行至操作704,在操作704中在光阻剂层220上方形成涂覆层210。图8B是根据一些实施例的在光阻剂层220上方形成涂覆层210之后的半导体装置200的横截面图。在一些实施例中,涂覆层210包括可切换聚合物302、酸产生剂320及淬灭剂330,并且是通过图2A中的上述制造工艺形成的。7 and 8B, according to some embodiments, the method 700 proceeds to operation 704, where a coating layer 210 is formed over the photoresist layer 220. FIG8B is a cross-sectional view of the semiconductor device 200 after forming the coating layer 210 over the photoresist layer 220 according to some embodiments. In some embodiments, the coating layer 210 includes a switchable polymer 302, an acid generator 320, and a quencher 330, and is formed by the above-described manufacturing process in FIG2A.
参考图7及图8C,根据一些实施例,方法700进行至操作706,在操作706中加热涂覆层210以形成交联涂覆层212。图8C是根据一些实施例的在形成交联涂覆层212之后的半导体装置200的横截面图。在一些实施例中,交联涂覆层212是通过图2B中的上述制造工艺形成的。7 and 8C, according to some embodiments, the method 700 proceeds to operation 706, where the coating layer 210 is heated to form a cross-linked coating layer 212. FIG8C is a cross-sectional view of the semiconductor device 200 after forming the cross-linked coating layer 212 according to some embodiments. In some embodiments, the cross-linked coating layer 212 is formed by the above-described manufacturing process in FIG2B.
参考图7及图8D,根据一些实施例,方法700进行至操作708,在操作708中将交联涂覆层212及光阻剂层220曝光于辐射230以在交联涂覆层212中形成曝光区212e及未曝光区212u,以及在光阻剂层220中形成曝光区220e及未曝光区220u。图8D是根据一些实施例的在将交联涂覆层212及光阻剂层220曝光于辐射230之后的半导体装置200的横截面图。在一些实施例中,将交联涂覆层212及光阻剂层220曝光于辐射230是通过图2D中的上述制造工艺进行的。7 and 8D, according to some embodiments, the method 700 proceeds to operation 708, in which the cross-linked coating layer 212 and the photoresist layer 220 are exposed to radiation 230 to form exposed regions 212e and unexposed regions 212u in the cross-linked coating layer 212, and exposed regions 220e and unexposed regions 220u in the photoresist layer 220. FIG8D is a cross-sectional view of the semiconductor device 200 after exposing the cross-linked coating layer 212 and the photoresist layer 220 to the radiation 230, according to some embodiments. In some embodiments, exposing the cross-linked coating layer 212 and the photoresist layer 220 to the radiation 230 is performed by the above-described manufacturing process in FIG2D.
在辐射时,交联涂覆层212的曝光区212e中的酸产生剂320,诸如PAG或TAG吸收能量以产生酸。在曝光于辐射230期间产生的酸自交联涂覆层212中的交联可切换聚合物裂解酸不稳定基团(acid labile group,ALG),从而在曝光区212e中形成反应性官能基,诸如-COOH或-OH。交联涂覆层212中的反应性官能基随后与水解的有机金属化合物(M-OH)中的羟基(OH)反应。在光阻剂层220与交联涂覆层212之间形成的所得共价键有助于增强崩溃窗口、降低LWR且微调抗蚀剂轮廓形状。Upon irradiation, the acid generator 320, such as PAG or TAG, in the exposure region 212e of the cross-linked coating layer 212 absorbs energy to generate acid. The acid generated during exposure to radiation 230 cleaves the acid labile group (ALG) from the cross-linked switchable polymer in the cross-linked coating layer 212, thereby forming a reactive functional group, such as -COOH or -OH, in the exposure region 212e. The reactive functional group in the cross-linked coating layer 212 then reacts with the hydroxyl group (OH) in the hydrolyzed organometallic compound (M-OH). The resulting covalent bond formed between the photoresist layer 220 and the cross-linked coating layer 212 helps to enhance the collapse window, reduce LWR, and fine-tune the resist profile shape.
参考图7及图8E,根据一些实施例,方法700进行至操作710,在操作710中显影交联涂覆层212及光阻剂层220以形成图案化的交联涂覆层212p及图案化的光阻剂层220p。图8E是根据一些实施例的在显影交联涂覆层212及光阻剂层220以形成图案化的交联涂覆层212p及图案化的光阻剂层220p之后的半导体装置200的横截面图。在一些实施例中,通过图2E中的上述制造工艺显影交联涂覆层212及光阻剂层220。7 and 8E, according to some embodiments, the method 700 proceeds to operation 710, in which the cross-linked coating layer 212 and the photoresist layer 220 are developed to form a patterned cross-linked coating layer 212p and a patterned photoresist layer 220p. FIG8E is a cross-sectional view of the semiconductor device 200 after developing the cross-linked coating layer 212 and the photoresist layer 220 to form a patterned cross-linked coating layer 212p and a patterned photoresist layer 220p according to some embodiments. In some embodiments, the cross-linked coating layer 212 and the photoresist layer 220 are developed by the above-described manufacturing process in FIG2E.
参考图7,根据一些实施例,方法700进行至操作712,在操作712中使用图案化的交联涂覆层212p及图案化的光阻剂层220p蚀刻基板202。在一些实施例中,通过图2F中的上述制造工艺蚀刻基板202以得到如图2F中所示的蚀刻的基板。7 , according to some embodiments, method 700 proceeds to operation 712, where substrate 202 is etched using patterned cross-linked coating layer 212p and patterned photoresist layer 220p. In some embodiments, substrate 202 is etched by the above-described manufacturing process in FIG. 2F to obtain an etched substrate as shown in FIG. 2F .
本说明书的一个态样是关于一种用于形成半导体装置的方法。该方法包括在基板上形成涂覆层的步骤,涂覆层包括可切换聚合物及酸产生剂。可切换聚合物包括聚合物主链及连接至聚合物主链的侧基。侧基包括酸不稳定基团及交联基团。该方法进一步包括进行烘烤工艺,以使交联基团发生交联反应,从而形成交联涂覆层的步骤。该方法进一步包括将光阻剂层沉积至交联涂覆层上的步骤。该方法进一步包括将光阻剂层及交联涂覆层选择性地曝光于图案化辐射的步骤。该方法进一步包括显影选择性曝光的光阻剂层及交联涂覆层,以在光阻剂层及交联涂覆层上形成开口的图案的步骤。One aspect of the present specification is about a method for forming a semiconductor device. The method includes the step of forming a coating on a substrate, the coating including a switchable polymer and an acid generator. The switchable polymer includes a polymer backbone and a side group connected to the polymer backbone. The side group includes an acid-labile group and a cross-linking group. The method further includes the step of performing a baking process to cause the cross-linking group to undergo a cross-linking reaction to form a cross-linked coating. The method further includes the step of depositing a photoresist layer onto the cross-linked coating. The method further includes the step of selectively exposing the photoresist layer and the cross-linked coating to patterned radiation. The method further includes the step of developing the selectively exposed photoresist layer and the cross-linked coating to form a pattern of openings on the photoresist layer and the cross-linked coating.
在一些实施例中,酸产生剂包含光酸产生剂或热酸产生剂。在一些实施例中,烘烤工艺是在引起交联基团交联但不引起酸不稳定基团裂解的温度下进行。在一些实施例中,温度在80℃至200℃范围内。在一些实施例中,光阻剂层包含有机金属化合物。在一些实施例中,涂覆层进一步包含淬灭剂。在一些实施例中,可切换聚合物包含10-70wt.%的酸不稳定基团及30-70wt.%的交联基团。在一些实施例中,可切换聚合物进一步包含连接至聚合物主链的多个悬垂漂浮基团。在一些实施例中,此方法进一步包括移除由这些开口暴露的基板的多个部分。In some embodiments, the acid generator comprises a photoacid generator or a thermal acid generator. In some embodiments, the baking process is performed at a temperature that causes crosslinking of the crosslinking groups but does not cause cleavage of the acid-labile groups. In some embodiments, the temperature is in the range of 80°C to 200°C. In some embodiments, the photoresist layer comprises an organic metal compound. In some embodiments, the coating layer further comprises a quencher. In some embodiments, the switchable polymer comprises 10-70wt.% of acid-labile groups and 30-70wt.% of crosslinking groups. In some embodiments, the switchable polymer further comprises a plurality of pendant floating groups connected to the polymer backbone. In some embodiments, the method further comprises removing portions of the substrate exposed by the openings.
本说明书的另一态样是关于一种用于形成半导体装置的方法。该方法包括将包含有机金属化合物的光阻剂层沉积至基板上的步骤。该方法进一步包括在光阻剂层上形成涂覆层的步骤。涂覆层包括可切换聚合物、酸产生剂及淬灭剂。可切换聚合物包括聚合物主链及连接至聚合物主链的悬垂酸不稳定基团及交联基团。该方法进一步包括在交联基团的交联温度下加热涂覆层,以形成交联涂覆层的步骤。该方法进一步包括将光阻剂层及交联涂覆层选择性地曝光于图案化辐射的步骤。该方法进一步包括显影选择性曝光的光阻剂层及交联涂覆层,以形成图案化的交联涂覆层及图案化的光阻剂层的步骤。Another aspect of the present specification is about a method for forming a semiconductor device. The method includes the step of depositing a photoresist layer comprising an organometallic compound onto a substrate. The method further includes the step of forming a coating layer on the photoresist layer. The coating layer includes a switchable polymer, an acid generator, and a quencher. The switchable polymer includes a polymer backbone and pendant acid-labile groups and cross-linking groups connected to the polymer backbone. The method further includes the step of heating the coating layer at a cross-linking temperature of the cross-linking group to form a cross-linked coating layer. The method further includes the step of selectively exposing the photoresist layer and the cross-linked coating layer to patterned radiation. The method further includes the step of developing the selectively exposed photoresist layer and the cross-linked coating layer to form a patterned cross-linked coating layer and a patterned photoresist layer.
在一些实施例中,图案化辐射是极紫外或电子束辐射,图案化辐射使酸产生剂产生酸,酸引起酸不稳定基团的裂解。在一些实施例中,此方法进一步包括使用图案化的交联涂覆层及图案化的光阻剂层作为蚀刻遮罩来蚀刻基板。In some embodiments, the patterned radiation is extreme ultraviolet or electron beam radiation, and the patterned radiation causes the acid generator to generate an acid, which causes the acid-labile group to cleave. In some embodiments, the method further includes etching the substrate using the patterned cross-linked coating layer and the patterned photoresist layer as an etching mask.
本说明书的又另一态样是关于一种用于形成半导体装置的方法。该方法包括将涂覆组合物施加至基板上以形成涂覆层的步骤。涂覆组合物包括可切换聚合物、酸产生剂及溶剂,该可切换聚合物具有聚合物主链及包括连接至聚合物主链的一或多个酸不稳定基团、一或多个交联基团及一或多个任选的漂浮基团的侧基。该方法进一步包括将基板及涂覆层加热至一或多个交联基团反应以交联可切换聚合物的温度。该方法进一步包括在交联涂覆层上形成光阻剂层的步骤。该方法进一步包括通过光罩将光阻剂层及交联涂覆层曝光于辐射的步骤。该方法进一步包括通过显影剂移除光阻剂层及交联涂覆层的未曝光区域,以形成图案化的光阻剂层及图案化的交联涂覆层的步骤。Yet another aspect of the present specification is about a method for forming a semiconductor device. The method includes the step of applying a coating composition to a substrate to form a coating layer. The coating composition includes a switchable polymer, an acid generator, and a solvent, wherein the switchable polymer has a polymer backbone and side groups including one or more acid-labile groups, one or more cross-linking groups, and one or more optional floating groups connected to the polymer backbone. The method further includes heating the substrate and the coating layer to a temperature at which one or more cross-linking groups react to cross-link the switchable polymer. The method further includes the step of forming a photoresist layer on the cross-linked coating layer. The method further includes the step of exposing the photoresist layer and the cross-linked coating layer to radiation through a photomask. The method further includes the step of removing the unexposed areas of the photoresist layer and the cross-linked coating layer by a developer to form a patterned photoresist layer and a patterned cross-linked coating layer.
在一些实施例中,可切换聚合物具有以下结构(I):其中L1、L2及L3在每次出现时独立地为直链或C1-10伸烷基、C1-10杂伸烷基、伸芳基、杂伸芳基或杂原子连接子;Ra、Rb及Rc在每次出现时独立地为氢、C1-10烷基或卤素;R1在每次出现时为酸不稳定基团;R2在每次出现时为交联基团;R3在每次出现时为漂浮基团;m及n独立地为1或更大的整数;并且p为0或更大的整数。在一些实施例中,Ra、Rb及Rc独立地为氢或甲基。在一些实施例中,R1具有以下结构中的一者:In some embodiments, the switchable polymer has the following structure (I): Wherein L 1 , L 2 and L 3 are independently linear or C 1-10 alkylene, C 1-10 heteroalkylene, arylene, heteroarylene or heteroatom linker at each occurrence; Ra, Rb and Rc are independently hydrogen, C 1-10 alkyl or halogen at each occurrence; R 1 is an acid-labile group at each occurrence; R 2 is a cross-linking group at each occurrence; R 3 is a floating group at each occurrence; m and n are independently an integer of 1 or greater; and p is an integer of 0 or greater. In some embodiments, Ra, Rb and Rc are independently hydrogen or methyl. In some embodiments, R 1 has one of the following structures:
在一些实施例中,R2具有以下结构中的一者: In some embodiments, R 2 has one of the following structures:
其中R在每次出现时为氢或具有1至10个碳原子的烷基;q为1至300的整数;并且w为1至6的整数。在一些实施例中,R3具有以下结构中的一者: wherein R at each occurrence is hydrogen or an alkyl group having 1 to 10 carbon atoms; q is an integer from 1 to 300; and w is an integer from 1 to 6. In some embodiments, R 3 has one of the following structures:
在一些实施例中,L1、L2及L3独立地为未经取代或经卤素取代的饱和C1-C9环状或非环状基团、-S-、-P-、-P(O2)-、-C(=O)S-、-C(=O)O-、-O-、-N-、-C(=O)N-、-SO2O-、-SO2S-、-SO-、-SO2-、-C6H6-O-、-C6H6-O-C(=O)O-、醚基、酮基、酯基或伸苯基。在一些实施例中,L1、L2及L3独立地具有以下结构中的一者: In some embodiments, L 1 , L 2 and L 3 are independently an unsubstituted or halogen-substituted saturated C1-C9 cyclic or acyclic group, -S-, -P-, -P(O 2 )-, -C(═O)S-, -C(═O)O-, -O-, -N-, -C(═O)N-, -SO 2 O-, -SO 2 S-, -SO-, -SO 2 -, -C 6 H 6 -O-, -C 6 H 6 -OC(═O)O-, an ether group, a ketone group, an ester group or a phenylene group. In some embodiments, L 1 , L 2 and L 3 are independently one of the following structures:
以上概述了几个实施例的特征,以便熟悉此项技术者可更好地理解本揭示案的态样。熟悉此项技术者应理解,他们可容易地使用本揭示案作为设计或修改其他工艺及结构的基础,以实现与本文所介绍的实施例相同的目的及/或实现相同的优点。熟悉此项技术者亦应认识到,此类等同构造并不脱离本揭示案的精神及范围,并且在本文中可在不脱离本揭示案的精神及范围的情况下对其进行各种更改、替换及更改。The features of several embodiments are summarized above so that those skilled in the art can better understand the aspects of the present disclosure. Those skilled in the art should understand that they can easily use the present disclosure as a basis for designing or modifying other processes and structures to achieve the same purposes and/or achieve the same advantages as the embodiments described herein. Those skilled in the art should also recognize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and various modifications, substitutions, and changes may be made herein without departing from the spirit and scope of the present disclosure.
Claims (10)
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US63/386,751 | 2022-12-09 | ||
US18/167,741 | 2023-02-10 | ||
US18/167,741 US20240210822A1 (en) | 2022-12-09 | 2023-02-10 | Switchable substrate for extreme ultraviolet or e-beam metallic resist |
Publications (1)
Publication Number | Publication Date |
---|---|
CN117826534A true CN117826534A (en) | 2024-04-05 |
Family
ID=90514079
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN202310585916.7A Pending CN117826534A (en) | 2022-12-09 | 2023-05-23 | Method for forming a semiconductor device |
Country Status (1)
Country | Link |
---|---|
CN (1) | CN117826534A (en) |
-
2023
- 2023-05-23 CN CN202310585916.7A patent/CN117826534A/en active Pending
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US12019375B2 (en) | Photosensitive material and method of lithography | |
US20240377731A1 (en) | Extreme ultraviolet photolithography method with developer composition | |
US10741410B2 (en) | Material composition and methods thereof | |
US10520821B2 (en) | Lithography process with enhanced etch selectivity | |
US12148610B2 (en) | Spin on carbon composition and method of manufacturing a semiconductor device | |
CN109801839B (en) | Method of forming a semiconductor structure | |
TWI829545B (en) | Method of forming semiconductor structure | |
US11281107B2 (en) | Method for performing lithography process with post treatment | |
TWI851319B (en) | Method for forming semiconductor device | |
CN112864002A (en) | Method for manufacturing semiconductor element | |
TWI833204B (en) | Method for forming photoresist | |
US11022886B2 (en) | Bottom-up material formation for planarization | |
CN117826534A (en) | Method for forming a semiconductor device | |
US20240419069A1 (en) | Photo ligand design for euv or e-beam metallic photoresists | |
TWI843451B (en) | Method of forming semiconductor device | |
US20250116932A1 (en) | Thiol-containing photoresist compositions for extreme ultraviolet lithography | |
US20250157818A1 (en) | Low volume shrinkage, high etch resistance and high resolution photoresists | |
US20250130499A1 (en) | Resist underlayer composition | |
US20240369926A1 (en) | Cross-linkable photoresist for extreme ultraviolet lithography | |
US11996297B2 (en) | Method of manufacturing a semiconductor device | |
US20230102166A1 (en) | Method of manufacturing a semiconductor device | |
CN118444522A (en) | Dose-Reduced Bottom Anti-Reflective Coating for Metal Photoresist |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
PB01 | Publication | ||
PB01 | Publication | ||
SE01 | Entry into force of request for substantive examination | ||
SE01 | Entry into force of request for substantive examination |