CN117650063A - Method for forming integrated circuit device - Google Patents

Method for forming integrated circuit device Download PDF

Info

Publication number
CN117650063A
CN117650063A CN202311674385.5A CN202311674385A CN117650063A CN 117650063 A CN117650063 A CN 117650063A CN 202311674385 A CN202311674385 A CN 202311674385A CN 117650063 A CN117650063 A CN 117650063A
Authority
CN
China
Prior art keywords
integrated circuit
die
circuit die
dielectric layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311674385.5A
Other languages
Chinese (zh)
Inventor
陈明发
刘醇鸿
史朝文
叶松峯
吴念芳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/559,253 external-priority patent/US11024605B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117650063A publication Critical patent/CN117650063A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/071Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68327Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding
    • H01L2221/68331Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used during dicing or grinding of passive members, e.g. die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/6834Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to protect an active side of a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68354Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support diced chips prior to mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68381Details of chemical or physical process used for separating the auxiliary support from a device or wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing
    • H01L2223/54486Located on package parts, e.g. encapsulation, leads, package substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/0519Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/05686Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13199Material of the matrix
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/13198Material with a principal constituent of the material being a combination of two or more materials in the form of a matrix with a filler, i.e. being a hybrid material, e.g. segmented structures, foams
    • H01L2224/13298Fillers
    • H01L2224/13299Base material
    • H01L2224/133Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13338Base material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13339Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/24146Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/24225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/24226Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the HDI interconnect connecting to the same level of the item at which the semiconductor or solid-state body is mounted, e.g. the item being planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/2741Manufacturing methods by blanket deposition of the material of the layer connector in liquid form
    • H01L2224/27416Spin coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/2743Manufacturing methods by blanket deposition of the material of the layer connector in solid form
    • H01L2224/27436Lamination of a preform, e.g. foil, sheet or layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/274Manufacturing methods by blanket deposition of the material of the layer connector
    • H01L2224/27444Manufacturing methods by blanket deposition of the material of the layer connector in gaseous form
    • H01L2224/27452Chemical vapour deposition [CVD], e.g. laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/276Manufacturing methods by patterning a pre-deposited material
    • H01L2224/2761Physical or chemical etching
    • H01L2224/27616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/278Post-treatment of the layer connector
    • H01L2224/2783Reworking, e.g. shaping
    • H01L2224/27831Reworking, e.g. shaping involving a chemical process, e.g. etching the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/278Post-treatment of the layer connector
    • H01L2224/2783Reworking, e.g. shaping
    • H01L2224/2784Reworking, e.g. shaping involving a mechanical process, e.g. planarising the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/27Manufacturing methods
    • H01L2224/278Post-treatment of the layer connector
    • H01L2224/2783Reworking, e.g. shaping
    • H01L2224/27845Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/29186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2224/29187Ceramics, e.g. crystalline carbides, nitrides or oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73217Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/802Applying energy for connecting
    • H01L2224/80201Compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/821Forming a build-up interconnect
    • H01L2224/82101Forming a build-up interconnect by additive methods, e.g. direct writing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/821Forming a build-up interconnect
    • H01L2224/82106Forming a build-up interconnect by subtractive methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8312Aligning
    • H01L2224/83121Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors
    • H01L2224/8313Active alignment, i.e. by apparatus steering, e.g. optical alignment using marks or sensors using marks formed on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83191Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8319Arrangement of the layer connectors prior to mounting
    • H01L2224/83192Arrangement of the layer connectors prior to mounting wherein the layer connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/8336Bonding interfaces of the semiconductor or solid state body
    • H01L2224/83365Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/838Bonding techniques
    • H01L2224/83894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/83896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9211Parallel connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92142Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92144Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • H01L2225/06586Housing with external bump or bump-like connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/1015Shape
    • H01L2924/10155Shape being other than a cuboid
    • H01L2924/10158Shape being other than a cuboid at the passive surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device

Abstract

In an embodiment, an integrated circuit device includes: a bottom integrated circuit die having a first front side and a first back side; a top integrated circuit die having a second front side and a second back side, the second back side being coupled to the first front side, the top integrated circuit die being devoid of Through Substrate Vias (TSVs); a dielectric layer surrounding the top integrated circuit die, the dielectric layer disposed on the first front side, the dielectric layer and the bottom integrated circuit die being laterally co-terminal; and a via extending through the dielectric layer, the via electrically coupled to the bottom integrated circuit die, the surfaces of the via, the dielectric layer, and the top integrated circuit die being planar. Embodiments of the invention also relate to methods of forming integrated circuit devices.

Description

Method for forming integrated circuit device
Filing and applying for separate cases
The present application is a divisional application entitled "integrated circuit device and method of forming the same", patent application No. 202010135576.4, filed on even 02 in year 2020.
Technical Field
Embodiments of the invention relate to methods of forming integrated circuit devices.
Background
Due to the development of Integrated Circuits (ICs), the semiconductor industry has experienced a continual rapid increase due to the continual improvement in the integration density of individual electrical components (i.e., transistors, diodes, resistors, capacitors, etc.). For the most part, this improvement in integration density results from the continual reduction in minimum component size, which allows more components to be integrated into a given area. These integration improvements are essentially two-dimensional (2D) in nature, as the area occupied by the integrated components is substantially on the surface of the semiconductor wafer.
The increased density and corresponding area reduction of integrated circuits has generally exceeded the ability to bond integrated circuit die directly to a substrate. As the demand for shrinking electronic devices increases, there has arisen a need for smaller and more innovative semiconductor die packaging techniques. Combining packaging in three dimensions (3D) makes it possible to produce semiconductor devices with enhanced functionality and small footprints.
Disclosure of Invention
An embodiment of the present invention provides an integrated circuit device including: a bottom integrated circuit die having a first front side and a first back side; a top integrated circuit die having a second front side and a second back side, the second back side bonded to the first front side, the top integrated circuit die being devoid of Through Substrate Vias (TSVs); a dielectric layer surrounding the top integrated circuit die, the dielectric layer disposed on the first front side, the dielectric layer and the bottom integrated circuit die being laterally co-terminal; and a via extending through the dielectric layer, the via electrically coupled to the bottom integrated circuit die, surfaces of the via, the dielectric layer, and the top integrated circuit die being planar.
Another embodiment of the present invention provides an integrated circuit device comprising: a die stack, comprising: a bottom integrated circuit die; a top integrated circuit die on the bottom integrated circuit die, a back side of the top integrated circuit die bonded to a front side of the bottom integrated circuit die, the bottom integrated circuit die being wider than the top integrated circuit die, the top integrated circuit die including a first die connector; a first via adjacent the top integrated circuit die, the first via physically and electrically coupled to the bottom integrated circuit die; and a first dielectric layer surrounding the first via, the first dielectric layer physically separating the first via from the top integrated circuit die; and a redistribution structure comprising: a metallization pattern on the die stack, the metallization pattern electrically coupling the first die connection to the first via; and a second dielectric layer on the metallization pattern and the first dielectric layer, wherein the die stack is devoid of solder.
Yet another embodiment of the present invention provides a method of forming an integrated circuit device, comprising: bonding a backside of the first integrated circuit die to a front side of the wafer; depositing a first dielectric layer over the wafer and the first integrated circuit die; planarizing the first dielectric layer such that surfaces of the first integrated circuit die and the first dielectric layer are planar; forming a conductive via extending through the first dielectric layer, the conductive via electrically coupled to the wafer without solder; and dividing the wafer and the first dielectric layer, the divided portion of the wafer forming a second integrated circuit die.
Drawings
The various aspects of the invention are best understood from the following detailed description when read in connection with the accompanying drawings. It should be noted that the various components are not drawn to scale according to standard practice in the industry. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
Fig. 1-7 are cross-sectional views of intermediate steps during a process of forming integrated circuit dies from wafers, according to some embodiments.
Fig. 8-13 are cross-sectional views of intermediate steps during a process for forming a die stack, according to some embodiments.
Fig. 14 and 15 are cross-sectional views of intermediate steps during a process for forming an integrated circuit package, according to some embodiments.
Fig. 16 is a cross-sectional view of an integrated circuit package according to some other embodiments.
Fig. 17-22 are cross-sectional views of intermediate steps during a process for forming an integrated circuit package, according to some other embodiments.
Fig. 23 and 24 are cross-sectional views of intermediate steps during a process for forming a die stack, according to other embodiments.
Fig. 25 and 26 are cross-sectional views of intermediate steps during a process for forming a die stack, according to other embodiments.
Fig. 27 and 28 are cross-sectional views of intermediate steps during a process for forming a die stack, according to other embodiments.
Fig. 29-31 are cross-sectional views of intermediate steps during a process for forming a die stack, according to other embodiments.
Detailed Description
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to limit the invention. For example, in the following description, forming a first component over or on a second component may include embodiments in which the first component and the second component are formed in direct contact, and may also include embodiments in which additional components may be formed between the first component and the second component, such that the first component and the second component may not be in direct contact. Furthermore, the present invention may repeat reference numerals and/or characters in various embodiments. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Moreover, spatially relative terms such as "under …," "under …," "lower," "above …," "upper," and the like may be used herein for ease of description to describe one element or component's relationship to another element(s) or component(s) as illustrated. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
According to some embodiments, the die stack is formed by stacking integrated circuit dies in a back-to-back manner without the use of solder. The die stack may include a top integrated circuit die and a bottom integrated circuit die bonded together. The integrated circuit die may be directly bonded by, for example, fusion bonding. The die stack is then packaged in an integrated circuit package that includes a redistribution structure. The metallization pattern of the redistribution structure may have a fine pitch, such as a pitch in the range of about 0.8 μm to about 5 μm. Thus, the redistribution structure may be used to interconnect integrated circuit dies of a die stack, and may also be used for fan-out electrical connections of a die stack. Thus, the integrated circuit die may be electrically interconnected without the need to form Through Substrate Vias (TSVs) in the integrated circuit die and without the need to form a package interposer in the integrated circuit package. The manufacturing costs of the integrated circuit die and the integrated circuit package may be reduced.
Fig. 1-7 are cross-sectional views of intermediate steps during a process of forming integrated circuit die 50 from wafer 40, according to some embodiments. The integrated circuit die 50 will be singulated from the wafer 40 and stacked in a subsequent process to form a die stack. One integrated circuit die 50 is shown for illustrative purposes, but it should be understood that wafer 40 may have multiple integrated circuit dies 50. Each integrated circuit die 50 may be a logic die (e.g., a Central Processing Unit (CPU), a Graphics Processing Unit (GPU), a system on a chip (SoC), an Application Processor (AP), a microcontroller, etc.), a memory die (e.g., a Dynamic Random Access Memory (DRAM) die, a Static Random Access Memory (SRAM) die, etc.), a power management die (e.g., a Power Management Integrated Circuit (PMIC) die), a Radio Frequency (RF) die, a sensor die, a microelectromechanical system (MEMS) die, a signal processing die (e.g., a Digital Signal Processing (DSP) die), a front-end die (e.g., an analog front-end (AFE) die), etc., or a combination thereof.
In fig. 1, a semiconductor substrate 52 is provided. The semiconductor substrate 52 may be doped or undoped silicon, or an active layer of a semiconductor-on-insulator (SOI) substrate. Semiconductor substrate 52 may comprise other semiconductor materials such as germanium; a compound semiconductor including silicon carbide, gallium arsenide, gallium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor comprising SiGe, gaAsP, alInAs, alGaAs, gaInAs, gaInP and/or GaInAsP; or a combination thereof. Other substrates such as multilayer or gradient substrates may also be used. The semiconductor substrate 52 has an active surface (e.g., the surface facing upward in fig. 1), sometimes referred to as the front side; and passive surfaces (e.g., surfaces facing downward in fig. 1), sometimes referred to as the backside. Devices are formed at an active surface of a semiconductor substrate. The device may be an active device (e.g., transistor, diode, etc.) or a passive device (e.g., capacitor, resistor, inductor, etc.).
Wafer 40 has a plurality of device regions and integrated circuit die 50 are formed in and/or on each device region. The first device region 40A is shown, but it should be understood that the wafer 40 may have any number of device regions. After processing to form the integrated circuit die 50, the device regions will be singulated.
An interconnect structure 54 is formed over the semiconductor substrate 52. Interconnect structures 54 interconnect the devices of semiconductor substrate 52 to form an integrated circuit in each device region. Interconnect structure 54 may be formed from, for example, a metallization pattern in a dielectric layer. The metallization pattern includes metal lines and vias formed in one or more low-k dielectric layers. Interconnect structure 54 may be formed by a damascene process such as a single damascene process, a dual damascene process, or the like. The metallization pattern of interconnect structure 54 is electrically coupled to the devices of semiconductor substrate 52.
Contact pads 56 are formed at the front side of the integrated circuit die 50, such as in the interconnect structure 54. The contact pads 56 may be aluminum pads, copper pads, etc. made to external connections. In some embodiments, the contact pads 56 are part of the topmost metallization pattern of the interconnect structure 54.
One or more passivation layers 58 are formed over the contact pads 56 and the interconnect structure 54. Passivation layer 58 may be formed of one or more suitable dielectric materials such as silicon oxide, silicon nitride, low-k dielectrics such as carbon doped oxides, very low-k dielectrics such as porous carbon doped silicon dioxide, polymers such as polyimide, solder resist, polybenzoxazole (PBO), benzocyclobutene (BCB), molding compounds, or the like, or combinations thereof. Passivation layer 58 may be formed by spin coating, lamination, chemical Vapor Deposition (CVD), or the like, or combinations thereof. Passivation layer 58 is formed to a combined thickness T 1 Such as at aboutTo about->Thickness T in the range of (2) 1 . In some embodiments, passivation layer 58 includes a silicon nitride layer and a silicon oxide layer on the silicon nitride layer, wherein the silicon nitride layer may be formed to be about +.>To about->And the silicon oxide layer may be formed to have a thickness within a range of about +.>To about->Within a range of (2).
Test pad 60 is formed to extend through passivation layer 58 to physically and electrically couple to contact pad 56. The test pads 60 are used for device testing and are not electrically coupled or activated during normal operation of the integrated circuit die 50. In some embodiments, the test pads 60 are formed of a lower cost conductive material (e.g., aluminum) than the contact pads 56 or the metallization pattern of the interconnect structure 54. The test pad 60 may be formed by a damascene process such as a single damascene process. The test pad 60 is formed to a thickness T 2 Such as at aboutTo about->Thickness T in the range of (2) 2
A Circuit Probe (CP) test is then performed on the integrated circuit die 50 to determine if the integrated circuit die 50 is a Known Good Die (KGD). The integrated circuit die 50 is tested using probes. The probes are physically and electrically coupled to the test pads 60 by, for example, test connectors. The KGD-only integrated circuit die 50 is subjected to subsequent processing and packaging, while the integrated circuit die 50 that fails the CP test is not packaged. The testing may include testing the functionality of the individual integrated circuit dies 50, or may include testing known open or short circuits based on the design of the integrated circuit dies 50. After the test is completed, the probes are removed. And any excess reflowable material on the test pad 60 may be removed by, for example, an etching process, chemical Mechanical Polishing (CMP), grinding process, or the like.
In fig. 2, die connectors 62 are optionally formed on the respective contact pads 56. Die attach 62 may be a via or conductive stud and may be formed of a metal such as copper. Die connectors 62 are physically and electrically coupled to respective contact pads 56 and electrically coupled to respective integrated circuits of integrated circuit die 50. As an example of forming die connectors 62, openings may be formed in passivation layer 58, and a seed layer may be formed along passivation layer 58 and in the openings through passivation layer 58. The openings may be formed by acceptable photolithographic and etching techniques. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to die attach 62. The patterning forms openings through the photoresist to expose the seed layer. A conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, and the like. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer may be removed, such as by using an acceptable etching process, such as by wet or dry etching. The remaining portion of the seed layer and the conductive material form die attach 62. Die attach 62 is formed to a width W 1 And thickness T 3 Such as a width W in the range of about 1 μm to about 5 μm 1 And a thickness in the range of about 0.5 μm to about 5 μmT 3 . Further, die connectors 62 may be formed at smaller pitches, such as pitches in the range of about 2 μm to about 20 μm.
A dielectric layer 64 is formed on the front side of the integrated circuit die 50, such as on the passivation layer 58 and the test pads 60. Dielectric layer 64 laterally surrounds die attach 62 (when formed) and test pad 60 and buries test pad 60 such that test pad 60 remains electrically isolated in the resulting integrated circuit die 50. The dielectric layer 64 may be a polymer such as PBO, polyimide, BCB, or the like; nitrides, such as silicon nitride and the like; oxides such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG), and the like; tetraethoxysilane (TEOS); etc., or a combination thereof. The dielectric layer 64 may be formed, for example, by spin coating, lamination, CVD, or the like. In some embodiments, dielectric layer 64 is a TEOS layer. After initial formation, dielectric layer 64 is planarized, which exposes die connectors 62 (when formed), but does not expose test pads 60. Planarization may be performed by an etching process, chemical Mechanical Polishing (CMP), a grinding process. An etch back may be performed to expose the sidewalls of die attach 62. The resulting dielectric layer 64 has a thickness T 4 Such as a thickness T in the range of about 0.5 μm to about 2.5 μm 4
As discussed further below, a plurality of integrated circuit dies 50 will be stacked in a subsequent process to form a die stack. The integrated circuit dies 50 are bonded together in a back-to-back manner, for example, with the back side of the top integrated circuit die bonded to the front side of the bottom integrated circuit die. The bonding is performed without the use of solder and may be performed by several methods. In some embodiments, direct bonding may be used to form dielectric-to-dielectric bonds or dielectric-to-semiconductor bonds. In some embodiments, the die is bonded with an adhesive such as any suitable adhesive, epoxy, die Attach Film (DAF), or the like.
In embodiments where integrated circuit die 50 are stacked by direct bonding, one or more bonds may be formed on dielectric layer 64 and around die connectors 62 (e.g., on exposed sidewalls of die connectors 62)Layer 66. The bonding layer 66 may be formed of one or more suitable dielectric materials such as silicon oxide, silicon nitride, polymers, and the like, or combinations thereof. The bonding layer 66 may be formed by spin coating, lamination, or a deposition process such as CVD, high Density Plasma CVD (HDPCVD), or the like, or a combination thereof. After initial formation, the bonding layer 66 is planarized, which exposes the die connectors 62 (when formed), but not the test pads 60. Planarization may be performed by an etching process, chemical Mechanical Polishing (CMP), a grinding process, or the like. After planarization, the bonding layer 66 has a combined thickness T5, such as at about To about->Thickness T in the range of (2) 5 . The bonding layer 66 is formed of a nitrogen doped oxide, such as nitrogen doped silicon oxide (e.g., silicon oxynitride), which may help increase the strength of the bond to be formed with the bonding layer 66. In some embodiments, the bonding layer 66 includes a silicon nitride layer and a silicon oxynitride layer on the silicon nitride layer, wherein the silicon nitride layer may be formed to be about +.>To about->Is within the range of (1), and the silicon oxynitride layer can be formed to be about + ->To about->Within a range of (2).
In fig. 3, wafer 40 is flipped over and carrier substrate 68 is bonded to dielectric layer 64. Carrier substrate 68 may be doped or undoped silicon, or may comprise other semiconductor materials such as germanium; compound semiconductorThe method comprises the steps of carrying out a first treatment on the surface of the Or a combination thereof. The carrier substrate 68 may have a greater thickness T 6 Such as a thickness T in the range of about 100 μm to about 775 μm 6
In some embodiments, carrier substrate 68 is bonded to dielectric layer 64 by fusion bonding. One or more bonding layers 70 may be formed on the carrier substrate 68. The bonding layer 70 may be formed of one or more suitable dielectric materials, such as silicon oxide, silicon nitride, polymers, and the like, or combinations thereof. The bonding layer 70 may be formed by spin coating, lamination, or a deposition process such as CVD, high Density Plasma CVD (HDPCVD), or the like, or a combination thereof. The bonding layer 70 is formed of a nitrogen doped oxide (such as silicon oxynitride), which may help increase the strength of the bond to be formed with the bonding layer 70. After formation, the bonding layer 70 has a combined thickness T 7 Such as aboutTo about->Thickness T in the range of (2) 7 . Bonding layers 66 and 70 are then pressed together to form a dielectric-to-dielectric bond that directly bonds integrated circuit die 50 to carrier substrate 68. The presence of nitrogen in the bonding layers 66 and/or 70 increases the strength of the bond. An annealing process may be performed to further strengthen the bond.
After bonding, the semiconductor substrate 52 is thinned. Thinning may be accomplished by etching processes, chemical Mechanical Polishing (CMP), grinding processes, and the like. Thinning the semiconductor substrate 52 allows the interconnect structure 54 to be optically positioned during a subsequent process for forming alignment marks in the semiconductor substrate 52. The semiconductor substrate 52 is thinned until the semiconductor substrate 52 has a thickness T 8 The thickness T 8 May be smaller, such as in the range of about 5 μm to about 10 μm. After thinning, semiconductor substrate 52, interconnect structure 54, passivation layer 58, dielectric layer 64, and bonding layer 66 have a combined thickness T 9 The combined thickness T 9 And may also be smaller, such as in the range of about 10 μm to about 20 μm.
In fig. 4, one or more recesses 72 are formed on the back side of the semiconductor substrate 52. The grooves 72 may be formed by acceptable photolithography and etching techniques, such as by using a patterned photoresist as an etch mask. The grooves 72 are used to form alignment marks, and are formed to a predetermined depth and width. For example, the depth of the grooves 72 may be in the range of about 0.1 μm to about 1 μm, and the width may be in the range of about 20 μm to about 100 μm. The grooves 72 may have a regular and symmetrical shape in plan view. The location of the grooves 72 may be determined by sensing the pattern of the interconnect structure 54 using an optical scanner, such as an infrared scanner.
In fig. 5, a bonding layer 74 may be formed on the back side of the semiconductor substrate 52 and in the recess 72. The bonding layer 74 fills the grooves 72 to form alignment marks 76, and the alignment marks 76 may be used in subsequent processes and will also be used for bonding to form a die stack. The bonding layer 74 and alignment marks 76 may be formed of one or more suitable dielectric materials, such as silicon oxide, silicon nitride, polymers, and the like, or combinations thereof. The bonding layer 74 may be formed by spin coating, lamination or deposition processes such as CVD, high Density Plasma CVD (HDPCVD), or the like, or combinations thereof. The bonding layer 74 is formed of nitride, which may help to increase the strength of the bond to be formed with the bonding layer 74. In some embodiments, the bonding layer 74 is a silicon nitride layer. After formation, the bonding layer 74 is planarized. Planarization may be performed by an etching process, chemical Mechanical Polishing (CMP), a grinding process, or the like. After planarization, the bonding layer 74 has a thickness T 10 It may be in the range of about 0.2 μm to about 2 μm.
In fig. 6, dicing streets 78 are formed in wafer 40 (e.g., in semiconductor substrate 52, interconnect structure 54, dielectric layer 64, and bonding layers 66 and 70). Dicing streets 78 also extend partially into carrier substrate 68. Dicing streets 78 are formed by acceptable photolithography and etching techniques, such as by using a patterned photoresist as an etch mask for a dry etching process. The alignment marks 76 are used to align the pattern of the etch mask when the scribe line 78 is formed. Forming the dicing streets 78 by a dry etching process helps reduce the amount of damage caused to the singulated integrated circuit die 50. Each device region, such as first device region 40A, is separated by dicing streets 78. After the dry etching process, the semiconductor substrate 52 is divided, but the portion bonded to the carrier substrate 68 is still left undivided.
In fig. 7, wafer 40 is flipped over and placed on the belt. Carrier substrate 68 is then thinned until dicing streets 78 are exposed, thereby completing singulation of integrated circuit die 50. The thinning may be accomplished by an etching process, chemical Mechanical Polishing (CMP), grinding process, or the like. Post-thinning cleaning may be performed to remove all residues during the thinning process. After thinning, the remainder of the carrier substrate 68 has a smaller thickness T 11 Such as a thickness T in the range of about 5 μm to about 20 μm 11 And the integrated circuit die 50 has a smaller thickness T 12 Such as a thickness T in the range of about 10 μm to about 40 μm 12 . When the process is complete, the integrated circuit die 50 may be removed from the tape.
Fig. 8-13 are cross-sectional views of intermediate steps during a process for forming a die stack 80 (see fig. 13), according to some embodiments. The die stack 80 is formed by stacking a plurality of integrated circuit dies in a back-to-face manner, wherein the back side of the top integrated circuit die is bonded to the front side of the bottom integrated circuit die and no solder is used. The die stacking process shown is performed by bonding singulated integrated circuit die 50 to the device areas of the unsingulated wafer 90. Wafer 90 is similar to wafer 40 of fig. 2, e.g., is a wafer at an intermediate stage of the process prior to attachment of carrier substrate 68, and has similar components as wafer 40. Bonding of the first integrated circuit die 50A to the first device region 90A of the wafer 90 is shown, but it should be understood that the wafer 90 may have any number of device regions and that any number of integrated circuit die may be assembled to each region. The second integrated circuit die 50B is formed in the first device region 90A of the wafer 90 and singulated for inclusion in the resulting die stack 80.
In the illustrated embodiment, both the top integrated circuit die 50A and the bottom wafer 90 include die connectors 62. In other embodiments (discussed further below), the top integrated circuit die 50A and/or the bottom wafer 90 may omit the die connectors 62. In other embodiments (discussed further below), the formation of die connectors 62 may be deferred until after the top integrated circuit die 50A and bottom wafer 90 are bonded.
Upon singulation, the resulting integrated circuit dies of the die stack 80 (see fig. 13) are electrically isolated. The integrated circuit die of the die stack 80 may be a die used to form a computing system. For example, the bottom integrated circuit die 50B may be one type of integrated circuit die, such as a logic die, while the top integrated circuit die 50A may be a second type of integrated circuit die, such as a memory die, an integrated passive device, or the like. The die stack 80 will be packaged in a subsequent process to form a package assembly that electrically couples the integrated circuit die of the die stack 80.
In fig. 8, top integrated circuit die 50A is bonded to bottom wafer 90. The top integrated circuit die 50A is placed on the bottom wafer 90 using, for example, pick and place techniques. In some embodiments, top integrated circuit die 50A is bonded to the area of first device region 90A that is free of die connectors 62. The alignment marks 76 are used for alignment during placement. In embodiments where the top integrated circuit die 50A is bonded to the bottom wafer 90 by fusion bonding, the bonding layers 66 and 74 are pressed together to form a dielectric-to-dielectric bond that directly bonds the top integrated circuit die 50A to the bottom wafer 90. In embodiments where bonding layer 66 is a nitrogen doped silicon oxide layer and bonding layer 74 is a silicon nitride layer, the dielectric-to-dielectric bond is an oxide-to-nitride bond. As described above, the presence of nitrogen in the bonding layers 66 and/or 74 increases the strength of the dielectric-to-dielectric bond. An annealing process may be performed to further enhance the bonding. In some embodiments, one of the bonding layers 66 and 74 is omitted. For example, the bonding layer 74 may be omitted and the top integrated circuit die 50A may be bonded to the bottom wafer 90 by pressing the semiconductor substrate 52 of the top integrated circuit die 50A to the bonding layer 66 of the bottom wafer 90. Thus forming a silicon-to-dielectric bond that directly bonds the top integrated circuit die 50A to the bottom wafer 90. In embodiments in which the bonding layer 66 comprises a silicon oxide layer, the silicon-to-dielectric bond is a silicon-to-oxide bond. As discussed further below with reference to fig. 16, in some embodiments, both bonding layers 66 and 74 may be omitted, and the top integrated circuit die 50A may be bonded to the bottom wafer 90 by other means.
In fig. 9, the carrier substrate 68 of the top integrated circuit die 50A is thinned. Thinning may be achieved by etching processes, chemical Mechanical Polishing (CMP), grinding processes, and the like. After thinning, the remainder of the carrier substrate 68 has a smaller thickness T 13 Such as a thickness T in the range of about 1 μm to about 5 μm 13 And the top integrated circuit die 50A has a smaller thickness T 14 Such as a thickness T in the range of about 10 μm to about 25 μm 14
In fig. 10, one or more dielectric layers 82 are formed over a bottom wafer 90 and a top integrated circuit die 50A. The dielectric layer 82 is then patterned to form openings 84 exposing the top integrated circuit die 50A. Each dielectric layer 82 may be a polymer such as PBO, polyimide, BCB, or the like; nitrides, such as silicon nitride and the like; oxides such as silicon oxide, PSG, BSG, BPSG, etc.; TEOS; etc., or a combination thereof. Dielectric layer 82 may be formed, for example, by spin coating, lamination, chemical Vapor Deposition (CVD), and the like. After formation, dielectric layer 82 has a combined thickness T 15 Such as a thickness T in the range of about 12 μm to about 27 μm 15 . Openings 84 are then formed to expose carrier substrate 68 of top integrated circuit die 50A. The openings 84 may be formed by acceptable photolithography and etching techniques, such as by using a patterned photoresist as an etch mask. Forming the openings 84 may help reduce pattern loading effects in subsequent planarization processes.
In some embodiments, dielectric layer 82 includes a first silicon nitride layer 82A, a first TEOS layer 82B over first silicon nitride layer 82A, a second silicon nitride layer 82C over first TEOS layer 82B, and a second TEOS layer 82D over second silicon nitride layer 82C. First silicon nitride layer 82A may be formed to be aboutTo about->The first TEOS layer 82B may be formed to a thickness within the range of about +.>To about->The second silicon nitride layer 82C may be formed to have a thickness within a range of about +.>To about->And the second TEOS 82D may be formed to a thickness in the range of about 15 μm to about 25 μm.
In fig. 11, a planarization process is performed to remove the portion of dielectric layer 82 that is located over top integrated circuit die 50A. Planarization may be performed by an etching process, chemical Mechanical Polishing (CMP), a grinding process, or the like. The carrier substrate 68 is planarized away and the bonding layers 66 and 70. After planarization, die attach 62 (when formed) is exposed, and the uppermost surfaces of dielectric layers 64 and 82 and die attach 62 (when formed) are planar. Planarization may also thin the top integrated circuit die 50A. After planarization, the top integrated circuit die 50A has a thickness T 16 Such as a thickness T in the range of about 5 μm to about 50 μm 16
In fig. 12, a via 86 is formed extending through dielectric layer 82. Vias 86 may be die connectors or conductive pillars and may be formed of a conductive material such as metal. Vias 86 are physically and electrically coupled to die connectors 62 of bottom wafer 90. The via 86 may be formed by a damascene process such as a single damascene process. As an example of forming vias 86, openings may be formed in dielectric layer 82 that expose die connectors 62. An openingMay be formed by acceptable photolithography and etching techniques, such as by using a patterned photoresist as an etch mask for the etching process. A seed layer may be formed in the opening and over the exposed portions of die attach 62. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A conductive material is formed in the openings of dielectric layer 82 and on the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, and the like. Excess portions of the conductive material and seed layer outside the opening may be removed by, for example, a grinding process or a CMP process. The remaining portion of the seed layer and the conductive material form a via 86. The through hole 86 is formed to a thickness T 16 And is formed to a width W 2 Such as a width W of about 1 μm to about 10 μm 2 . In some embodiments, the via 86 includes additional layers, such as a barrier layer, a liner layer, and the like. The through holes 86 do not contain (e.g., do not contain) solder.
In fig. 13, singulation process 88 is performed by sawing along scribe areas, for example, around first device region 90A. The singulation process 88 separates the first device region 90A from adjacent device regions (not shown) of the bottom wafer 90. The resulting singulated die stack 80 is from the first device region 90A of the bottom wafer 90 and includes the top and bottom integrated circuit dies 50A, 50B stacked in a back-to-back manner without the use of solder. Thus, the resulting die stack 80 is free of solder. After singulation, the dielectric layer 82 is laterally co-terminal with the bottom integrated circuit die 50B. After the singulation process 88, the bottom integrated circuit die 50B has a width W 3 And the top integrated circuit die 50A has a width W 4 . Width W 3 May be in the range of about 10mm to about 100mm, and a width W 4 May be in the range of about 1mm to about 10 mm. Width W 3 Greater than width W 4 This helps accommodate die connectors 62 and vias for bottom integrated circuit die 50B 86。
Fig. 14 and 15 are cross-sectional views of intermediate steps during a process for forming integrated circuit package 100, according to some embodiments. After forming the integrated circuit package 100 (see fig. 15), the top integrated circuit die 50A and the bottom integrated circuit die 50B are electrically coupled to form a complete system.
In fig. 14, a redistribution structure 102 is formed over the die stack 80. In particular, a redistribution structure 102 is formed over the via 86, the dielectric layer 82, and the top integrated circuit die 50A. The redistribution structure 102 electrically couples the top integrated circuit die 50A to the bottom integrated circuit die 50B. Specifically, redistribution structure 102 is electrically coupled to top integrated circuit die 50A by die connectors 62 and to bottom integrated circuit die 50B by die connectors 62 and vias 86.
The redistribution structure 102 includes dielectric layers 106 and 110; metallization patterns 104 and 108 and Under Bump Metallization (UBM) 112. The metallization pattern may also be referred to as a redistribution layer or a redistribution line. The metallization patterns 104 and 108 have fine pitches, such as pitches in the range of about 0.8 μm to about 5 μm. Thus, the metallization patterns 104 and 108 may be used to interconnect the top integrated circuit die 50A and the bottom integrated circuit die 50B without the use of TSVs in the integrated circuit die 50 and without the use of an interposer. In other words, the redistribution structure 102 advantageously allows the integrated circuit die 50 to be free of TSVs and allows the integrated circuit package 100 (see fig. 15) to be free of intermediaries.
The redistribution structure 102 is shown as an example with two metallization pattern layers. More or fewer dielectric layers and metallization patterns may be formed in the redistribution structure 102. If fewer dielectric layers and metallization patterns are to be formed, the steps and processes discussed below may be omitted. If more dielectric layers and metallization patterns are to be formed, the steps and processes discussed below may be repeated.
First, a metallization pattern 104 is formed. The metallization pattern 104 includes line portions (also referred to as conductive lines) that are located on the major surface of the dielectric layer 82 and extend along the major surface of the dielectric layer 82. In some embodiments, a dielectric layer (not shown) may be formed over dielectric layer 82, integrated circuit die 50, and vias 86, and metallization pattern 104 extends through the dielectric layer (not shown). Metallization pattern 104 physically and electrically couples vias 86 to die connectors 62 of top integrated circuit die 50A. As an example of forming metallization pattern 104, a seed layer is formed over via 86, dielectric layer 82, and top integrated circuit die 50A. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. Then, a photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to the metallization pattern 104. The patterning forms openings through the photoresist to expose the seed layer. A conductive material is then formed in the opening of the photoresist and over the exposed portion of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, and the like. The combination of the conductive material and portions of the underlying seed layer forms a metallization pattern 104. The photoresist and portions of the seed layer on which the conductive material is not formed are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer may be removed, such as by using an acceptable etching process, such as by wet or dry etching.
A dielectric layer 106 is then deposited over the metallization pattern 104, the dielectric layer 82, and the top integrated circuit die 50A. In some embodiments, the dielectric layer 106 is formed of a photosensitive material such as PBO, polyimide, BCB, etc., which may be patterned using a photolithographic mask. The dielectric layer 106 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 106 is then patterned. Patterning forms openings exposing portions of the metallization pattern 104. Patterning may be formed by acceptable processes, such as by exposing the dielectric layer 106 to light or by etching using, for example, anisotropic etching when the dielectric layer 106 is a photosensitive material. If the dielectric layer 106 is a photosensitive material, the dielectric layer 106 may be developed after exposure.
A metallization pattern 108 is then formed. The metallization pattern 108 includes line portions (also referred to as conductive lines) located on and extending along a major surface of the dielectric layer 106. The metallization pattern 108 also includes via portions (also referred to as conductive vias) extending through the dielectric layer 106 to physically and electrically couple the metallization pattern 104. As an example of forming metallization pattern 108, a seed layer is formed over dielectric layer 106 and in openings extending through dielectric layer 106. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. Then, a photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of photoresist corresponds to metallization pattern 108. The patterning forms openings through the photoresist to expose the seed layer. A conductive material is then formed in the opening of the photoresist and over the exposed portion of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, and the like. The combination of the conductive material and portions of the underlying seed layer forms metallization pattern 108. The photoresist and portions of the seed layer on which the conductive material is not formed are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer may be removed, such as by using an acceptable etching process, such as by wet or dry etching.
A dielectric layer 110 is then deposited over the metallization pattern 108 and the dielectric layer 106. In some embodiments, the dielectric layer 110 is formed of a photosensitive material such as PBO, polyimide, BCB, etc., which may be patterned using a photolithographic mask. The dielectric layer 110 may be formed by spin coating, lamination, CVD, or the like, or a combination thereof. The dielectric layer 110 is then patterned. Patterning forms openings exposing portions of metallization pattern 108. Patterning may be formed by acceptable processes, such as by exposing the dielectric layer 110 to light or by etching using, for example, anisotropic etching when the dielectric layer 110 is a photosensitive material. If the dielectric layer 110 is a photosensitive material, the dielectric layer 110 may be developed after exposure.
UBM 112 is then formed for external connection to redistribution structure 102. UBM 112 has bump portions located on and extending along a major surface of dielectric layer 110 and has via portions extending through dielectric layer 110 to physically and electrically couple metallization pattern 108. Thus, UBM 112 is electrically coupled to top integrated circuit die 50A and bottom integrated circuit die 50B. In some embodiments, UBM 112 is formed in a different size than metallization patterns 104 and 108. As an example of forming UBM 112, a seed layer is formed over dielectric layer 110 and in an opening extending through dielectric layer 110. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer including multiple sub-layers formed of different materials. In some embodiments, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. Then, a photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of the photoresist corresponds to UBM 112. The patterning forms openings through the photoresist to expose the seed layer. A conductive material is then formed in the opening of the photoresist and over the exposed portion of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, and the like. The combination of the conductive material and portions of the underlying seed layer forms UBM 112. The photoresist and portions of the seed layer on which the conductive material is not formed are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer may be removed, such as by using an acceptable etching process, such as by wet or dry etching.
In fig. 15, conductive connection 114 is formed on UBM 112. Conductive connections 114 may be Ball Grid Array (BGA) connections, solder balls, metal pillars, controlled collapse die connection (C4) bumps, micro bumps, bumps formed by Electroless Nickel Electroless Palladium Immersion Gold (ENEPIG) or the like. The conductive connection 114 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connection 114 is formed by initially forming a solder layer by evaporation, electroplating, printing, solder transfer, ball placement, and the like. Once the solder layer is formed on the structure, reflow may be performed to shape the material into the desired bump shape. In another embodiment, the conductive connection 114 includes a metal pillar (such as a copper pillar) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal posts may be solderless and have substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillars. The metal overlayer may include nickel, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, or the like, or combinations thereof, and may be formed by a plating process.
Although the integrated circuit package 100 is described as being formed after the die stack 80 is singulated, the order of the steps may be modified. For example, in embodiments using wafer level packaging, the redistribution structure 102 may be formed over the unsingulated wafer 90 (e.g., the intermediate structure of fig. 12). Thus, a plurality of integrated circuit packages 100 at the wafer level may be formed. A singulation process 88 (see fig. 13) may then be performed to singulate the die stack 80 and the redistribution structure 102 to form an integrated circuit package 100.
Fig. 16 is a cross-sectional view of an integrated circuit package 100 according to some other embodiments. In this embodiment, both bonding layers 66 and 74 are omitted and the semiconductor substrate 52 of the top integrated circuit die 50A is bonded to the dielectric layer 64 of the bottom wafer 90 by the adhesive 116. The adhesive 116 may be any suitable adhesive, epoxy, die Attach Film (DAF), or the like.
Fig. 17-22 are cross-sectional views of intermediate steps during a process for forming an integrated circuit package 200, according to some other embodiments. The integrated circuit package 200 is formed by packaging singulated die stacks 80. After packaging, the top integrated circuit die 50A and the bottom integrated circuit die 50B are electrically coupled to form a complete system.
In fig. 17, a carrier substrate 202 is provided, and a release layer 204 is formed on the carrier substrate 202. The carrier substrate 202 may be a glass carrier substrate, a ceramic carrier substrate, or the like. The carrier substrate 202 may be a wafer such that multiple packages may be formed on the carrier substrate 202 simultaneously. The release layer 204 may be formed of a polymer-based material that may be removed along with the carrier substrate 202 from the above structures formed in a subsequent step. In some embodiments, the release layer 204 is an epoxy-based heat release material, such as a Light To Heat Conversion (LTHC) release coating, that loses its adhesion when heated. In other embodiments, the release layer 204 may be an Ultraviolet (UV) glue that loses its adhesion when exposed to UV light. The release layer 204 may be dispensed in liquid form and cured, may be a laminate film laminated to the carrier substrate 202, or the like. The top surface of release layer 204 may be flush and may have a high degree of coplanarity.
Then, a dielectric layer 206 is formed on the release layer 204. The bottom surface of the dielectric layer 206 may be in contact with the top surface of the release layer 204. In some embodiments, the dielectric layer 206 is formed of a polymer such as Polybenzoxazole (PBO), polyimide, benzocyclobutene (BCB), or the like. In other embodiments, dielectric layer 206 is made of nitride, such as silicon nitride; oxides such as silicon oxide, PSG, BSG, BPSG, etc.; etc. The dielectric layer 206 may be formed by any acceptable deposition process such as spin coating, CVD, lamination, or the like, or combinations thereof.
Next, a via 208 is formed on the dielectric layer 206 extending away from the dielectric layer 206. As an example of forming the via 208, a seed layer is formed on the dielectric layer 206. In some embodiments, the seed layer is a metal layer, which may be a single layer or a composite layer including multiple sub-layers formed of different materials. In a particular embodiment, the seed layer includes a titanium layer and a copper layer over the titanium layer. The seed layer may be formed using, for example, PVD or the like. A photoresist is formed on the seed layer and patterned. The photoresist may be formed by spin coating or the like, and may be exposed to light for patterning. The pattern of the photoresist corresponds to the via. Openings are patterned through the photoresist to expose the seed layer. A conductive material is formed in the opening of the photoresist and on the exposed portion of the seed layer. The conductive material may be formed by plating such as electroplating or electroless plating. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, and the like. The photoresist and portions of the seed layer on which the conductive material is not formed are removed. The photoresist may be removed by an acceptable ashing or stripping process, such as using an oxygen plasma or the like. Once the photoresist is removed, the exposed portions of the seed layer are removed, such as by using an acceptable etching process (such as wet or dry etching). The remaining portion of the seed layer and the conductive material form a via 208.
In fig. 18, die stack 80 is adhered to dielectric layer 206 by adhesive 210. A desired type and number of die stacks 80 are adhered to the dielectric layer 206. In the embodiment shown, one die stack 80 is adhered to the dielectric layer 206. Adhesive 210 is located on the backside of die stack 80, e.g., on the backside of bottom integrated circuit die 50B, and adheres die stack 80 to dielectric layer 206. Adhesive 210 may be any suitable adhesive, epoxy, die Attach Film (DAF), or the like. The adhesive 210 may be applied to the backside of the die stack 80 or may be applied over the surface of the carrier substrate 202. For example, the adhesive 210 may be applied to the backside of the die stack 80 prior to singulating the die stack 80.
In fig. 19, a sealant 212 is formed on and around the individual components. After formation, an encapsulant 212 encapsulates the vias 208 and the die stack 80. The encapsulant 212 may be a molding compound, epoxy, or the like. The encapsulant 212 may be applied by compression molding, transfer molding, or the like, and may be formed over the carrier substrate 202 such that the vias 208 and/or the die stack 80 are buried or covered. The encapsulant 212 may be applied in liquid or semi-liquid form and then cured.
A planarization process may be performed on encapsulant 212 to expose vias 208 and die stack 80. The planarization process may remove the material of via 208, via 86, dielectric layer 82, dielectric layer 64, and/or die attach 62 until die attach 62, via 86, and via 208 are exposed. After the planarization process, the encapsulant 212, via 86, via 208, dielectric layer 82, dielectric layer 64, and the top surface of die attach 62 are coplanar. The planarization process may be, for example, a Chemical Mechanical Polishing (CMP), a grinding process, or the like.
In fig. 20, a redistribution structure 214 is formed over the encapsulant 212, the vias 208, and the die stack 80. The redistribution structure 214 electrically couples the top integrated circuit die 50A and the bottom integrated circuit die 50B. The redistribution structure 214 includes a dielectric layer, a metallization pattern, and UBM. The metallization pattern of the redistribution structure 214 has a fine pitch, such as a pitch in the range of about 0.8 μm to about 5 μm. Thus, the metallization pattern may be used to interconnect the top integrated circuit die 50A and the bottom integrated circuit die 50B without the use of TSVs in the integrated circuit die 50 and without the use of an interposer. The redistribution structure 214 may be formed using a process similar to the process used to form the redistribution structure 102. The redistribution structure 214 is shown as an example with three metallization pattern layers. More or fewer dielectric layers and metallization patterns may be formed in the redistribution structure 214.
In fig. 21, conductive connections 216 are formed on the redistribution structure 214. For example, the conductive connection 216 may be formed on UBM as part of the redistribution structure 214. Conductive connection 216 may be a Ball Grid Array (BGA) connection, solder ball, metal pillar, controlled collapse die connection (C4) bump, micro bump, bump formed by electroless nickel electroless palladium immersion gold technique (ENEPIG), or the like. The conductive connection 216 may include a conductive material such as solder, copper, aluminum, gold, nickel, silver, palladium, tin, or the like, or a combination thereof. In some embodiments, the conductive connection 216 is formed by initially forming a solder layer by evaporation, electroplating, printing, solder transfer, ball placement, and the like. Once the solder layer is formed on the structure, reflow may be performed to shape the material into the desired bump shape. In another embodiment, the conductive connection 216 includes a metal pillar (such as a copper pillar) formed by sputtering, printing, electroplating, electroless plating, CVD, or the like. The metal posts may be solderless and have substantially vertical sidewalls. In some embodiments, a metal cap layer is formed on top of the metal pillars. The metal overlayer may include nickel, tin-lead, gold, silver, palladium, indium, nickel-palladium-gold, nickel-gold, or the like, or combinations thereof, and may be formed by a plating process.
In addition, passive devices 218 may be attached to the redistribution structure 214. For example, the passive devices 218 may be attached to UBM as part of the redistribution structure 214. Passive device 218 may be an Integrated Passive Device (IPD) or a discrete passive device. Passive devices 218 may include resistors, capacitors, inductors, etc., and may be attached to redistribution structure 214 by conductive connections. In some embodiments, an underfill 220 is formed between the passive devices 218 and the redistribution structure 214. The underfill 220 may be formed by a capillary flow process after the passive devices 218 are attached, or may be formed by a suitable deposition method before the passive devices 218 are attached.
In fig. 22, carrier substrate debonding is performed to debond (or "debond") carrier substrate 202 from dielectric layer 206. According to some embodiments, debonding includes projecting light, such as laser or UV light, onto the release layer 204 such that the release layer 204 breaks down under the heat of the light and the carrier substrate 202 may be removed. The structure is then flipped over and placed on the belt.
Conductive connection 222 is then formed extending through dielectric layer 206 to contact via 208. An opening is formed through dielectric layer 206 to expose a portion of via 208. For example, the openings may be formed using laser drilling, etching, or the like. Conductive connection 222 is formed in the opening. In some embodiments, the conductive connection 222 includes solder and is formed in a solder dipping process. In some embodiments, the conductive connection 222 comprises a conductive paste such as solder paste, silver paste, or the like, and is dispensed during the printing process. In some embodiments, the conductive connection 222 is formed in a similar manner to the conductive connection 216 and may be formed of a similar material to the conductive connection 216.
The singulation process 224 is performed by sawing along scribe areas. The singulation process 224 separates the integrated circuit package 200 from adjacent integrated circuit packages. The resulting singulated integrated circuit packages 200 may be mounted to a package substrate by conductive connections 216. Other packages, such as memory devices, passive devices, etc., may be attached to the integrated circuit package 200 by conductive connections 222.
Fig. 23 and 24 are cross-sectional views of intermediate steps during a process for forming a die stack 80, according to other embodiments. Fig. 23 shows die stack 80 in a similar process state as the intermediate structure of fig. 11, except that in this embodiment, die connectors 62 are omitted during formation of bottom wafer 90. Thus, after bonding, only the top integrated circuit die 50A has die connectors 62.
In fig. 24, a via 86 is formed extending through passivation layer 58, bonding layer 66, and dielectric layers 64 and 82. Thus, the via 86 is electrically coupled to the bottom wafer 90. The via 86 may be formed by a damascene process, such as a single damascene process. The through hole 86 is formed to have a width W 2 And can be formed to a thickness T 17 Such as a thickness T in the range of about 10 μm to about 30 μm 17
After forming the vias 86, a singulation process, such as singulation process 88 (see fig. 13), may be performed to singulate the die stack 80. The die stack 80 may be packaged to form an integrated circuit package. The integrated circuit package electrically couples the top integrated circuit die 50A and the bottom integrated circuit die 50B. For example, the processes described above may be implemented to form a package similar to integrated circuit package 100 of fig. 15 or a package similar to integrated circuit package 200 of fig. 22.
Fig. 25 and 26 are cross-sectional views of intermediate steps during a process for forming a die stack 80, according to other embodiments. Fig. 25 shows die stack 80 in a similar process state as the intermediate structure of fig. 11, except that in this embodiment, die connectors 62 are omitted during formation of top integrated circuit die 50A. Thus, after bonding, only the bottom wafer 90 has die connectors 62.
In fig. 26, die connectors 62 are formed in the top integrated circuit die 50A. Die connectors 62 extend through dielectric layer 64 of top integrated circuit die 50A to physically and electrically couple top integrated circuit die 50A. Die attach 62 may be formed by a damascene process, such as a single damascene process.
Further, a via 86 is formed to extend through the dielectric layer 82. Thus, the vias 86 are electrically coupled to the die connectors 62 of the bottom wafer 90. The via 86 may be formed by a damascene process such as a single damascene process. In some embodiments, die connectors 62 and vias 86 for top integrated circuit die 50A are formed simultaneously, for example, in the same damascene process that uses a single mask to pattern openings for die connectors 62 and vias 86. In some embodiments, die connectors 62 and vias 86 for top integrated circuit die 50A are formed, for example, in different damascene processes that use different masks to pattern openings for die connectors 62 and vias 86.
After forming die connectors 62 and vias 86, a singulation process, such as singulation process 88 (see fig. 13), may be performed to singulate die stack 80. The die stack 80 may be packaged to form an integrated circuit package. The integrated circuit package electrically couples the top integrated circuit die 50A and the bottom integrated circuit die 50B. For example, the processes described above may be implemented to form a package similar to integrated circuit package 100 of fig. 15 or a package similar to integrated circuit package 200 of fig. 22.
Fig. 27 and 28 are cross-sectional views of intermediate steps during a process for forming a die stack 80, according to other embodiments. Fig. 27 shows die stack 80 in a similar process state as the intermediate structure of fig. 11, except that in this embodiment, die connectors 62 are omitted during formation of top integrated circuit die 50A and bottom wafer 90. Thus, none of the devices have die connectors 62 prior to bonding.
In fig. 28, die connectors 62 are formed in top integrated circuit die 50A. Die connectors 62 extend through dielectric layer 64 of top integrated circuit die 50A to physically and electrically couple top integrated circuit die 50A. Die attach 62 may be formed by a damascene process, such as a single damascene process.
In addition, a via 86 is formed to extend through passivation layer 58, bonding layer 66, and dielectric layers 64 and 82. Thus, the via 86 is electrically coupled to the bottom wafer 90. The via 86 may be formed by a damascene process such as a single damascene process. In some embodiments, die connectors 62 and vias 86 for top integrated circuit die 50A are formed simultaneously, for example, in the same damascene process that uses a single mask to pattern openings for die connectors 62 and vias 86. In some embodiments, die connectors 62 and vias 86 for top integrated circuit die 50A are formed, for example, in different damascene processes that use different masks to pattern openings for die connectors 62 and vias 86.
After forming die connectors 62 and vias 86, a singulation process, such as singulation process 88 (see fig. 13), may be performed to singulate die stack 80. The die stack 80 may be packaged to form an integrated circuit package. The integrated circuit package electrically couples the top integrated circuit die 50A and the bottom integrated circuit die 50B. For example, the processes described above may be implemented to form a package similar to integrated circuit package 100 of fig. 15 or a package similar to integrated circuit package 200 of fig. 22.
Fig. 29-31 are cross-sectional views of intermediate steps during a process for forming a die stack 80, according to other embodiments. Fig. 29 shows die stack 80 in a similar process state as the intermediate structure of fig. 9. In this embodiment, die connectors 62 are formed during the formation of both top integrated circuit die 50A and bottom wafer 90.
In fig. 29, one or more via dies 92 are bonded to a first device region 90A of a bottom wafer 90 adjacent to a top integrated circuit die 50A. The via die 92 includes a substrate 94 and TSVs 96. Substrate 94 may be a semiconductor substrate such as doped or undoped silicon, or may include other semiconductor materials such as germanium; a composite semiconductor; or a combination thereof. In another embodiment, the substrate 94 may be formed of a dielectric material. Substrate 94 may be devoid of active and passive devices such that the only conductive feature in via die 92 is TSV 96.TSV 96 may include one or more layers of conductive material. The conductive material may include a metal such as copper, titanium, tungsten, aluminum, or the like. The via die 92 is a preformed die from another manufacturing process and may be bonded to the bonding layer 66 by, for example, fusion bonding. For example, each substrate 94 may be pressed onto the bonding layer 66 to form a silicon-dielectric bond that directly bonds the substrate 94 to the bottom wafer 90. The via die 92 may be bonded to the bottom wafer 90 before, simultaneously with, or after the top integrated circuit die 50A.
In fig. 30, a dielectric layer 82 is formed over a bottom wafer 90, a top integrated circuit die 50A, and a via die 92. Dielectric layer 82 is patterned to form openings 84 exposing top integrated circuit die 50A, which may reduce pattern loading effects in subsequent planarization processes. The via die 92 is not exposed by the opening 84.
In fig. 31, a planarization process is performed to remove the portion of dielectric layer 82 that is located over top integrated circuit die 50A and via die 92. Planarization may be performed by an etching process, chemical Mechanical Polishing (CMP), a grinding process, or the like. After planarization, the die connectors 62 of the top integrated circuit die 50A and the TSVs 96 of the vias 92 are exposed. After planarization, the uppermost surfaces of dielectric layer 82, top integrated circuit die 50A, and via die 92 are planar.
After exposing the top integrated circuit die 50A and the via die 92, a singulation process, such as singulation process 88 (see fig. 13), may be performed to singulate the die stack 80. The die stack 80 may be packaged to form an integrated circuit package. The integrated circuit package electrically couples the top integrated circuit die 50A and the bottom integrated circuit die 50B. For example, the processes described above may be implemented to form a package similar to integrated circuit package 100 of fig. 15 or a package similar to integrated circuit package 200 of fig. 22.
Embodiments may achieve a number of advantages. Forming the die stack 80 allows several types of integrated circuit die 50, such as logic die and memory die, to be packaged into the same integrated circuit package. The interconnection of the integrated circuit die 50 in the die stack 80 may be achieved by subsequently formed redistribution structures in the integrated circuit package, particularly when the redistribution structures have fine-pitch metallization patterns, such as those having a pitch in the range of about 0.8 μm to about 5 μm. For example, the redistribution structure 102 of the integrated circuit package 100 or the redistribution structure 214 of the integrated circuit package 200 may be used to electrically couple the integrated circuit dies 50 in the die stack 80. Accordingly, the interconnection of the integrated circuit die 50 may be achieved without the use of Through Substrate Vias (TSVs) in the integrated circuit die 50 and without the use of an interposer in the integrated circuit package, thereby reducing the manufacturing cost of the package.
In an embodiment, a device includes: a bottom integrated circuit die having a first front side and a first back side; a top integrated circuit die having a second front side and a second back side, the second back side being coupled to the first front side, the top integrated circuit die being devoid of Through Substrate Vias (TSVs); a dielectric layer surrounding the top integrated circuit die, the dielectric layer disposed on the first front side, the dielectric layer and the bottom integrated circuit die being laterally co-terminal; and a via extending through the dielectric layer, the via electrically coupled to the bottom integrated circuit die, the surfaces of the via, the dielectric layer, and the top integrated circuit die being planar.
In some embodiments of the device, the second backside is bonded to the first front side by an adhesive. In some embodiments of the device, the top integrated circuit die includes a semiconductor substrate and the bottom integrated circuit die includes a first bonding layer at the first front side, the semiconductor substrate being directly bonded to the first bonding layer. In some embodiments of the device, the bottom integrated circuit die includes a first bonding layer at the first front side and the top integrated circuit die includes a second bonding layer at the second back side, the first bonding layer being directly bonded to the second bonding layer. In some embodiments of the device, the top integrated circuit die includes a semiconductor substrate and an alignment mark in the semiconductor substrate, the alignment mark and the second bonding layer being a continuous dielectric material. In some embodiments, the device further comprises: a semiconductor substrate having a third front side and a third back side, the third back side being coupled to the first front side, the via extending through the semiconductor substrate, the surfaces of the dielectric layer and the semiconductor substrate being planar, the semiconductor substrate being devoid of active and passive devices. In some embodiments of the device, the bottom integrated circuit die includes: a semiconductor substrate; and an interconnect structure on the semiconductor substrate, the interconnect structure including a contact pad, the via physically and electrically coupled to the contact pad. In some embodiments of the device, the bottom integrated circuit die includes: a semiconductor substrate; and an interconnect structure on the semiconductor substrate, the interconnect structure including a contact pad; and a die connector located on the contact pad, the via physically and electrically coupled to the die connector. In some embodiments, the device further comprises: a redistribution structure located on the top integrated circuit die, the dielectric layer, and the via, the redistribution structure including a metallization pattern that is physically and electrically coupled to the via and the top integrated circuit die.
In an embodiment, a device includes: a die stack, the die stack comprising: a bottom integrated circuit die; and a top integrated circuit die on the bottom integrated circuit die, the back side of the top integrated circuit die bonded to the front side of the bottom integrated circuit die, the bottom integrated circuit die being wider than the top integrated circuit die, the top integrated circuit die including a first die connector; a first via adjacent the top integrated circuit die, the first via physically and electrically coupled to the bottom integrated circuit die; and a first dielectric layer surrounding the first via, the first dielectric layer physically separating the first via from the top integrated circuit die; and a redistribution structure, the redistribution structure comprising: a metallization pattern on the die stack, the metallization pattern including a wire on the first die connector, a first via, and a first dielectric layer; and a second dielectric layer on the metallization pattern, wherein the die stack is devoid of solder.
In some embodiments of the device, the metallization pattern includes conductive features having a pitch in the range of about 0.8 μm to about 5 μm. In some embodiments, the device further comprises: an encapsulant surrounding the die stack, the encapsulant being laterally co-terminal with the second dielectric layer. In some embodiments, the device further comprises: a second via extends through the encapsulant, the second via electrically coupled to the metallization pattern. In some embodiments of the device, the first dielectric layer, the second dielectric layer, and the bottom integrated circuit die are laterally co-terminal.
In an embodiment, a method comprises: bonding a backside of the first integrated circuit die to a front side of the wafer; depositing a first dielectric layer over the wafer and the first integrated circuit die; the first dielectric layer is planarized such that surfaces of the first integrated circuit die and the first dielectric layer are planar. A conductive via is formed extending through the first dielectric layer, the conductive via electrically coupled to the wafer and dividing the wafer and the first dielectric layer without the use of solder, the divided portion of the wafer forming the second integrated circuit die.
In some embodiments, the method further comprises: forming a first integrated circuit die, the first integrated circuit die including a first die connector; and forming a second integrated circuit die in the wafer, the second integrated circuit die including a second die connector, the conductive via physically and electrically coupled to the second die connector. In some embodiments, the method further comprises: forming a first integrated circuit die, the first integrated circuit die including a first die connector; and forming a second integrated circuit die in the wafer, the second integrated circuit die including a contact pad and a second dielectric layer over the contact pad, a conductive via extending through the second dielectric layer, the conductive via being physically and electrically coupled to the contact pad. In some embodiments, the method further comprises: forming a first integrated circuit die including a contact pad and a second dielectric layer over the contact pad; forming a second integrated circuit die in the wafer, the second integrated circuit die including a first die connector, the conductive via physically and electrically coupled to the first die connector; and after bonding, forming a second die connector extending through the second dielectric layer, the second die connector being physically and electrically coupled to the contact pad. In some embodiments, the method further comprises: forming a first integrated circuit die including a first contact pad and a second dielectric layer over the first contact pad; and forming a second integrated circuit die in the wafer, the second integrated circuit die including a second contact pad and a third dielectric layer over the second contact pad, a conductive via extending through the third dielectric layer, the conductive via being physically and electrically coupled to the second contact pad; and after bonding, forming a die connector extending through the second dielectric layer, the die connector being physically and electrically coupled to the first contact pad. In some embodiments, the method further comprises: a redistribution structure is formed over the first integrated circuit die, the first dielectric layer, and the via, the redistribution structure including a metallization pattern that is physically and electrically coupled to the via and the first integrated circuit die.
The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present invention. Those skilled in the art will appreciate that they may readily use the present invention as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the invention, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the invention.

Claims (10)

1. A method of forming an integrated circuit device, comprising:
bonding a backside of the first integrated circuit die to a front side of the wafer;
depositing a first dielectric layer over the wafer and the first integrated circuit die;
patterning the first dielectric layer to form an opening exposing the first integrated circuit die;
planarizing the first dielectric layer such that surfaces of the first integrated circuit die and the first dielectric layer are flush;
forming a conductive via extending through the first dielectric layer, the conductive via electrically connected to the wafer without solder; and
Dividing the wafer and the first dielectric layer, the divided portion of the wafer forming a second integrated circuit die, wherein the second integrated circuit die is free of redistribution structures.
2. The method of claim 1, further comprising:
forming the first integrated circuit die, the first integrated circuit die including a first die connector; and
the second integrated circuit die is formed in the wafer, the second integrated circuit die including a second die connector, the conductive via being physically and electrically connected to the second die connector.
3. The method of claim 1, further comprising:
forming the first integrated circuit die, the first integrated circuit die including a first die connector; and
the second integrated circuit die is formed in the wafer, the second integrated circuit die including a contact pad and a second dielectric layer over the contact pad, the conductive via extending through the second dielectric layer, the conductive via being physically and electrically connected to the contact pad.
4. The method of claim 1, further comprising:
forming the first integrated circuit die, the first integrated circuit die including a contact pad and a second dielectric layer over the contact pad;
Forming the second integrated circuit die in the wafer, the second integrated circuit die including a first die connector, the conductive via being physically and electrically connected to the first die connector; and
after the bonding, a second die connector is formed that extends through the second dielectric layer, the second die connector being physically and electrically connected to the contact pad.
5. A method of forming an integrated circuit device, comprising:
bonding a first integrated circuit die to a wafer by dielectric-dielectric bonding, the wafer comprising a second integrated circuit die, wherein the second integrated circuit die does not comprise a redistribution structure;
forming a first dielectric layer around the first integrated circuit die;
patterning the first dielectric layer to form an opening exposing the first integrated circuit die;
forming a conductive via extending through the first dielectric layer, the conductive via connected to the second integrated circuit die;
dicing the wafer to form a die stack comprising the first integrated circuit die and the second integrated circuit die; and
a redistribution structure is formed on the die stack, the redistribution structure including a metallization pattern connecting the first integrated circuit die to the second integrated circuit die.
6. The method of claim 5, further comprising:
an encapsulant is formed around the die stack prior to forming the redistribution structure.
7. The method of claim 5, wherein forming the redistribution structure comprises forming the metallization pattern comprising conductive features having a pitch in a range of 0.8 μιη to 5 μιη.
8. A method of forming an integrated circuit device, comprising:
encapsulating a die stack with an encapsulant, the die stack comprising:
a bottom integrated circuit die comprising a first die connector, wherein the bottom integrated circuit die is free of redistribution structures;
a top integrated circuit die on the bottom integrated circuit die, a back side of the top integrated circuit die bonded to a front side of the bottom integrated circuit die, the bottom integrated circuit die being wider than the top integrated circuit die, the top integrated circuit die including a second die connector;
a first via adjacent to the top integrated circuit die, the first via connected to the first die connector; and
a first dielectric layer surrounding the first via and the top integrated circuit die, the first dielectric layer physically separating the first via from the top integrated circuit die; and
A redistribution structure is formed over the die stack and the encapsulant, the redistribution structure including a metallization pattern connecting the second die connection to the first via.
9. The method of claim 8, further comprising: the die stack is formed without solder, and the top integrated circuit die has no through substrate vias.
10. The method of claim 9, wherein forming the die stack comprises:
the die stack is singulated prior to packaging the die stack, the bottom integrated circuit die and the first dielectric layer being laterally co-terminal.
CN202311674385.5A 2019-05-31 2020-03-02 Method for forming integrated circuit device Pending CN117650063A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962855101P 2019-05-31 2019-05-31
US62/855,101 2019-05-31
US16/559,253 US11024605B2 (en) 2019-05-31 2019-09-03 Integrated circuit package and method
US16/559,253 2019-09-03
CN202010135576.4A CN112018060A (en) 2019-05-31 2020-03-02 Integrated circuit device and method of forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN202010135576.4A Division CN112018060A (en) 2019-05-31 2020-03-02 Integrated circuit device and method of forming the same

Publications (1)

Publication Number Publication Date
CN117650063A true CN117650063A (en) 2024-03-05

Family

ID=73264865

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010135576.4A Pending CN112018060A (en) 2019-05-31 2020-03-02 Integrated circuit device and method of forming the same
CN202311674385.5A Pending CN117650063A (en) 2019-05-31 2020-03-02 Method for forming integrated circuit device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202010135576.4A Pending CN112018060A (en) 2019-05-31 2020-03-02 Integrated circuit device and method of forming the same

Country Status (4)

Country Link
US (1) US20230067035A1 (en)
KR (1) KR102392419B1 (en)
CN (2) CN112018060A (en)
DE (1) DE102019125790B4 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220040537A (en) * 2020-09-23 2022-03-31 삼성전자주식회사 Semiconductor package
US11715723B2 (en) * 2021-02-26 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer on wafer bonding structure
KR20230039848A (en) 2021-09-14 2023-03-22 한국과학기술원 Curable siloxane resin composition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4851794B2 (en) * 2006-01-10 2012-01-11 カシオ計算機株式会社 Semiconductor device
US20130040423A1 (en) * 2011-08-10 2013-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of Multi-Chip Wafer Level Packaging
US9111896B2 (en) * 2012-08-24 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package semiconductor device
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
US10276541B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. 3D package structure and methods of forming same
US9524959B1 (en) 2015-11-04 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. System on integrated chips and methods of forming same
US9653391B1 (en) 2016-06-30 2017-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor packaging structure and manufacturing method thereof
US9825007B1 (en) * 2016-07-13 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US9768133B1 (en) * 2016-09-22 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US10535636B2 (en) * 2017-11-15 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating passive devices in package structures
JP6496389B2 (en) * 2017-11-28 2019-04-03 東芝メモリ株式会社 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
KR102392419B1 (en) 2022-05-02
DE102019125790A1 (en) 2020-12-03
US20230067035A1 (en) 2023-03-02
KR20200138642A (en) 2020-12-10
DE102019125790B4 (en) 2022-04-21
CN112018060A (en) 2020-12-01

Similar Documents

Publication Publication Date Title
US11443995B2 (en) Integrated circuit package and method
TWI697056B (en) Semiconductor device package and method
KR102401309B1 (en) Semiconductor devices and methods of forming the same
US11502062B2 (en) Integrated circuit package and method
US11855046B2 (en) Memory packages and methods of forming same
US11854921B2 (en) Integrated circuit package and method
US11450628B2 (en) Package structure including a solenoid inductor laterally aside a die and method of fabricating the same
US11749644B2 (en) Semiconductor device with curved conductive lines and method of forming the same
US20230067035A1 (en) Integrated Circuit Packages
CN110610907A (en) Semiconductor structure and method of forming a semiconductor structure
US11705343B2 (en) Integrated circuit package and method of forming thereof
US11735576B2 (en) Integrated circuit package and method
US20230378012A1 (en) Integrated Circuit Packages and Methods of Forming the Same
US20230260941A1 (en) Semiconductor Device and Method
US20220336393A1 (en) Integrated circuit package and method of forming thereof
CN220692015U (en) Semiconductor device with a semiconductor device having a plurality of semiconductor chips
CN113675161B (en) Package structure and method for forming the same
TWI758129B (en) Semiconductor packages
US20230260945A1 (en) Semiconductor structure and forming method thereof
CN113097184A (en) Semiconductor structure and forming method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination