CN117461111A - Dry-wet double-layer resist - Google Patents

Dry-wet double-layer resist Download PDF

Info

Publication number
CN117461111A
CN117461111A CN202280033028.8A CN202280033028A CN117461111A CN 117461111 A CN117461111 A CN 117461111A CN 202280033028 A CN202280033028 A CN 202280033028A CN 117461111 A CN117461111 A CN 117461111A
Authority
CN
China
Prior art keywords
layer
photoresist
pattern
dry
wet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280033028.8A
Other languages
Chinese (zh)
Inventor
安东·德维利耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority claimed from PCT/US2022/026697 external-priority patent/WO2022235475A1/en
Publication of CN117461111A publication Critical patent/CN117461111A/en
Pending legal-status Critical Current

Links

Landscapes

  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A method of patterning a substrate includes forming a multi-layer photoresist stack on a substrate. The multi-layer photoresist stack includes a dry photoresist layer deposited by vapor deposition over a wet photoresist layer deposited by spin-on deposition. A first photoresist layer is formed in the wet photoresist layer by exposing to a first pattern of actinic radiation at a first wavelength and developing a developable portion of the wet photoresist layer using a first development process. The first patterning exposes portions of the dry photoresist layer. A second relief pattern is formed in the dry photoresist layer by exposing to a second pattern of actinic radiation at a second wavelength and developing the developable portion of the dry photoresist layer using a second development process. The developable portion of the dry photoresist layer is defined by a second pattern of actinic radiation and a first pattern of photoresist.

Description

Dry-wet double-layer resist
Cross Reference to Related Applications
The present disclosure claims the benefit of U.S. provisional application nos. 63/183,128, 63/183,129, and 63/183,130, filed on 5.03 of 2021, each of which is incorporated herein by reference in its entirety.
Technical Field
The present disclosure relates generally to methods of micro-fabrication, and more particularly to photolithography and patterning.
Background
In material processing methodologies, such as photolithography, creating a patterned layer includes applying a thin layer of radiation-sensitive material, such as photoresist, to a working surface of a substrate. This radiation-sensitive material is converted into a patterned mask that can be used to etch or transfer a pattern into an underlying layer on a substrate. Patterning a radiation-sensitive material generally involves exposing the radiation-sensitive material through a reticle (and associated optics) by a radiation source using, for example, a lithography system (such as a scanner or stepper tool). Such exposure may then be followed by the use of a developing solvent to remove the irradiated or non-irradiated areas of the radiation-sensitive material, depending on the photoresist tone (tone) and the developer tone. The mask layer may include a plurality of sub-layers.
Disclosure of Invention
The present disclosure relates to a method of patterning a substrate.
Aspect (1) includes a method of patterning a substrate. The method includes forming a multi-layer photoresist stack on a substrate. The multi-layer photoresist stack includes a dry photoresist layer deposited by vapor deposition and a wet photoresist layer deposited by spin-on deposition. The wet photoresist layer is positioned over the dry photoresist layer. A first photoresist layer is formed in the wet photoresist layer by exposing to a first pattern of actinic radiation at a first wavelength and developing a developable portion of the wet photoresist layer using a first development process. The first patterning exposes portions of the dry photoresist layer. A second relief pattern is formed in the dry photoresist layer by exposing to a second pattern of actinic radiation at a second wavelength and developing the developable portion of the dry photoresist layer using a second development process. The developable portion of the dry photoresist layer is defined by a combination of the second pattern of actinic radiation and the first pattern of photoresist. The first relief pattern and the second relief pattern together form a combined relief pattern.
Aspect (2) includes the method of aspect (1), wherein the first wavelength is between 124 nanometers and 400 nanometers.
Aspect (3) includes the method of aspect (2), wherein the first wavelength is 198 nanometers.
Aspect (4) includes the method of aspect (1), wherein the second wavelength is between 10 nanometers and 124 nanometers.
Aspect (5) includes the method of aspect (4), wherein the second wavelength is 13.5 nanometers.
Aspect (6) includes the method of aspect (1) wherein the wet photoresist layer is sensitive to the first wavelength of actinic radiation because the first wavelength of actinic radiation alters the developability of the wet photoresist layer relative to the first development process.
Aspect (7) includes the method of aspect (1) wherein the dry photoresist layer is sensitive to the second wavelength of actinic radiation because the second wavelength of actinic radiation alters the developability of the dry photoresist layer relative to the second development process.
Aspect (8) includes the method of aspect (1), wherein at least one exposed region of the second pattern of actinic radiation is configured to partially overlap the first pattern of actinic radiation during exposure.
Aspect (9) includes the method of aspect (8), wherein a developability of the portion of the dry photoresist layer in the at least one exposed region and covered by the first photoresist pattern is unchanged relative to the second development process.
Aspect (10) includes the method of aspect (8), wherein a developability of a portion of the dry photoresist layer in the at least one exposed region and not covered by the first photoresist pattern is changed relative to the second development process.
Aspect (11) includes the method of aspect (1), wherein the second development process comprises vapor phase removal of the developable portion of the dry photoresist layer, or comprises removal of the developable portion of the dry photoresist layer using a liquid developer.
Aspect (12) includes the method of aspect (1), wherein forming the first photovoltaic pattern includes forming openings by an inverse spacer process.
Aspect (13) includes the method of aspect (1), wherein forming the first patterning includes forming a multi-line layer including the wet photoresist layer and a third material having a different etch resistance relative to the wet photoresist layer and the dry photoresist layer.
Aspect (14) includes the method of aspect (1) further comprising selectively depositing a fourth material on the exposed portion of one of the first relief pattern, the second relief pattern, and an underlying layer positioned below the second relief pattern.
Aspect (15) includes the method of aspect (1) further comprising performing an anisotropic etching process that transfers the combined relief pattern into an underlying layer positioned below the dry photoresist layer.
Aspect (16) includes the method of aspect (1), wherein the first patterning to expose portions of the dry photoresist layer includes etching one or more intermediate layers between the wet photoresist layer and the dry photoresist layer using the first patterning as an etch mask.
Aspect (17) includes the method of aspect (16), wherein etching the one or more intermediate layers includes etching an anti-reflective coating layer.
Aspect (18) includes the method of aspect (1), wherein the vapor deposition comprises Chemical Vapor Deposition (CVD), physical Vapor Deposition (PVD), atomic Layer Deposition (ALD), or epitaxial growth.
Aspect (19) includes a method of patterning a substrate. The method includes depositing a first photoresist film on a substrate by spin-coating deposition. The first photoresist film is sensitive to Ultraviolet (UV) radiation because UV radiation changes the solubility of the first photoresist film with respect to a particular developer. A second photoresist film is deposited on the substrate by vapor deposition. The second photoresist film is sensitive to Extreme Ultraviolet (EUV) radiation because exposure to EUV radiation alters the development potential of the second photoresist film relative to a particular development process. The second photoresist film is positioned below the first photoresist film. A first photoresist film is formed with a first pattern of photoresist by exposing to a first pattern of UV radiation and developing a soluble portion of the first photoresist film with a specific developer. Forming the first photoresist film includes exposing a portion of the second photoresist film. A second relief pattern is formed in the second photoresist film by exposing to a second pattern of EUV radiation and developing a developable portion of the second photoresist film using a particular development process. The developable portion of the second photoresist film is based on the second EUV radiation pattern and the first photovoltaic pattern. The first relief pattern and the second relief pattern together form a combined relief pattern.
Aspect (20) includes the method of aspect (19), wherein exposing the first pattern of UV radiation comprises exposing with light having a wavelength of 193nm, and exposing the second pattern of EUV radiation comprises exposing with light having a wavelength of 13.5 nm.
Note that this summary is not an identification of every embodiment and/or incremental novel aspect of the present disclosure or claimed invention. Rather, this summary merely provides a preliminary discussion of the various embodiments and novel aspects. For additional details and/or possible perspectives of the present invention and embodiments, the reader is directed to the detailed description section of the disclosure and the corresponding figures as discussed further below.
Drawings
Aspects of the disclosure are best understood from the following detailed description when read with the accompanying drawing figures. Note that the various features are not drawn to scale according to standard practice in the industry. In fact, the dimensions of the various features may be increased or decreased for clarity of discussion.
Fig. 1 shows a flow chart of a process for patterning a substrate according to one embodiment of the present disclosure.
Fig. 2A, 2B, 2C, 2D, and 2E illustrate vertical cross-sectional views of a substrate at various intermediate steps of patterning according to an exemplary embodiment of the present disclosure.
Fig. 2D' shows a top view of the substrate in fig. 2D according to an exemplary embodiment of the present disclosure.
Fig. 2E' shows a top view of the substrate in fig. 2E, according to an exemplary embodiment of the present disclosure.
Fig. 3 shows a flow chart of a process for patterning a substrate according to another embodiment of the present disclosure.
Fig. 4A, 4B, 4C, and 4D illustrate vertical cross-sectional views of a substrate at various intermediate steps of patterning, and fig. 4E' illustrates a top view of the substrate at these intermediate steps, according to an exemplary embodiment of the present disclosure.
Fig. 4C' shows a top view of the substrate in fig. 4C, according to an exemplary embodiment of the present disclosure.
Fig. 4D' shows a top view of the substrate in fig. 4D according to an exemplary embodiment of the present disclosure.
Fig. 5 shows a flow chart of a process for patterning a substrate according to yet another embodiment of the present disclosure.
Fig. 6A, 6B, 6C, 6D, and 6E illustrate vertical cross-sectional views of a substrate at various intermediate steps of patterning according to an exemplary embodiment of the present disclosure.
Fig. 6B' shows a waveform representation of the exposure intensity in fig. 6B according to an exemplary embodiment of the present disclosure.
Fig. 6Ei illustrates a vertical cross-sectional view of the substrate in fig. 6E, according to another embodiment of the present disclosure.
Fig. 6Eii shows a vertical cross-sectional view of the substrate in fig. 6E according to yet another embodiment of the present disclosure.
Detailed Description
The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, forming a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. Additionally, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Further, spatially relative terms, such as "top," "bottom," "below," "lower," "upper," and the like, may be used herein for ease of description to describe one element or feature's relationship to other element(s) or feature(s) as illustrated in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
For clarity, the order of discussion of the different steps as described herein has been presented. In general, the steps may be performed in any suitable order. In addition, although each of the different features, techniques, configurations, etc. herein may be discussed at a different point in the disclosure, it is intended that each concept may be performed independently of each other or in combination with each other. The invention may thus be embodied and regarded in many different ways.
Conventional photolithographic techniques for exposing patterns of radiation or light onto a substrate present various challenges that limit the size of the features exposed and limit the spacing or spacing between the features exposed. One technique for extended lithographic patterning is Extreme Ultraviolet (EUV) lithography (EUVL). EUVL has faced many challenges that have prevented it from being widely used in high volume manufacturing. One challenge is the photoresist film material to be used. EUV photoresist films include "wet resists" (also known as wet photoresists, wet deposition resists, and wet deposition photoresists) and "dry resists" (also known as dry photoresists, dry deposition resists, and dry deposition photoresists). Wet photoresist films are deposited by spin-on deposition. Photoresist in a solvent (in liquid or wet form) is deposited on the surface of the wafer. The wafer is rotated at a high rotational speed so that the dispensed photoresist covers the surface of the wafer. The solvent is evaporated and the spin-on resist film is baked. At this point, the wet resist film may be exposed to an EUV radiation pattern. The solubility transition agent in the wet resist may then cause a transition or change in solubility in response to exposure to EUV radiation. The solubility change may be from insoluble to soluble or from soluble to insoluble depending on the tone of the resist and developer used. Developing the wet resist film produces a relief pattern. However, this pattern may suffer from line collapse and relatively short film heights.
Another photoresist material that may be used with EUVL is known as a dry resist. Dry resists are photoresists deposited by vapor deposition rather than spin-coating a liquid composition. Vapor deposition may include, but is not limited to, chemical vapor deposition, physical vapor deposition, atomic layer deposition, epitaxial growth (e.g., molecular beam epitaxy), and the like. Both wet and dry EUV resists typically include a metal or metal oxide. After vapor deposition of the dry resist, the dry resist may be exposed to an EUV radiation pattern. This results in a shift in the developability of the dry resist, that is, this changes the developability of the EUV resist. Developability encompasses any technique of developing or removing a portion of a dry resist. There are different ways of developing a dry EUV resist film. This may depend on whether EUV exposure produces cross-linking or breaks bonding. One technique is to use a developer (liquid) that dissolves either the exposed dry resist or the unexposed dry resist. Another technique is to perform a gas phase removal process or dry etching (e.g., plasma etching, ion beam etching, reactive ion etching, etc.). Dry resists have advantages in terms of pattern material strength, but artifacts of EUVL exposure still exist.
One challenge of EUVL patterning is image fidelity. EUVL exposure of an incision means square or rectangular, but the result is generally not a clear rectangle and is generally described as an elongated or floppy soft candy with blurred ends. It will be appreciated that having a blurred end of the printed shape may result in a printed shape that is too long or too short. This may lead to failure of the corresponding device (e.g., transistor) being microfabricated.
However, the techniques herein solve the problem of blurred and unreliable EUV patterning. The techniques herein include a multi-layer photoresist stack or at least two different photoresist species. Specifically, this includes a multilayer resist stack in which a dry resist film is deposited, and then a wet resist film is deposited over the dry resist film. The wet resist film may be sensitive to EUV radiation or UV radiation, such as 193nm exposure. The combination of dry and wet resists enables EUV lithography to be patterned accurately and reliably. Without a wet resist film, EUV pattern ends may be blurred and inaccurate. In one embodiment, the wet resist film is exposed and developed into a relief pattern. For example, 193nm exposure may be performed, whether wet (immersion lithography) or dry. As the wet resist film now forms a relief pattern, portions of the dry resist are exposed. At this point, the substrate is exposed to the EUV radiation pattern. The openings (such as trenches) of the wet resist relief pattern may be relatively small, which may be 20 nm to 190 nm. This gap is too small for 193nm radiation to pass, but the wavelength of EUV exposure (typically 13.5 nm) is short enough to travel in the trench (between lines of wet resist). Wet photoresist lines may provide the benefit of intercepting or blocking the blurred end of EUV exposure. For example, EUV lines or rectangles are designed to extend longer than the width of the trench of wet resist material. The central body of the EUV line is then exposed to the exposed dry resist, while the wet resist relief pattern blocks the blurred end portions from being printed. Next, the dry resist film may be developed. The combined pattern may then be transferred into an underlying layer or used for site-specific selective deposition.
The present disclosure relates to patterning thin films and various layers on a substrate. Such patterning includes patterning for fabricating semiconductor devices within a photolithographic patterning scheme.
In accordance with some aspects of the present disclosure, the present invention includes patterning techniques that increase the efficiency of transferring a pattern to a substrate. Such patterning techniques may include resist materials that are multilayered from more than one resist technology or resist material and used together in a double patterning scheme. For example, a layer of dry deposition resist is deposited on a substrate, and then a layer of wet deposition resist is deposited on the dry resist.
Such a bilayer resist stack is beneficial because wet deposited resist may be exposed with a conventional 193nm exposure, while underlying dry deposited resist may remain unaffected or contacted by the 193nm exposure. The kerf mask can then be completed, for example, using EUV exposure, thereby positioning the kerf in situ into a dry deposition resist, where the wet deposition resist provides one-dimensional lines and spaces, which are preferred under imaging conditions to optimize illumination. The EUV exposure may then be used for its intensity, i.e. to provide a kerf. In this example, a final transfer etch may be performed, or one or more selective patterning processes (e.g., selective deposition, selective removal, selective substitution, etc.) may be performed to reverse or partially reverse the pattern. It should be appreciated that such wet and dry bilayer resist stacks have many alternative flows, process schemes and multilayer structures.
Wet deposition photoresist films (also known as wet resist films) are known. Wet resist films are deposited by spin coating. Wet deposited photoresist films include wet resists and are typically organic films comprising polymers, epoxy resins or resins, and photoactive agents. In response to light or actinic radiation, the wet resist may polymerize, decompose, or crosslink, or otherwise alter the solubility with respect to a particular solvent.
Dry deposited photoresist films (also known as dry resist films) are known. Dry resist films are so named because they are not deposited in liquid form (e.g., wet resist), but in gaseous or vapor form, thereby forming a resist film without baking to remove solvent as in wet resist films. Deposition of the dry resist film may be accomplished by Atomic Layer Deposition (ALD), chemical Vapor Deposition (CVD), physical Vapor Deposition (PVD), or other dry deposition techniques. Dry resist films are typically homogeneous films that are stable to air and visible light, and often contain one or more metals to aid in reacting with EUV photons. Subsequent baking or annealing steps may be required to induce crosslinking and densification. The developable areas of the dry resist may be soluble in a liquid developer. The developable areas of the dry resist may also be reactive or developable to the vapor phase chemical mixture for removal. The dry deposition film thickness can be linearly increased with deposition time compared to typical spin-coating processes, and is therefore easy to control.
The techniques herein are applicable to any method or structure that uses more than one resist species within a stack (patterned stack or layer stack). In some embodiments, a dry resist layer is positioned below the wet resist layer and used in combination with multiple masks (rather than just one mask, such as multiple kerf masks). The top pattern may have any two-dimensional (2D) geometry to enhance the bottom mask. The techniques herein may be combined with other techniques (such as an inverse spacer flow) to create a pitch double top mask that may then be cleared or further defined by a second or subsequent mask. Because 193nm and 13.5nm radiation is typically used between exposures, the second mask can be modulated by its actinic radiation difference. Other wavelengths may be included as desired. Alternatively, the dose may be modulated, wherein a particular dose affects the first pattern/film in the bilayer resist film stack, but not the second film, for example in two 193nm exposures. In this example, relatively high doses of 193nm radiation visualize or create cuts, and then one-dimensional 193nm exposure is used for lines and spaces.
Accordingly, the techniques herein may be applied to any patterning scheme, arrangement, or combination in which two different resist types or substances or techniques are used together. The two different resist materials differ in that they differ in mechanism. For example, the dry resist may include a selective epitaxial layer, a gas applied resist, a single layer resist, and/or a selective catalytic resist, which is placed under a conventional spin-on wet resist to form a bilayer resist solution. Combinations of materials of this series may be used herein.
Example embodiments provide any two-dimensional transfer of a multilayer resist to a substrate, whether it be directly from selective deposition, catalytic selective deposition, a change in the catalytic underlayer for selective deposition, direct etching, metal etching, or from multiple patterned dielectric etching comprising two layers of material (wet and dry resists) or substrate etching. In a preferred embodiment, the wet resist is positioned over the dry resist, but embodiments may include a dry resist positioned over the wet resist for combinatorial patterning. Embodiments may also include a first dry resist positioned over a second dry resist, which may be further positioned over a third dry resist. Herein, the first dry resist may be exposed to patterned 193nm radiation to form lines and trenches between the lines. The second dry resist or the third dry resist may be exposed to patterned EUV radiation, for example, to form an incision.
As a non-limiting example, a dry resist material is formed on the target layer. This target layer may be a temporary memory layer or transfer layer or hard mask layer or other functional layer or intermediate layer for forming the device/structure. Next, a conventional photoresist stack is formed on the dry resist layer. A conventional photoresist stack may include a bottom antireflective coating (BARC) layer, and a wet deposited photoresist film (spin coating) is formed on the BARC layer. There may be three or more layers in the stack. An antireflective coating (ARC) provides good relief for improved image fidelity and resolution of photolithographic patterning, but the ARC layer is optional for this resist stack (dry resist, BARC on dry resist, and wet resist on BARC). At this point, 193nm patterned exposure is run/performed, which affects the wet resist film by forming a latent pattern within the wet resist film.
Any 193nm (dry or immersion) or other exposure wavelength can be implemented herein. In one example, a lithography tool with interferometric one-dimensional imaging, a "scan 1D" tool, may be used to pattern a wet resist film. This results in a one-dimensional line across the exposure area. These wires may be very high precision wires. Some 193nm scanners can provide interference pattern type grating patterns that operate at or near 37 nanometers. Starting from this example, the resist layer stack is exposed and developed to form a relief pattern from a wet photoresist (wet deposited photoresist) layer. For embodiments using BARCs, a BARC etch may then be performed to expose the dry resist layer. Alternatively, a layer of developable BARC (D-BARC) may be used, such that the exposed BARC area may be removed using a developing solvent and a coater-developer tool. At this time, the wet resist layer forms a first landing pattern, and the exposed portion of the BARC layer is also removed, such that the first landing pattern is formed over the dry resist layer, and portions of the dry resist layer are not covered by the first landing pattern.
The dry resist is formulated to react with EUV photons or photons of different wavelengths. The dry resist layer is not affected during the process of forming the wet resist relief pattern. In other words, the dry resist layer essentially ignores exposure of the wet resist layer to lower energy photons. At this time, the resist layer stack includes a complete dry resist layer, and the wet resist layer defines a wet resist relief pattern over the dry resist layer. It is well known that for EUV exposure, no ARC layer is required at all.
In some embodiments, a second patterned lithographic exposure or EUV exposure is performed. This second exposure is a photolithographic patterned exposure in which the substrate (including the layer stack) is exposed to a second pattern of actinic radiation. Patterning of the radiation exposure may be mask-based or direct-write. For higher resolution imaging, mask-based (photomask) exposure is typically performed. This second exposure may be used to further define patterns for composite patterns or double patterns or multiple patterns. For example, EUV may be used for kerfs or line ends. Conventionally, forming lines and incisions would be a complex process that forms incisions in the transfer medium and into the layers and film stacks to record the film stacks and then reconstruct the photoresist stacks to form the lines. However, with the techniques herein, such conventional processes are not required. With the techniques herein, the dry resist has been positioned below the wet resist and is not contacted or altered. Furthermore, the dry resist is accessible between the lines of the wet resist relief pattern. That is, the dry resist layer is accessible (from above or from top-down perspective) through trenches, holes or other openings of the wet resist relief pattern. This means that the upper relief pattern (line) provides self-alignment for the kerf from EUV exposure. Thus, one embodiment provides a self-aligned kerf directly into the bilayer resist stack.
In some embodiments, incisions or other exposures from EUV may "extend into" the wet deposited photoresist material in the upper relief pattern. In other words, a relatively large exposure (or a relatively low resolution exposure) may be performed that not only covers the target location, but also extends beyond the target location, for example into or below the photoresist material line in the upper/wet relief pattern. This may be EUV exposure of higher energy photons of about 13.5 nm. EUV exposure, which impinges on the dry resist film through the openings defined by the upper relief pattern, in turn becomes "soluble" or "developable" or otherwise removable in a subsequent dry development step. Alternatively, depending on the EUV resist composition, the exposed areas may become crosslinked or insoluble or otherwise non-removable in a particular development process. In a dry development chamber or module, wet photoresist material may be essentially ignored by the dry development process because wet resist development and dry resist development use different mechanisms. Accordingly, a dry development process removes portions of the dry resist that have been exposed to the EUV radiation pattern and are accessible through the openings of the upper relief pattern.
Thus, self-aligned cuts or other openings are formed with or in the dry resist layer. These cuts or other openings are aligned with or positioned/formed relative to the wet relief pattern or use an upper relief pattern to aid in alignment. The result is a kerf that is self-aligned to the same pattern or color (material) scheme in the same foundation layer and the same planarization layer, as the same stack is used for both 193nm exposure and EUV exposure. Accordingly, the end result is a seamlessly integrated double patterning technique that uses both dry and wet deposited films to achieve a good double patterning process. Prior to the techniques herein, double patterning was problematic because both resist films were wet deposited resist films and the acid released from one film affected the other film. EUV kerfs in dry films can themselves be problematic for high fidelity imaging, but when wet resist films are used on dry resist films, sharp EUV kerfs are ultimately achieved. Without a wet deposited resist film on a dry EUV resist film, EUV incisions may be inaccurate and inconsistent.
Accordingly, the dry resist may be developed in the trenches of the wet resist relief pattern. EUV photons can travel within a relatively small trench space and expose the exposed dry resist within the trench space. This is typically not done with dual or two 193nm exposures because 193nm light experiences two linewidths and 193nm printed lines of a first 193nm exposure may block a second 193nm exposure. One advantage of 13.5nm (weak x-ray) exposure is that 13.5nm exposure can essentially ignore gratings and barriers formed by previous 193nm exposure. That is, although the grating is formed on the substrate, the grating (e.g., 193nm printed line) is significantly larger than the wavelength of EUV (13.5 nm), which means that due to the width of the opening, EUV wavelengths can very cleanly and effectively expose the dry resist film, ignoring the upper features, and EUV exposure will only expose the dry resist down in the trench. In other words, EUV exposure will expose only the exposed portions of the dry photoresist and thus be self-aligned. Although EUV radiation may pass through the trenches, the lines of the wet resist may be configured to block or sufficiently attenuate EUV radiation so that EUV radiation does not cause developability transitions directly under the wet resist.
Such self-alignment of wet resists may mitigate the adverse effects of EUV printing. EUV exposure of a kerf, for example, means square or rectangular, but in the related example, the result of printing in a bare dry resist pattern is typically not a clear square or rectangle. In contrast, the printed result is generally described as an elongated or floppy soft candy with a blurry end. Such a shape is not preferable and is one reason why dry EUV resists themselves are unreliable. However, in the case of a wet resist film on top, the blurred end portions are truncated, thereby providing self-aligned cuts. The result is a self-aligned kerf in the EUV dry resist film where only the very bottom of the trench is exposed as a clear slot. Conventional EUV suffers from line-end pullback and line-end variability. EUV incisions are typically short lines, with the majority of variability on the end (or tip) of this line. This is an artifact that is part of EUV imaging. Placing the ends of the marshmallow on or under 193nm resist lines means that only the waist or center/middle portion of the line cut of marshmallow is transferred by EUV exposure. That is, the EUV exposed pattern overlaps the 193nm resist pattern in a region corresponding to the end of the paste. During EUV exposure, the exposed portion of the dry resist corresponding to the waist of the soft candy is exposed, while the portion of the dry resist corresponding to the end of the soft candy is covered by 193nm resist lines and is therefore not exposed. It is then this very well defined waist of the fondant that defines the slit. Accordingly, a usable or well-defined EUV incision can be achieved using a wet resist film on a dry resist film. In the related art, the ends of EUV kerfs are variable, ambiguous, and it is difficult to predict where they will fall on the substrate, but the techniques herein eliminate this variability. Many prior art techniques involve the aspect ratio of these cuts. Many etching techniques are used to help mitigate the blurred end, but with dry resists alone, these techniques may be unsatisfactory. However, combining wet resist with dry resist means that line end variability is not considered significant or is ignored. With the techniques herein, there is a relaxed tolerance in a sense. The kerfs (short exposure lines) can be designed to be slightly longer than usual printing and then those variable and blurred ends fall above/below the 193nm resist pattern, which essentially makes the effective EUV patterning exposure silhouette.
It should be appreciated that the techniques herein may be applied to many microfabricated patterning purposes. For example, the methods herein provide a self-aligned dual resist species self-aligned kerf process for metallization with EUV and 193nm lithography. The techniques herein may also be applied to polysilicon metallization. Other examples include dual damascene metallization with copper and other materials, or direct etching of ruthenium, patterning techniques for active patterns of devices. Self-alignment of metal nos. 1 and 2 and vias is another example application. The techniques herein may also be applied to the truncation and diffusion interruption of the fins, as well as to the application of the kerf mask to the upward of the shallow trench formation module up to either end quad-definition of fin formation, or the rear end quad-definition to complete the first metal No. 1 pitch, or any metal N-layer or between metal layers to enhance metal pitch capability. The techniques herein may also be used for contacts, or any particular two-dimensional transfer that is useful for a corresponding device.
It should be noted that some EUV photons may pass through the wet resist film and affect the dry resist film, but the exposure here may be insignificant, as the presence of the wet resist film (on which the blurred end will fall) will block the directional etch transfer of the combined pattern in a subsequent step. Furthermore, wet resists are not fully transmissive, so there is a decay of EUV exposure. Even air is not transparent to EUV, which is why air is removed from the EUV chamber. Thus, wet resists may help attenuate and cut off portions of the EUV pattern that do not need printing. However, some EUV penetration may be required here to reduce scum at the edges of the exposure. But most of the fairly thick material will attenuate EUV.
It should be appreciated that many multi-layer resist stacks may be applied herein. Alternative techniques herein include wet resists positioned on dry resists on wet resists; wet resist over dry resist; wet resist over dry resist. Thus, the techniques herein may include any stack of N photochemical species (i.e., a stack of N resist layers, where N represents the number of resist layers in the stack), with a combination of multiple resist species. One from the wet world (wet resist) and one from the dry world (dry resist), in any arrangement. For example, for wet resists on dry resists on wet resists, the dry resist film protects the acid from the underlying wet resist film from the top wet resist film. It will be appreciated that a number of benefits are realized and that the resist stack may be tailored to the corresponding microfabrication process.
The multi-layer resist stack herein may include intermediate layers and interface films, such as memory layers, ARC films, and the like. In a related example, with a dual resist stack on top, direct current stack freezing can freeze one wet resist layer to use the underlying wet resist layer. Accordingly, in the techniques herein, known photoresist means may be used on top of the layer of dry resist. With wet and dry resists, there is now dual exposure capability without the need for freezing. Conventional freezing may be performed on top. Other conventional techniques include cross-linking heat freezing, negative development grooves, positive counter spacers, and the like. All of these patterning techniques can be performed on a dry deposited resist layer. Such self-aligned self-cutting may then be combined with any multi-patterning technique or mask formed over (or under) the dry deposited photoresist layer. It should be appreciated that many families and types of application spaces may be implemented herein. In many embodiments herein, there is at least one series of wet photoresist species that interacts with one series of dry photoresist species, as wet resist on the dry resist makes the dry resist useful for exposing the dry resist.
Other embodiments herein may not necessarily require etching transfer. Instead of etch transfer, the next patterning step after forming the composite pattern is to perform a selective patterning process, such as selective deposition, selective etching, and selective substitution. Selective deposition of materials is one such process: in this process, a particular material is deposited on the surface of the material(s) on the substrate, but not on the surface of other material (or another material) that is also exposed. Other materials may be in contact with and/or spaced apart from the deposited material. Such processes and materials are known. New selective deposition processes are also under development. Selective deposition generally uses vapor deposition, typically using precursors. For example, there may be a single layer of deposited material. The monolayer becomes a catalyst or seed layer for selective deposition and then patterning continues.
After both wet and dry deposited resist films are patterned and developed, there are exposed surfaces of at least three different materials, including wet resist, dry resist, and underlying layers. Thus, a selective deposition process may be developed to deposit on any one or two of three different materials. In one embodiment, a selective deposition substance is applied to the underlying layer. Next, the wet resist and the dry resist are excavated, thereby leaving selectively deposited substances on the substrate. In another embodiment, material is selectively deposited over the exposed portions of the dry resist. Next, the wet resist is removed and the newly exposed portions of the dry resist are removed, while some of the dry resist remains and is covered by the selectively deposited material. This is a technique to create a self-aligned retention mask. Thus, selective deposition for use with the combination patterns herein may achieve tonal reversal. Accordingly, many different integration schemes are contemplated herein.
So-called self-aligned block techniques may also be used herein. Wet resist and dry resist can be used as both colors (materials). The fill material between the wet resist lines from the inverse spacer process may provide additional selectivity and form a multi-color layer, such as a multi-line layer. Once such other colors/materials (i.e., filler materials) are introduced, a multicolor patterning scheme can be achieved: A-B-C-B-A. For example, B may be a dry resist. Material a may be a wet resist and material C may be a filler material.
The self-aligned three-color scheme can result in three-quarters of self-alignment by 193nm exposure to produce an ase:Sub>A-B-C-B-ase:Sub>A block pattern, which can be further patterned by EUV exposure. For example, 193nm exposure may define the distance between resist lines. For example, a wide trench is formed between two lines of wet resist, thus revealing the underlying dry resist. EUV exposure is used to build the dry resist into ase:Sub>A platform, and at this point with two exposures, relatively wide 193 features can bridge within the trench with EUV for higher definition, and with two exposures, an ase:Sub>A-B-C-B-ase:Sub>A self-aligned block pattern can be formed. That is, using a kerf mask and a positive dry resist, a line kerf can be formed between two lines defined by 193nm exposure. However, using the same kerf mask and negative dry resist, a land connecting or bridging the two lines may instead be formed. It should be noted that the application with the cuts is merely exemplary-any lithographic patterning may be used herein. In the case of lines of two different materials on the dry resist layer, this provides a self-aligned block with three-quarters coverage enhancement when these lines are crossed vertically with a third mask. The multi-line layer formed from the wet and dry bilayer resist stack may provide a self-aligned cut or remain in the isolation regions for quarter pitch, half pitch, and three-quarter pitch self-alignment. Accordingly, the self-aligned block structure may come directly from the double exposure.
Embodiments may include various materials under the bilayer stack, such as SiN under a dry resist. Also, dry resists do not require an anti-reflective coating because 193nm exposure does not affect the dry resist, and EUV resists do not require an anti-reflective coating because the reflectivity of EUV photons is very small. Other embodiments may have a hard mask layer underneath where N and K are tuned. An underlying inorganic anti-reflective coating such as TiOx may be used.
Some photoresist compositions are reactive/sensitive to two different wavelengths. For example, some photoresist compositions are reactive/sensitive to both EUV and 193nm exposure. The technology herein includes different dosage ranges. Thus achieving complete mixing and matching. Previously, there was no way to prevent one feature from fundamentally affecting another feature. But now with wet and dry resists, patterning techniques can be separated by dose and/or acid. There is no concern about plasma exposure, which degrades the photoresist to single use. Based on the photoresist type, a location specific region of interest is provided. An example embodiment is EUV pitch double metallization, which is used to provide a holding mask or a mask defining circuit elements to be held.
Another benefit of the bilayer resist stack is that because the resist stack still has dry resist, the increased dose may affect the dry resist. The retention mask may use a different dose than the failure mask. Embodiments may be used to achieve or disable selective deposition.
Other embodiments include dual tone exposures for patterning two photoresist layers in a single exposure. In this embodiment, the photoresist stack is formed with a wet deposition resist layer formed over the dry deposition resist layer. The photoresist stack is exposed to a mask-based exposure having a dose that varies based on spatial position. This results in some regions receiving high doses of radiation, other regions receiving medium doses of radiation, and other regions receiving low doses (or no doses) of radiation, where the amounts of doses are related to each other. The photoresist response may depend on dose control, and the amount of dose received controls whether there is a solubility/developability transition at the layers of the photoresist stack.
The developability or solubility transition depends on the composition of the resist, i.e., whether the resist is developable or undeveloped upon exposure to actinic radiation. In this embodiment, both resist layers may be configured to be sensitive to the same wavelength (such as EUV radiation). Thus, a single exposure can simultaneously distinguish between positive and negative tonality. The reason that attempts at dual tone exposure have not been effective prior to the techniques herein is because it is not possible to divide the photoresist system into areas that do not interfere with each other. A single photoacid is present and it will mix together and diffuse through the two wet resist layers, thus failing the double-tone exposure attempt. But with the techniques herein one resist is a dry deposited resist and the other resist is a wet deposited resist. These two resists differ in mechanism and therefore there is no interference. For example, photoacids diffused from a polymer-based wet photoresist do not affect the underlying metal-based or metal oxide-based dry photoresist.
Accordingly, the present disclosure provides a method of dual tone exposure using different resist materials. With a sufficiently strong dose, the high dose region will reach and affect the underlying dry deposited resist by wet depositing the resist. The mid-dose region will not have sufficient strength to affect the solubility/developability of the dry deposited resist layer, but the mid-dose region has sufficient dose to affect the wet deposited photoresist layer. And then the low dose areas do not have enough radiation to affect either photoresist layer. For example, this exposure may include a sinusoidal dose gradient. This sinusoidal aspect is inherent in mask-based small scale exposure. Accordingly, a composite pattern or relief pattern of two different resist layers may be formed by a single bi-modulating EUV exposure.
Fig. 1 illustrates a flow chart of a method 100 for patterning a substrate according to an exemplary embodiment of the present disclosure. Fig. 2A, 2B, 2C, 2D, and 2E illustrate vertical cross-sectional views of a substrate 200 at various intermediate steps of a patterning process, such as process 100, according to an exemplary embodiment of the present disclosure.
The process 100 begins at step S110 where a multi-layer photoresist stack is formed on a substrate. The multi-layer photoresist stack includes a dry photoresist layer deposited by vapor deposition and a wet photoresist layer deposited by spin-on deposition. The wet photoresist layer is positioned over the dry photoresist layer.
Fig. 2A may illustrate some embodiments of step S110. As shown, the substrate 200 may include a wafer 201 (or a segment of a wafer) and a multi-layer photoresist stack 210 formed over the wafer 201. Specifically, a dry photoresist layer 211 of a multi-layer photoresist stack 210 is formed over wafer 201 by vapor deposition. Next, a wet photoresist layer 215 of the multi-layer photoresist stack 210 is formed over the dry photoresist layer 211 by spin coating deposition. Thus, in this example, the multi-layer photoresist stack 210 is also referred to as a bilayer photoresist stack 210.
As used herein, "vapor deposition" generally refers to one such deposition process: in this deposition process, the substrate (or wafer, etc.) is exposed to one or more gaseous species that react, decompose, and/or condense on the substrate to deposit the desired material on the substrate. Vapor deposition may include, but is not limited to, chemical Vapor Deposition (CVD), physical Vapor Deposition (PVD), atomic Layer Deposition (ALD), epitaxial growth (e.g., molecular beam epitaxy), and the like. In contrast to spin-on deposition, vapor deposition generally does not require dissolving the photoresist in a liquid or solvent. Thus, a resist material deposited by vapor deposition is referred to as a dry resist, a dry photoresist, a dry deposition resist, or a dry deposition photoresist, and a resist material deposited by spin-coating deposition is referred to as a wet resist, a wet photoresist, a wet deposition resist, or a wet deposition photoresist.
In the example of fig. 2A, an anti-reflective coating (ARC) layer 213 is formed between the dry photoresist layer 211 and the wet photoresist layer 215. In another example (not shown), the wet photoresist layer 215 may be formed directly on (or in direct contact with) the dry photoresist layer 211. It should be understood that other intermediate layers and planarizing films may alternatively be formed. Further, in this embodiment, a lower layer 203 is formed over the wafer 201 and under the bilayer photoresist stack 210. In an alternative embodiment (not shown), bilayer photoresist stack 210 may be formed directly on wafer 201. For example, bilayer photoresist stack 210 may be formed directly on bulk silicon.
As previously explained, in alternative embodiments, the multi-layer photoresist stack 210 may include two or more photoresist layers including at least one dry photoresist layer and at least one wet photoresist layer arranged in other combinations or arrangements. Herein, the bilayer photoresist stack 210 including the dry photoresist layer 211 and the wet photoresist layer 215 is used for illustration purposes in the examples of fig. 2A-2E.
Referring back to fig. 1, process 100 then proceeds to step S120, where a first landing pattern is formed in the wet photoresist layer by exposing to a first pattern of actinic radiation at a first wavelength and developing the developable portion of the wet photoresist layer using a first development process. The first patterning exposes portions of the dry photoresist layer.
Fig. 2B and 2C may illustrate some embodiments of step S120. In fig. 2B, mask-based exposure is performed using a first wavelength. That is, the wet photoresist layer 215 is exposed to a first pattern of actinic radiation at a first wavelength through the first mask 205. For example, the first wavelength may be between 124 nanometers and 400 nanometers. In particular, any conventional wavelength may be used, such as I-line, H-line, G-line, etc. Preferably, 193nm exposure is used, which can form features (e.g., lines or trenches) having widths substantially less than 193 nm. For purposes of illustration, a pattern of lines of actinic radiation is shown. For simplicity, ARC layer 213 is not shown in fig. 2B and below.
In fig. 2C, the wet photoresist layer 215 is developed using a first development process (such as wet etching or dry etching), preferably using a developer, to produce a first photoresist pattern 230 (or a wet deposited resist relief pattern). Thus, the first landing pattern 230 exposes the dry photoresist layer 211. For example, the first landing pattern 230 may include lines 231 (e.g., the remaining portion of the wet photoresist layer 215), and trenches 233 are formed between the lines 231. Accordingly, the dry photoresist layer 211 is exposed through the trench 233. It should be appreciated that in some embodiments, when a Bottom ARC (BARC) layer is used, the BARC layer may be etched or developed using the first underlayer pattern as an etch mask, such that the dry photoresist layer 211 is exposed. Similarly, in some embodiments, the first underlayer between the wet photoresist layer 215 and the dry photoresist layer 211 may be removed using the first underlayer pattern as an etch mask.
In fig. 1, at step S130, a second relief pattern is formed in the dry photoresist layer by exposing to a second pattern of actinic radiation at a second wavelength and developing the developable portion of the dry photoresist layer using a second development process. The developable portion of the dry photoresist layer is defined by a combination of the second pattern of actinic radiation and the first pattern of photoresist. The first relief pattern and the second relief pattern together form a combined relief pattern.
Fig. 2D and 2E may illustrate some embodiments of step S130. Fig. 2D 'and 2E' illustrate top views of the substrate 200 in fig. 2D and 2E, respectively, according to an exemplary embodiment of the present disclosure.
In some embodiments, fig. 2D illustrates a vertical cross-sectional view taken along section line AA 'in fig. 2D'. Herein, a second pattern of actinic radiation of a second wavelength is performed through a second mask 207. For example, the second wavelength may be between 10 nanometers and 124 nanometers. In particular, 13.5nm exposure may be performed.
In top view (fig. 2D'), the exposed areas (e.g., 244a, 244b, and 244 c) are shown in black. It should be noted that the exposed regions may extend across the respective trenches 233. Consider, for example, exposure region 244a. The exposure region 244a includes a middle portion 243a and two opposite end portions 241a. The two opposite end portions 241a are configured to overlap the first photovoltaic pattern 230 during exposure. That is, the two opposite end portions 241a overlap the respective lines 231, while the middle portion 243a is in a region corresponding to the respective grooves 233 between the respective lines 231. Thus, the developability of the exposed portions of the dry photoresist layer 211 (e.g., 211 a) exposed to the second pattern of actinic radiation is altered relative to a second development process such as wet etching (e.g., using a developer) or dry etching (e.g., plasma etching, ion beam etching, or reactive ion etching). In contrast, although in the exposure region (e.g., 244 a), the developability of the covered portion (e.g., 211 b) of the dry photoresist layer 211 may remain unchanged with respect to the second development process. This is because the respective lines 231 may be configured to block or sufficiently attenuate EUV radiation so as not to induce a developability transition underneath.
In some embodiments, fig. 2E shows a vertical cross-sectional view taken along section line BB 'in fig. 2E'. Here, the second developing process is performed. In this example, dry photoresist layer 211 comprises a positive resist material. Accordingly, the exposed portion (e.g., 211 a) of the dry photoresist layer 211 (i.e., the region in the exposed region (e.g., 244 a) and not covered by the first patterning 230) is removed by the second developing process. In contrast, the covered portion (e.g., 211 b) of the dry photoresist layer 211 in the exposed region (e.g., 244 a) is not removed. Thus, the developable portion of the dry photoresist layer 211 is defined by the combination of the second pattern of actinic radiation and the first pattern of photoresist 230.
Accordingly, the second relief pattern 240 is formed in the dry photoresist layer 211. The second relief pattern 240 may include cutouts (e.g., 245a, 245b, and 245 c) that are self-aligned between the respective lines 231. For example, the cutout 245a corresponds to the intermediate portion 243a of the exposure region 244 a. Notably, the exposure area 244a is truncated: the two opposite end portions 241a are not printed in the dry photoresist layer 211, and the pattern of the middle portion 243a is transferred to the dry photoresist layer 211. Thus, the blurred end of EUV kerfs, which are common in the related art, can be truncated by using the techniques herein.
Further, the combined first and second relief patterns 230, 240 may form a combined relief pattern for further processing, such as to etch transfer highly accurate self-aligned shapes or cuts. For example, an anisotropic etching process may be performed that transfers the combined relief pattern to an underlying layer positioned below the dry photoresist layer 211, such as underlying layer 203.
Still referring to fig. 2A-2E and 2D 'to 2E', the first wavelength 198 nanometers and the second wavelength 13.5 nanometers are used herein for illustrative purposes only. As previously described, the first wavelength may be between 124 nanometers and 400 nanometers, and the second wavelength may be between 10 nanometers and 124 nanometers. Of course, other wavelengths or other combinations of wavelengths may be used. For example, the first wavelength and the second wavelength may both be in the EUV range, such as 13.5 nanometers. Accordingly, the first pattern of actinic radiation may be defective at the line ends, but a middle portion of the line may be used to intercept the second pattern of actinic radiation.
Fig. 3 illustrates a flow chart of a process 300 for patterning a substrate according to another embodiment of the present disclosure. Fig. 4A, 4B, 4C, and 4D illustrate vertical cross-sectional views of a substrate 400 at various intermediate steps of a patterning process (such as process 300) and fig. 4E' illustrates a top view of the substrate 400 at these intermediate steps according to an exemplary embodiment of the disclosure.
The process 300 begins at step S310, where a multi-layer photoresist stack is formed on a substrate. The multi-layer photoresist stack includes a first layer of wet photoresist deposited by spin-on deposition and a second layer of dry photoresist deposited by vapor deposition. The first layer is positioned over the second layer. In some embodiments, step S310 may correspond to step S110.
Fig. 4A may illustrate some embodiments of step S310. As shown, the substrate 400 includes a wafer 401 and a multi-layer photoresist stack 410 formed over the wafer 401. Specifically, a dry photoresist layer 411 of the multi-layer photoresist stack 410 is formed on the substrate 400 by vapor deposition. Next, a wet photoresist layer 415 of the multi-layer photoresist stack 410 is formed over the dry photoresist layer 411 by spin-coating deposition. Thus, in this example, the multi-layer photoresist stack 410 is also referred to as a bilayer photoresist stack 410. In addition, the multi-layer photoresist stack 410 may further include an ARC layer 413 (not shown in subsequent figures), and the lower layer 403 may optionally be disposed under the multi-layer photoresist stack 410.
In some embodiments, fig. 4A may correspond to fig. 2A. Specifically, wafer 401 corresponds to wafer 201. Lower layer 403 corresponds to lower layer 203. The multi-layer photoresist stack 410 corresponds to the multi-layer photoresist stack 210. The dry photoresist layer 411 corresponds to the dry photoresist layer 211. Wet photoresist layer 415 corresponds to wet photoresist layer 215.ARC layer 413 corresponds to ARC layer 213. Note that wet photoresist layer 415 includes wet photoresist 415 'and is also referred to as first layer 415 of wet photoresist 415'. The dry photoresist layer 411 includes a dry photoresist 411', and is also referred to as a second layer 411 of dry photoresist 411'.
Next, the process 300 in fig. 3 proceeds to step S320, wherein a first landing pattern is formed in the first layer by exposing to a first pattern of actinic radiation at a first wavelength and developing a developable portion of the first layer using a first development process. The first landing pattern exposes a portion of the second layer. In some embodiments, step S320 may correspond to step S120.
Fig. 4B may illustrate some embodiments of step S320. Accordingly, fig. 4B may correspond to fig. 2C. That is, the multi-layer photoresist stack 410 may undergo a process similar to that shown in fig. 2B and 2C. The description has been provided above, and for simplicity, will be omitted herein. Accordingly, a first voltage pattern 430 corresponding to the first voltage pattern 230 is formed in the first layer 415. The first voltage pattern 430 may include a line 431 corresponding to the line 231. A groove 433 corresponding to the groove 233 is formed between the lines 431.
Referring back to fig. 1, at step S330, a multi-color (multi-material) layer of a first photovoltaic pattern is formed. The multicolor layer includes a wet photoresist and a third material different from the wet photoresist and the dry photoresist.
Fig. 4C and 4C' may illustrate some embodiments of step S330. In particular, fig. 4C may show a vertical sectional view taken along a section line CC 'in fig. 4C'. As shown, a multi-color layer is formed that includes wet photoresist 415 'and a third material 417' that is different from wet photoresist 415 'and dry photoresist 411'. For example, the third material 417' may have a different etch resistance to a particular etching process relative to the wet photoresist 415' and the dry photoresist 411 '. The third material 417 may also have a different deposition selectivity in the selective deposition process relative to the wet photoresist 415 'and the dry photoresist 411'.
In some embodiments, the multi-color layer is a multi-line layer that includes lines of wet photoresist 415' and lines of third material 417', as shown in a top view (fig. 4C '). The lines of wet photoresist 415' and the lines of third material 417' are alternately arranged in the multi-color layer and spaced apart from each other, thus exposing portions of dry photoresist 411'. As a result, three lines or three colors of material are accessible from a top view or directional etching perspective. Any of these lines or materials may be etched independently of the other lines or materials. For example, the dry photoresist 411' may be etched at this point to transfer very thin lines (or openings) into the homogeneous dry resist material or into the underlying layer. This multi-line layer is called a self-aligned block. In this embodiment, the wet photoresist is material a, dry photoresist material B, and third material C. Thus forming ase:Sub>A repeating pattern of alternating lines, the pattern being ase:Sub>A-B-C-B-ase:Sub>A (repeating ase:Sub>A-B-C-B). There are three intermediate material lines from A to A or from C to C. From B to B, there is an intermediate line of material. Thus, this approach may provide three-quarter pitch self-alignment or one-quarter pitch self-alignment.
In some embodiments, the multicolor layer is formed by an inverse spacer process (not shown). The anti-spacer process may include filling the opening (e.g., trench 433 in fig. 4B) of the wet photoresist 415' with a protective layer material (e.g., third material 417 '), diffusing a solubility transition agent (e.g., acid) across the boundary of the wet photoresist 415', and developing a developable portion of the wet photoresist 415' or a developable portion of the protective layer material (e.g., third material 417 '). In one embodiment, after 193nm resist lines (e.g., lines of wet photoresist 415') are formed, a developable protective layer (not shown) is deposited. The acid within the 193nm printed line may then diffuse out of the line and into the protective layer material. That is, the acid diffuses from the lines of wet photoresist 415' to the protective layer material. The length of diffusion can be precisely controlled by the baking time and temperature. The diffusion length from the boundary of 193nm line into the protective layer material becomes soluble and is then developed. This leaves alternating lines of wet photoresist 415' and third material 417', between which dry photoresist 411' is accessible (exposed).
In another embodiment, after forming the lines of wet photoresist 415', acid may diffuse from the third material 417' to the lines of wet photoresist 415 '. The diffusion length from the boundaries of 193nm lines to 193nm lines becomes soluble and is subsequently developed. In yet another embodiment, after forming the lines of wet photoresist 415', an anti-spacer generator (ASG) coating (not shown) may be formed on the substrate 400. The solubility transition agent diffuses from the ASG coating to the lines of wet photoresist 415 'and is baked before rinsing the ASG coating and forming the third material 417' on the substrate 400.
Furthermore, in some examples, the diffusion of the solubility transition agent across the boundary of the wet photoresist 415', whether entering or exiting the wet photoresist 415', may be further spatially controlled by a (second) patterned 193nm exposure (not shown).
Next, the process 300 in fig. 3 proceeds to step S340, in which a selective patterning process is performed on the exposed portion of one or two of the wet photoresist, the dry photoresist, and the third material. In some embodiments, a patterned layer is formed on a substrate to protect certain areas of the substrate from the selective patterning process.
Fig. 4D, 4D 'and 4E' may illustrate some embodiments of step S340. In particular, fig. 4D 'may show a cross-sectional view taken along the section line DD' in fig. 4D. As shown, the self-aligned block may be further functionalized using another etch mask formed on the substrate 400 to expose sections of the multi-line layer. That is, a patterned layer 404 (e.g., a relief pattern or an etch mask) may be formed on the substrate 400. Thus, the first voltage pattern 430 is partially covered by some openings (e.g., 450a, 450b, and 450 c). It should be noted that three different length slots are shown herein for illustrative purposes, but any shape of opening may be formed.
Next, a selective patterning process may be performed on the self-aligned blocks. In one embodiment, the selective patterning process includes selectively depositing a fourth material on the exposed portions of one or both of the wet photoresist 415', the dry photoresist 411', and the third material 417 '. In another embodiment, the selective patterning process includes selectively etching exposed portions of one or both of the wet photoresist 415', the dry photoresist 411', and the third material 417 '. In yet another embodiment, the selective patterning process includes selectively replacing exposed portions of one or both of the wet photoresist 415', the dry photoresist 411', and the third material 417' with a fourth material. In other words, a selective removal process is performed before the fourth material is formed or deposited.
Fig. 4E' shows an example result of a selective patterning process. In opening 450a, the line of wet photoresist 415' may be targeted for etch removal, selective deposition, or selective replacement, as shown by black shape 455 a. In opening 450b, the line of dry photoresist 411' may be targeted for etch removal, selective deposition, or selective replacement, as shown by black shape 455 b. In opening 450c, the line of third material 417' may be targeted for etch removal, selective deposition, or selective replacement, as shown by black shape 455 c. It should be noted that the results shown for openings 450a, 450b, and 450c are independent of each other, meaning that black shapes 455a, 455b, and 455c are formed in separate processes (not simultaneously), and are shown together for illustrative purposes.
Although not shown, in some embodiments, a second relief pattern is formed in the second layer 411 by exposing to a second pattern of actinic radiation at a second wavelength and developing the developable portion of the second layer 411 using a second development process. For example, the second relief pattern may be formed by a process similar to that shown in fig. 2D, 2D ', 2E, and 2E'. The description has been provided above, and for simplicity, will be omitted herein. Further, the second relief pattern may be formed before or after step S340 in fig. 3, for example, before or after the selective patterning process in fig. 4D, 4D 'and 4E'.
The techniques described herein provide several advantages. For example, the techniques herein may be used to form buried power rails, self-aligned cuts, isolation between target shapes (e.g., dummy metal during fabrication), and so forth. The techniques herein may also enable formation of self-aligned block structures or multi-color patterning by using a wet and dry bilayer photoresist stack, thus simplifying the fabrication process.
Fig. 5 shows a flow chart of a process 500 for patterning a substrate according to yet another embodiment of the present disclosure. Fig. 6A, 6B, 6C, 6D, and 6E illustrate vertical cross-sectional views of a substrate 600 at various intermediate steps of a patterning process, such as process 500, according to an exemplary embodiment of the disclosure. In particular, fig. 6A-6E may demonstrate the dual modulation advantage of having a dual material dual layer photoresist stack.
The process 500 begins at step S510, where a multi-layer photoresist stack is formed on a substrate. The multi-layer photoresist stack includes a first layer of wet photoresist deposited by spin-on deposition and a second layer of dry photoresist deposited by vapor deposition. The first layer is positioned over the second layer. Step S510 herein may correspond to step S110 in fig. 1 and step 310 in fig. 3.
Fig. 6A may illustrate some embodiments of step S510. As shown, the substrate 600 includes a wafer 601 and a multi-layer photoresist stack 610 formed over the wafer 601. Specifically, a dry photoresist layer 611 of the multi-layered photoresist stack 610 is formed on the substrate 600 by vapor deposition. Next, a wet photoresist layer 615 of the multi-layer photoresist stack 610 is formed over the dry photoresist layer 611 by spin-coating deposition. Thus, in this example, the multi-layer photoresist stack 610 is also referred to as a bilayer photoresist stack 610. In addition, the multi-layer photoresist stack 610 may further include an ARC layer 613 (not shown in subsequent figures), and a lower layer 603 of a third material 603' may optionally be disposed under the multi-layer photoresist stack 610.
In some embodiments, fig. 6A may correspond to fig. 2A (and fig. 4A). Specifically, wafer 601 corresponds to wafer 201. The lower layer 603 corresponds to the lower layer 203. The multilayer photoresist stack 610 corresponds to the multilayer photoresist stack 610. The dry photoresist layer 611 corresponds to the dry photoresist layer 211. Wet photoresist layer 615 corresponds to wet photoresist layer 215.ARC layer 613 corresponds to ARC layer 213. Note that wet photoresist layer 615 includes wet photoresist 615', and is also referred to as first layer 615 of wet photoresist 615'. The dry photoresist layer 611 includes a dry photoresist 611', and is also referred to as a second layer 611 of dry photoresist 611'.
Next, process 500 in fig. 5 proceeds to step S520, where the multi-layer photoresist stack is exposed to a first pattern of actinic radiation including a relative, spatially varying dose of actinic radiation. The first pattern of actinic radiation includes a high dose region, a medium dose region and a low dose region. In particular, the multilayer photoresist stack and the first pattern of actinic radiation are configured such that after exposing the multilayer photoresist stack to the first pattern of actinic radiation: in the high dose region, developability of both the first layer and the second layer is changed; in the mid-dose region, the developability of the first layer changes while the developability of the second layer is unchanged; and in the low dose region, the developability of both the first layer and the second layer is unchanged.
Fig. 6B may illustrate some embodiments of step S520. After the bilayer resist stack 610 is formed, the bilayer resist stack 610 is exposed to a first pattern of actinic radiation. The dose gradient of the first pattern of actinic radiation is indicated by the dashed lines of different densities. As shown, the first pattern of actinic radiation may include opposing, spatially varying doses of actinic radiation, such as high dose regions 661, medium dose regions 663, and low dose regions 665. The high dose region 661 of radiation has sufficient energy to affect both the wet photoresist layer 615 and the dry photoresist layer 611 (e.g., by changing developability). The mid-dose region 663 may affect the wet photoresist layer 615, but there is insufficient dose to affect the underlying dry photoresist layer 611 in these locations. The low dose region 665 may not have enough radiation or no radiation (e.g., no dose region) to affect the wet photoresist layer 615 or the dry photoresist layer 611. In a non-limiting example, the dose gradient includes a substantially or essentially sinusoidal profile in the XZ plane, as shown in fig. 6B', which shows a waveform representing the exposure intensity on the substrate segment in fig. 6B.
Further, wet photoresist layer 615 and dry photoresist layer 611 may be configured to be sensitive to actinic radiation of the same wavelength (also referred to as a first wavelength). For example, the same wavelength may be between 10 nanometers and 124 nanometers, such as 13.5 nanometers. In other words, the first pattern of actinic radiation may comprise a pattern of EUV radiation.
In fig. 6C, the bilayer photoresist stack 610 is developed. First, the wet photoresist layer 615 is developed to form a first photoresist pattern 630 by a first development process (such as wet etching or dry etching), preferably using a corresponding liquid developer. Next, the dry photoresist layer 611 is developed by a second developing process such as wet etching (e.g., using a developer) or dry etching (e.g., plasma etching, ion beam etching, or reactive ion etching) to form a second relief pattern 640. It should be noted that the first relief pattern 630 and the second relief pattern 640 together form a combined relief pattern formed with a single exposure (i.e., the first pattern of actinic radiation).
In a non-limiting example, wet photoresist layer 615 and dry photoresist layer 611 each comprise a positive resist material. Thus, in the high dose region 661 and the medium dose region 663, the wet photoresist layer 615 is removed by the first developing process; in the high dose region 661, the dry photoresist layer 611 is removed by the second developing process. Thus, a portion of the lower layer 603 is exposed. In addition, the three materials (i.e., wet photoresist 615', dry photoresist 611', and third material 603 ') are exposed and accessible from a top view or directional etch perspective.
Furthermore, in some embodiments, one or more intermediate layers (e.g., ARC layer 613) between wet photoresist layer 615 and dry photoresist layer 611 are etched or developed using first underlayer pattern 630 as an etch mask prior to developing dry photoresist layer 611.
Subsequently, further masking and patterning may be performed, similar to that described for fig. 4C 'to 4E'. In some embodiments, a selective patterning process may be performed on exposed portions of one or both of the wet photoresist 615', the dry photoresist 611', and the third material 603 '. In some embodiments, a patterned layer may be formed on the substrate 600 to protect certain areas of the substrate 600 from the selective patterning process. For example, in fig. 6D, a patterned layer 606 (e.g., another relief pattern or a subsequent mask) may be formed on the substrate 600 to reveal selected portions (e.g., 611 a) of the combined relief pattern.
Subsequently, various patterning processes may be performed. In one example (fig. 6E), the substrate 600 in fig. 6D may be used to remove additional portions (e.g., exposed portions 611 a) of the dry photoresist 611'. Thus, the third material 603' (shown as 603 a) is further exposed. In another example (fig. 6 Ei), a fourth material 608 'is selectively deposited only on the exposed portions (e.g., 611 a) of the dry photoresist 611'. In yet another example (fig. 6 Eii), exposed portions (e.g., 603 a) of the third material 603' are selectively etched and cutouts or openings (e.g., 657 a) are formed in the lower layer 603. Thus, a portion of the pattern (e.g., the combined relief pattern and patterned layer 606) is transferred into the underlying layer 603. Fig. 6E, 6Ei, and 6Eii are just a few example patterning options. It should be appreciated that any number of additional patterning techniques may be combined with the bilayer resist stack (e.g., 610) of two different resist species herein.
Further, in some embodiments, bilayer resist stack 610 may be exposed to a second pattern of actinic radiation and developed to alter the combined relief pattern. The second pattern of actinic radiation may be performed with or without patterned layer 606. The second pattern of actinic radiation may be performed before or after the selective patterning process. In some embodiments, at least one of the wet photoresist 615 'and the dry photoresist 611' is configured to be sensitive to two different wavelengths (e.g., 198nm and 13.5 nm). Thus, the second pattern of actinic radiation may comprise using a wavelength different from the first wavelength or using the same wavelength as the first wavelength.
In the previous description, specific details have been set forth, such as specific geometries of processing systems and descriptions of various components and processes used therein. However, it should be understood that the techniques herein may be practiced in other embodiments that depart from these specific details, and that such details are for purposes of explanation and not limitation. Embodiments disclosed herein have been described with reference to the accompanying drawings. Similarly, for purposes of explanation, specific numbers, materials and configurations are set forth in order to provide a thorough understanding. However, embodiments may be practiced without such specific details. Components having substantially the same functional configuration are denoted by like reference characters, and thus any redundant description may be omitted.
Various techniques have been described as multiple discrete operations to aid in the understanding of the various embodiments. The order of description should not be construed as to imply that these operations are necessarily order dependent. Indeed, these operations need not be performed in the order of presentation. The described operations may be performed in a different order than the described embodiments. In additional embodiments, various additional operations may be performed and/or described operations may be omitted.
As used herein, "substrate" or "wafer" generally refers to an object being processed according to the present invention. The substrate may comprise any material portion or structure of a device, in particular a semiconductor or other electronic device, and may be, for example, a base substrate structure (e.g., a semiconductor wafer, a reticle), or a layer on or overlying a base substrate structure (e.g., a thin film). Thus, the substrate is not limited to any particular underlying structure, layer or layer that has been patterned or unpatterned, but is contemplated to include any such layer or underlying structure, and any combination of layers and/or underlying structures. The description may refer to a particular type of substrate, but this is for illustrative purposes only.
The substrate may be any suitable substrate, such as a silicon (Si) substrate, a germanium (Ge) substrate, a silicon germanium (SiGe) substrate, and/or a silicon-on-insulator (SOI) substrate. The substrate may comprise a semiconductor material, such as a group IV semiconductor, a group III-V compound semiconductor, or a group II-VI oxide semiconductor. The group IV semiconductor may include Si, ge, or SiGe. The substrate may be a bulk wafer or an epitaxial layer.
Those skilled in the art will also appreciate that many changes can be made to the operation of the techniques explained above, while still achieving the same objects of the invention. The scope of the present disclosure is intended to cover such variations. Thus, the foregoing description of embodiments of the invention is not intended to be limiting. Rather, any limitations to the embodiments of the invention are presented in the appended claims.

Claims (60)

1. A method of patterning a substrate, the method comprising:
forming a multi-layer photoresist stack on a substrate, the multi-layer photoresist stack including a dry photoresist layer deposited by vapor deposition and a wet photoresist layer deposited by spin-on deposition, the wet photoresist layer being positioned over the dry photoresist layer;
forming a first relief pattern in the wet photoresist layer by exposing to a first pattern of actinic radiation at a first wavelength and developing a developable portion of the wet photoresist layer using a first development process, the first relief pattern exposing a portion of the dry photoresist layer; and
A second relief pattern is formed in the dry photoresist layer by exposing to a second pattern of actinic radiation at a second wavelength and developing a developable portion of the dry photoresist layer using a second development process, the developable portion of the dry photoresist layer being defined by a combination of the second pattern of actinic radiation and the first relief pattern, the first relief pattern and the second relief pattern together forming a combined relief pattern.
2. The method of claim 1, wherein the first wavelength is between 124 nm and 400 nm.
3. The method of claim 2, wherein the first wavelength is 198 nanometers.
4. The method of claim 1, wherein the second wavelength is between 10 nm and 124 nm.
5. The method of claim 4, wherein the second wavelength is 13.5 nanometers.
6. The method of claim 1, wherein the wet photoresist layer is sensitive to the first wavelength of actinic radiation because the first wavelength of actinic radiation alters the developability of the wet photoresist layer relative to the first development process.
7. The method of claim 1, wherein the dry photoresist layer is sensitive to the second wavelength of actinic radiation because the second wavelength of actinic radiation alters the developability of the dry photoresist layer relative to the second development process.
8. The method of claim 1, wherein at least one exposure area of the second pattern of actinic radiation is configured to partially overlap the first pattern of actinic radiation during exposure.
9. The method of claim 8, wherein,
the developability of the portion of the dry photoresist layer in the at least one exposed region and covered by the first photoresist pattern is unchanged relative to the second development process.
10. The method of claim 8, wherein,
the developability of the portion of the dry photoresist layer in the at least one exposed region and not covered by the first photoresist pattern is changed relative to the second development process.
11. The method of claim 1, wherein the second development process comprises vapor phase removal of a developable portion of the dry photoresist layer or comprises removal of a developable portion of the dry photoresist layer using a liquid developer.
12. The method of claim 1, wherein forming the first photovoltaic pattern comprises forming an opening by an inverse spacer process.
13. The method of claim 1, wherein forming the first patterning includes forming a multi-line layer including the wet photoresist layer and a third material having a different etch resistance relative to the wet photoresist layer and the dry photoresist layer.
14. The method of claim 1, further comprising selectively depositing a fourth material on exposed portions of one of the first relief pattern, the second relief pattern, and an underlying layer positioned below the second relief pattern.
15. The method of claim 1, further comprising performing an anisotropic etching process that transfers the combined relief pattern into an underlying layer positioned below the dry photoresist layer.
16. The method of claim 1, wherein the first patterning to expose portions of the dry photoresist layer comprises etching one or more intermediate layers between the wet photoresist layer and the dry photoresist layer using the first patterning as an etch mask.
17. The method of claim 16, wherein etching the one or more intermediate layers comprises etching an anti-reflective coating layer.
18. The method of claim 1, wherein the vapor deposition comprises Chemical Vapor Deposition (CVD), physical Vapor Deposition (PVD), atomic Layer Deposition (ALD), or epitaxial growth.
19. A method of patterning a substrate, the method comprising:
depositing a first photoresist film on a substrate by spin-coating deposition, the first photoresist film being sensitive to Ultraviolet (UV) radiation because the UV radiation changes the solubility of the first photoresist film with respect to a particular developer;
Depositing a second photoresist film on the substrate by vapor deposition, the second photoresist film being sensitive to Extreme Ultraviolet (EUV) radiation because exposure to EUV radiation alters the development potential of the second photoresist film relative to a particular development process, the second photoresist film being positioned below the first photoresist film;
forming a first photoresist film in the first photoresist film by exposing to a first pattern of UV radiation and developing a soluble portion of the first photoresist film with a specific developer, forming the first photoresist film including exposing a portion of the second photoresist film; and
a second relief pattern is formed in the second photoresist film by exposing to a second EUV radiation pattern and developing a developable portion of the second photoresist film using the particular development process, the developable portion of the second photoresist film being based on the second EUV radiation pattern and the first relief pattern, the first relief pattern and the second relief pattern together forming a combined relief pattern.
20. The method of claim 19, wherein,
exposing the first pattern of UV radiation comprises exposing with light having a wavelength of 193nm, an
Exposing the second EUV radiation pattern comprises exposing using light of a wavelength of 13.5 nm.
21. A method of patterning a substrate, the method comprising:
forming a multi-layer photoresist stack on a substrate, the multi-layer photoresist stack comprising a first layer of wet photoresist deposited by spin-on deposition and a second layer of dry photoresist deposited by vapor deposition, the first layer positioned over the second layer;
forming a first relief pattern in the first layer by exposing to a first pattern of actinic radiation at a first wavelength and developing a developable portion of the first layer using a first development process, the first relief pattern exposing a portion of the second layer;
forming a multicolor layer of the first photovoltaic pattern, the multicolor layer comprising the wet photoresist and a third material different from the wet photoresist and the dry photoresist; and
a selective patterning process is performed on the exposed portions of one or both of the wet photoresist, the dry photoresist, and the third material.
22. The method of claim 21, further comprising forming a patterning layer on the substrate to expose selected areas of the substrate to the selective patterning process.
23. The method of claim 21, wherein performing the selective patterning process comprises selectively depositing a fourth material on exposed portions of one or both of the wet photoresist, the dry photoresist, and the third material.
24. The method of claim 21, wherein performing the selective patterning process comprises selectively etching exposed portions of one or two of the wet photoresist, the dry photoresist, and the third material.
25. The method of claim 21, wherein performing the selective patterning process comprises selectively replacing exposed portions of one or both of the wet photoresist, the dry photoresist, and the third material with a fourth material.
26. The method of claim 21, wherein forming the multi-color layer comprises performing an inverse spacer process.
27. The method of claim 26, wherein performing the inverse spacer process comprises:
filling the opening of the wet photoresist with a protective layer material;
diffusing a solubility transition agent across a boundary of the wet photoresist; and
developing the developable portion of the wet photoresist or the developable portion of the protective layer material.
28. The method of claim 21, wherein the multi-color layer comprises a multi-line layer comprising lines of the wet photoresist and lines of the third material alternately arranged and spaced apart from each other, thereby exposing portions of the dry photoresist.
29. The method of claim 21, further comprising forming a second relief pattern in the second layer by exposing to a second pattern of actinic radiation at a second wavelength and developing a developable portion of the second layer using a second development process, the developable portion of the second layer being defined by a combination of the second pattern of actinic radiation and the first relief pattern, the first relief pattern and the second relief pattern together forming a combined relief pattern.
30. The method of claim 29, wherein the second wavelength is between 10 nm and 124 nm.
31. The method of claim 30, wherein the second wavelength is 13.5 nanometers.
32. The method of claim 29, wherein the second layer is sensitive to the second wavelength of actinic radiation because the second wavelength of actinic radiation alters the developability of the second layer relative to the second development process.
33. The method of claim 29, wherein the second development process comprises vapor phase removal of the developable portion of the second layer or comprises removal of the developable portion of the second layer using a liquid developer.
34. The method of claim 29, wherein the exposed portion of the dry photoresist is partially covered using a third relief pattern formed over the combined relief pattern.
35. The method of claim 21, wherein the first wavelength is between 124 nm and 400 nm.
36. The method of claim 35, wherein the first wavelength is 198 nanometers.
37. The method of claim 21, wherein the first layer is sensitive to the first wavelength of actinic radiation because the first wavelength of actinic radiation alters the developability of the first layer relative to the first development process.
38. The method of claim 21, wherein said selective patterning process is performed on exposed portions of said dry photoresist.
39. A method of patterning a substrate, the method comprising:
depositing a first photoresist film on a substrate by spin-coating deposition, the first photoresist film being sensitive to Ultraviolet (UV) radiation because the UV radiation changes the solubility of the first photoresist film with respect to a particular developer;
depositing a second photoresist film on the substrate by vapor deposition, the second photoresist film being sensitive to Extreme Ultraviolet (EUV) radiation because exposure to EUV radiation alters the development potential of the second photoresist film relative to a particular development process, the second photoresist film being positioned below the first photoresist film;
forming a first patterning pattern in the first photoresist film by exposing to a first pattern of UV radiation and developing a soluble portion of the first photoresist film using the particular developer, the forming the first patterning pattern comprising forming a multi-color layer by an inverse spacer process, wherein the multi-color layer comprises the first photoresist film and a third material different from the first photoresist film and the second photoresist film;
Forming a second relief pattern in the second photoresist film by exposing to a second EUV radiation pattern and developing a developable portion of the second photoresist film using the particular development process, the developable portion of the second photoresist film being based on the second EUV radiation pattern and the first relief pattern, the second relief pattern exposing portions of an underlying layer, the first relief pattern and the second relief pattern together forming a combined relief pattern; and
a fourth material is selectively deposited on the exposed portion of one of the first relief pattern, the second relief pattern, and the underlying layer.
40. The method of claim 39, wherein,
exposing the first pattern of UV radiation comprises exposing with light having a wavelength of 193 nm, an
Exposing to the second EUV radiation pattern includes exposing using light of 13.5 nm wavelength.
41. A method of patterning a substrate, the method comprising:
forming a multi-layer photoresist stack on a substrate, the multi-layer photoresist stack comprising a first layer of wet photoresist deposited by spin-on deposition and a second layer of dry photoresist deposited by vapor deposition, the first layer positioned over the second layer; and
Exposing the multilayer photoresist stack to a first pattern of actinic radiation comprising opposed, spatially varying doses of actinic radiation, the first pattern of actinic radiation comprising high-dose regions, medium-dose regions and low-dose regions,
wherein the multilayer photoresist stack and the first pattern of actinic radiation are configured such that, after exposing the multilayer photoresist stack to the first pattern of actinic radiation,
in these high dose areas, the developability of both the first layer and the second layer is changed,
in these mid-dose regions, the developability of the first layer changes while the developability of the second layer is unchanged, and
in these low dose regions, the developability of both the first layer and the second layer is unchanged.
42. The method of claim 41, wherein the first layer and the second layer are sensitive to actinic radiation of the same wavelength.
43. The method of claim 42, wherein the same wavelength is between 10 nm and 124 nm.
44. The method of claim 43, wherein the same wavelength is 13.5 nanometers.
45. The method of claim 41, further comprising:
Developing the first layer to form a first photovoltaic pattern; and
the second layer is developed to form a second relief pattern, thereby exposing portions of the underlying layer of the third material, the first relief pattern and the second relief pattern together forming a combined relief pattern.
46. The method of claim 45, further comprising performing a selective patterning process on exposed portions of one or both of the wet photoresist, the dry photoresist, and the third material.
47. The method of claim 46, further comprising forming a patterning layer on the substrate to expose selected areas of the substrate to the selective patterning process.
48. The method of claim 46, wherein performing the selective patterning process comprises selectively depositing a fourth material on exposed portions of one or both of the wet photoresist, the dry photoresist, and the third material.
49. The method of claim 46, wherein performing the selective patterning process comprises selectively etching exposed portions of one or both of the wet photoresist, the dry photoresist, and the third material.
50. The method of claim 46, wherein performing the selective patterning process comprises selectively replacing exposed portions of one or both of the wet photoresist, the dry photoresist, and the third material with a fourth material.
51. The method of claim 46, further comprising transferring the combined relief pattern into the underlying layer by anisotropic etching.
52. The method of claim 46, wherein said selective patterning process is performed on exposed portions of said dry photoresist.
53. The method of claim 45, further comprising etching one or more intermediate layers between the first layer and the second layer using the first landing pattern as an etch mask.
54. The method of claim 53, wherein etching the one or more intermediate layers comprises etching an anti-reflective coating layer.
55. The method of claim 45, further comprising exposing the multi-layer photoresist stack to a second pattern of actinic radiation.
56. The method of claim 45, wherein,
developing the first layer includes vapor removing a developable portion of the first layer, or includes removing a developable portion of the first layer using a liquid developer, and
developing the second layer includes vapor phase removing a developable portion of the second layer or includes removing a developable portion of the second layer using a liquid developer.
57. The method of claim 41, wherein, after exposing the multi-layer photoresist stack to the first pattern of actinic radiation:
In the high dose areas and the medium dose areas, the first layer is configured to become developable, and
in these high dose regions, the second layer is configured to become developable.
58. The method of claim 41, wherein the first pattern of actinic radiation comprises a dose gradient that is substantially sinusoidal in a plane perpendicular to the working surface of the substrate.
59. A method of patterning a substrate, the method comprising:
forming a multi-layer photoresist stack on a substrate, the multi-layer photoresist stack including a dry photoresist layer deposited by vapor deposition and a wet photoresist layer deposited by spin-on deposition, the wet photoresist layer being positioned over the dry photoresist layer; and
exposing the multilayer photoresist stack to a pattern of actinic radiation comprising varying the relative amounts of actinic radiation at spatial locations on the substrate, the pattern of actinic radiation comprising high-dose regions, medium-dose regions and low-dose regions,
wherein the composition of the multi-layer photoresist stack is selected such that, as a result of exposure to the pattern of actinic radiation,
in these high dose regions, the developability of both the dry photoresist layer and the wet photoresist layer is unchanged,
In these mid-dose regions, the developability of the wet photoresist layer changes while the developability of the dry photoresist layer is unchanged, and
in these low dose regions, the developability of both the dry photoresist layer and the wet photoresist layer is changed.
60. The method of claim 59, wherein the wet photoresist layer and the dry photoresist layer are each sensitive to actinic radiation using light of a wavelength of 13.5 nm.
CN202280033028.8A 2021-05-03 2022-04-28 Dry-wet double-layer resist Pending CN117461111A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202163183130P 2021-05-03 2021-05-03
US63/183,130 2021-05-03
US63/183,129 2021-05-03
US63/183,128 2021-05-03
PCT/US2022/026697 WO2022235475A1 (en) 2021-05-03 2022-04-28 Wet-dry bilayer resist

Publications (1)

Publication Number Publication Date
CN117461111A true CN117461111A (en) 2024-01-26

Family

ID=89586029

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280033028.8A Pending CN117461111A (en) 2021-05-03 2022-04-28 Dry-wet double-layer resist

Country Status (1)

Country Link
CN (1) CN117461111A (en)

Similar Documents

Publication Publication Date Title
US6514849B1 (en) Method of forming smaller contact size using a spacer hard mask
US8652763B2 (en) Method for fabricating dual damascene profiles using sub pixel-voting lithography and devices made by same
US8119533B2 (en) Pattern formation in semiconductor fabrication
KR101541609B1 (en) Patterning approach to reduce via to via minimum spacing
JP2009534870A (en) How to shorten the minimum pitch in a pattern
US8455162B2 (en) Alignment marks for multi-exposure lithography
WO2017132381A1 (en) Method and system for forming memory fin patterns
US9911604B1 (en) Sidewall spacer pattern formation method
US6636294B2 (en) Microdevice and structural components of the same
US6589713B1 (en) Process for reducing the pitch of contact holes, vias, and trench structures in integrated circuits
KR20210139474A (en) Substrate Processing Method Using Multiline Patterning
JP2001351849A (en) Method of manufacturing semiconductor device, mask for photolithography and its manufacturing method
US7368225B1 (en) Two mask photoresist exposure pattern for dense and isolated regions
CN117461111A (en) Dry-wet double-layer resist
US20220350247A1 (en) Wet-dry bilayer resist
CN108231550B (en) Method for manufacturing semiconductor device
JP2000021978A (en) Photomask and pattern formation method
US6514874B1 (en) Method of using controlled resist footing on silicon nitride substrate for smaller spacing of integrated circuit device features
KR20040046702A (en) Method for forming fine pattern of semiconductor device using double exposure
US11646224B2 (en) Method of fabricating semiconductor structure
TW202401517A (en) Self aligned multiple patterning method
CN117790420A (en) Semiconductor structure and preparation method thereof
JP2000347420A (en) Formation of resist pattern
JPH11214510A (en) Method for self alignment pattern formation
JPH07263330A (en) Formation of resist pattern

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination