CN117420728A - Method for manufacturing semiconductor device and photoresist composition - Google Patents

Method for manufacturing semiconductor device and photoresist composition Download PDF

Info

Publication number
CN117420728A
CN117420728A CN202311239301.5A CN202311239301A CN117420728A CN 117420728 A CN117420728 A CN 117420728A CN 202311239301 A CN202311239301 A CN 202311239301A CN 117420728 A CN117420728 A CN 117420728A
Authority
CN
China
Prior art keywords
group
alkyl
polymer
photoresist
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311239301.5A
Other languages
Chinese (zh)
Inventor
何俊智
林进祥
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/200,495 external-priority patent/US20240126170A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117420728A publication Critical patent/CN117420728A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

A method of manufacturing a semiconductor device includes forming a photoresist layer including a photoresist composition over a substrate. The photoresist layer is selectively exposed to actinic radiation and the selectively exposed photoresist layer is developed to form a pattern in the photoresist layer. The photoresist composition comprises a polymer comprising a plurality of monomer units having a photocleavable promoter, wherein the photocleavable promoter is one or more selected from the group consisting of living radical polymerization chain transfer agents, electron withdrawing groups, bulky two-dimensional (2-D) or three-dimensional (3-D) organic groups, N- (acyloxy) phthalimides, and electronically excited free radical generators.

Description

Method for manufacturing semiconductor device and photoresist composition
Technical Field
The present disclosure relates to photoresist compositions comprising polymers and methods of using the photoresist compositions of polymers to manufacture semiconductor devices.
Background
As consumer devices become smaller and smaller according to consumer needs, the size of the individual components of these devices also necessarily decreases. Semiconductor devices constituting the main components of devices such as cellular phones, computer tablets, or the like are constantly under pressure, and the demands are becoming smaller and smaller, and the respective devices (e.g., transistors, resistors, capacitors, etc.) to which the corresponding pressures are applied within the semiconductor devices are also being reduced in size.
One enabling technique used in the fabrication process of semiconductor devices is the use of photolithographic materials. Such a material is applied to the surface of the layer to be patterned and then exposed to energy which has itself been patterned. Such exposure changes the chemical and physical properties of the exposed areas of the photosensitive material. Such a change, along with no change in the areas of the photosensitive material that are not exposed, may be employed to remove one area without removing another area, or vice versa.
However, as the size of the respective devices has decreased, process windows for photolithography processes have become more and more compact. Accordingly, advances in the art of photolithography processes are necessary to maintain the ability to scale down devices, and further improvements are needed to meet desired design criteria so that progression toward smaller and smaller components can be maintained.
As the semiconductor industry has evolved into nanotechnology process nodes in pursuit of higher device density, higher performance, and lower cost, challenges exist in reducing semiconductor feature sizes. Extreme ultraviolet lithography (Extreme ultraviolet lithography, EUVL) has been developed to form smaller semiconductor device feature sizes and to increase device density on semiconductor wafers. In Extreme Ultraviolet (EUV) technology, yield is one of the key factors in the cost of manufacture. To further increase the euv technical fidelity by throughput, the power of the euv radiation from the euv exposure tool may be increased or the photospeed of the Photoresist (PR) may be increased. The desired manufacturing goal is a yield of greater than 250 wafers per hour.
Disclosure of Invention
Some embodiments of the present disclosure provide a method of manufacturing a semiconductor device, comprising: forming a photoresist layer over the substrate, the photoresist layer comprising a photoresist composition; exposing the photoresist layer selectively to actinic radiation; and developing the selectively exposed photoresist layer to form a pattern in the photoresist layer, wherein the photoresist composition comprises a polymer comprising a plurality of monomer units having a plurality of photo-cleavage promoters; and the photocleavable promoter is one or more selected from the group consisting of a living radical polymerization chain transfer agent, an electron withdrawing group, a bulky two-or three-dimensional organic group, N- (acyloxy) phthalimide, and an electron-excited radical generator.
Other embodiments of the present disclosure provide a method of manufacturing a semiconductor device, comprising: forming a resist layer over a target layer, the resist layer comprising a resist composition; patterning the resist layer; wherein the composition of the resist comprises: a polymer comprising one or more monomer units having a plurality of substituents selected from the group consisting of an electron withdrawing group, a two-dimensional (2-D) or three-dimensional (3-D) organic group, an N- (acyloxy) phthalimide, and an electronically excited free radical generator; or a polymer comprising a plurality of terminal units, said terminal units comprising a living radical polymerization chain transfer agent.
Other embodiments of the present disclosure provide a photoresist composition comprising a polymer and a solvent. The polymer is made from a plurality of monomer units u, v, x, y, and z, represented by a structure:
wherein: r1 is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z is C1-C20 alkyl, C6-C20 aryl, or C7-C20 aralkyl; r2 is selected from the group consisting of H, halogen, -C (=o) H, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C2-C40 alkoxy, C2-C40 alkyl ester group, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C2-C40 alkenyl, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, bastardinyl, or a combination thereof; r3 is a substituted or unsubstituted N- (acyloxy) phthalimide; r4 is an electron-excited free radical generator; and a molar concentration of each monomer unit u, v, x, y, z ranges from 0% to 100%, wherein at least one of the plurality of monomer units u, v, x, y, and z is included in the polymer.
Drawings
The disclosure is best understood from the following detailed description when read with the accompanying drawing figures. Note that the various features are not drawn to scale and are for illustrative purposes only, in accordance with standard practices in the industry. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIG. 1 illustrates a process flow for fabricating a semiconductor device according to various embodiments of the present disclosure;
FIG. 2 illustrates a process stage of sequential operation according to an embodiment of the present disclosure;
FIGS. 3A and 3B illustrate various process stages of sequential operation according to an embodiment of the present disclosure;
FIG. 4 illustrates a process stage of sequential operation according to an embodiment of the present disclosure;
FIGS. 5A and 5B illustrate various process stages of sequential operation according to various embodiments of the present disclosure;
FIGS. 6A and 6B illustrate various process stages of sequential operation according to an embodiment of the present disclosure;
FIG. 7 illustrates a process stage of sequential operation according to an embodiment of the present disclosure;
FIGS. 8A and 8B illustrate various process stages of sequential operation according to various embodiments of the present disclosure;
FIG. 9 illustrates a process stage of sequential operation according to an embodiment of the present disclosure;
FIGS. 10A and 10B illustrate various process stages of sequential operation according to an embodiment of the present disclosure;
fig. 11A and 11B illustrate various process stages of sequential operation according to an embodiment of the present disclosure.
[ symbolic description ]
10 substrate
100:process flow
15 resist layer
250 exposed photoresist coated substrate
260 exposed photoresist coated substrate
30 mask
35 opaque pattern
40 mask blank
45 radiation of
50 exposure area (area of resist layer exposed to radiation, portion of resist layer exposed to radiation, exposed portion, exposed resist area)
52 unexposed areas (areas of the resist layer not exposed to radiation, unexposed portions, areas of resist not exposed to radiation)
55a opening (Pattern)
55a': opening (concave)
55a': pattern
55b opening (Pattern)
55b': opening (concave)
55b': pattern
57 developer agent
60 target layer
62 dispenser
65 mask
70 low thermal expansion glass substrate
75 reflective multilayer
80 cover layer
85 absorber layer
90 rear conductive layer
95 extreme ultraviolet radiation
97 radiation of
S110 operation
S120 operation
S130 operation
S140 operation
S150 operation
Detailed Description
It is understood that the following disclosure provides many different implementations, or examples, for implementing different features of the disclosure. Specific implementations or examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not limiting. For example, the dimensions of the elements are not limited to the disclosed ranges or values, but may depend on the process conditions and/or desired characteristics of the device. Further, in the description that follows, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposed between the first and second features such that the first and second features may not be in direct contact. For simplicity and clarity, the various features may be arbitrarily drawn with different scales.
Furthermore, spatially relative terms, such as "under," "below," "lower," "above," "upper," and the like, may be used herein for ease of describing a relationship between one element or feature and another element or feature as depicted in the figures. Spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. Furthermore, the term "made of …" may mean "comprising" or "consisting of …". The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly. In addition, in the following manufacturing process, there may be one or more additional operations between the described operations, and the order of the operations may be changed. The materials, configurations, dimensions, processes, and/or operations explained with respect to one embodiment may be employed in other various embodiments, and detailed descriptions thereof may be omitted. Source/drain regions may refer to sources or drains, individually or collectively depending on the context.
As semiconductor device pattern features become smaller, photoresist pattern resolution becomes more important. Extreme Ultraviolet (EUV) lithography at 13.5 nanometer (nm) exposure has been used for semiconductor device critical dimensions below 20 nm. In chemically amplified resists (chemically amplified resists, CARs), secondary electrons generated via extreme ultraviolet photons activate photoacid generators (photoacid generator, PAG) and photodegradable quenchers (photo-decomposable quencher, PDQ). However, residue defects may be formed during extreme ultraviolet lithography due to weak absorption of 13.5 nm radiation by the photoresist. Low euv photon absorption results in inefficient photoacid generator/photolyzable quencher activation. Undeveloped resist left in the trenches may result in bridging lines or footings, resulting in an inability to transfer the resist pattern to the underlying layer. In addition, chemically amplified resists may suffer from compromises in resolution, line-edge-roughness, and sensitivity (RLS), and insufficient etch resistance, resulting in poor line-width-roughness (LWR) and poor local critical dimension uniformity (local critical dimension uniformity, LCDU). Due to photon shot noise, low dose exposure generally results in poor linewidth roughness (line width roughness, LWR) and low critical dimension uniformity (critical dimension uniformity, CDU). There are several ways to reduce shot noise, e.g., high photoacid generator (PAG) loading and increase acid yield. In addition, photoresist Molecular Weight (MW) has been found to have a positive correlation with Line Edge Roughness (LER), which may mitigate the adverse linewidth roughness side effects of low dose exposure. Although smaller molecular weights are feasible via synthesis control, too small molecular weights may result in poor spin coating. Embodiments of the present disclosure address these shortcomings of chemically amplified resists and provide improved resolution, line edge roughness, sensitivity, line width roughness, local critical dimension uniformity, and etch resistance. Embodiments of the present disclosure relate to a novel photo-cleavable photoresist and techniques for fabricating semiconductor devices.
Fig. 1 illustrates a process flow 100 for fabricating a semiconductor device according to various embodiments of the present disclosure. In operation S110, a resist composition is prepared. In some embodiments, the resist is a photoresist.
In some embodiments, a photoresist composition is coated on the surface of the target layer 60 (see fig. 7) or the substrate 10 to be patterned to form a resist layer 15, as shown in fig. 2, in operation S110. In some embodiments, the resist layer 15 is a photoresist layer. Then, in some embodiments, the resist layer 15 is subjected to a first baking operation S120 to evaporate the solvent in the resist composition. The resist layer 15 is baked (pre-exposure bake) at a temperature and for a time sufficient to cure and dry the resist layer 15. In some embodiments, the resist layer is heated to a temperature of about 40 ℃ to 120 ℃ for about 10 seconds to about 10 minutes.
After a first (or pre-exposure) baking operation S120, the photoresist layer 15 is selectively exposed to actinic radiation 45/97 (see fig. 3A and 3B) in operation S130. In some embodiments, the photoresist layer 15 is selectively exposed to ultraviolet radiation. In some embodiments, the ultraviolet radiation is Deep Ultraviolet (DUV) radiation. In some embodiments, the ultraviolet radiation is extreme ultraviolet (extreme ultraviolet, EUV or XUV) radiation. In some embodiments, the actinic radiation is an electron beam.
As shown in fig. 3A, in some embodiments, exposure radiation 45 passes through reticle 30 before illuminating photoresist layer 15. In some embodiments, the mask has a pattern to be replicated in the photoresist layer 15. In some embodiments, the patterning is via an opaque pattern 35 on the reticle substrate 40. The opaque pattern 35 may be formed via a material that is opaque to ultraviolet radiation, such as chromium, while the mask substrate 40 is formed from a material that is transparent to ultraviolet radiation, such as fused silica.
In some embodiments, selective exposure of the photoresist layer 15 is performed using extreme ultraviolet lithography to form a plurality of exposed regions 50 and a plurality of unexposed regions 52. In an extreme ultraviolet lithography operation, in some embodiments, a reflective mask 65 is used to form patterned exposure light, as shown in FIG. 3B. The reflective mask 65 includes a low thermal expansion glass substrate 70, and reflective multilayer 75 of Si and Mo is formed on the low thermal expansion glass substrate 70. The cover layer 80 and absorber layer 85 are formed over the reflective multilayer 75. The rear conductive layer 90 is formed on the back side of the low thermal expansion glass substrate 70. In euv lithography, euv radiation 95 is directed toward reflective reticle 65 at an incidence angle of about 6 °. A portion 97 of the euv radiation is reflected by the Si/Mo multilayer 75 toward the photoresist-coated substrate 10, while a portion of the euv radiation incident on the absorber layer 85 is absorbed by the mask. In some embodiments, additional optics (including mirrors) are interposed between the reflective mask 65 and the photoresist-coated substrate.
The areas 50 of the photoresist layer exposed to the radiation undergo a chemical reaction, thereby altering the solubility in a subsequently applied developer relative to the areas 52 of the photoresist layer not exposed to the radiation. In some embodiments, portions 50 of the photoresist layer exposed to radiation undergo a crosslinking reaction.
Next, in operation S140, the photoresist layer 15 is subjected to a second baking operation (or post-exposure baking). In some embodiments, the photoresist layer 15 is heated to a temperature of about 70 ℃ to about 160 ℃ for about 20 seconds to about 120 seconds. Post-exposure baking may be used to aid in the generation, dispersion, and reaction of acid/base/radicals generated by the impingement of radiation 45/97 on photoresist layer 15 during exposure. Such assistance helps to create or enhance a chemical reaction that creates a chemical differential within the photoresist layer between the exposed areas 50 and the unexposed areas 52. These chemical differences also result in differences in solubility between the exposed areas 50 and the unexposed areas 52.
In some embodiments, during post-exposure bake (heating), the photoresist layer 15 is heated at a temperature in the range of about 40 ℃ to about 175 ℃ via controlling the temperature of the heating element. In some embodiments, during post-exposure bake (heating), the photoresist layer 15 is heated at a temperature in the range of about 60 ℃ to about 160 ℃. In some embodiments, during the post-exposure bake, the photoresist layer 15 is heated at a temperature in the range of about 100 ℃ to about 150 ℃.
In some embodiments, the photoresist layer 15 is heated for about 45 seconds to about 180 seconds during the post-exposure bake. In some embodiments, the photoresist layer 15 is heated for about 60 seconds to about 120 seconds.
Post-exposure baking at temperatures and durations outside of the ranges disclosed herein may result in photoresist patterns having reduced critical dimension uniformity and increased line width roughness.
The selectively exposed photoresist layer is then developed via application of a developer to the selectively exposed photoresist layer in operation S150. As shown in fig. 4, the developer 57 is supplied from the dispenser 62 to the photoresist layer 15. In some embodiments, where the photoresist is a negative-tone photoresist or a negative-tone developed photoresist, the unexposed portions 52 of the photoresist layer are removed via a developer 57, and a plurality of openings 55A are patterned in the photoresist layer 15 to expose the substrate 10, as shown in fig. 5A. In other embodiments, wherein the photoresist is a positive type photoresist, the exposed portions 50 of the photoresist layer are removed via a developer 57, a plurality of openings 55B are patterned in the photoresist layer 15 to expose the substrate 10, as shown in fig. 5B.
In some embodiments, the pattern of the plurality of openings 55a, 55B in the photoresist layer 15 is extended into the layer to be patterned or the substrate 10 to form the pattern of the plurality of openings 55a ', 55B' in the substrate 10, thereby transferring the pattern in the photoresist layer 15 into the substrate 10, as shown in fig. 6A and 6B. The pattern is extended into the substrate via etching using one or more suitable etchants. In some embodiments, during the etching operation, portions of the photoresist layer 15 remaining after the developing operation are at least partially removed. In other embodiments, the remaining photoresist layer 15 is removed after the substrate 10 is etched via the use of a suitable photoresist stripping solvent or via a photoresist ashing operation.
In some embodiments, the substrate 10 includes a single crystal semiconductor layer at least on a surface portion thereof. The substrate 10 may comprise single crystal semiconductor materials such as, but not limited to Si, ge, siGe, gaAs, inSb, gaP, gaSb, inAlAs, inGaAs, gaSbP, gaAsSb, and InP. In some embodiments, the substrate 10 is a silicon layer of an SOI (silicon on insulator) substrate. In some embodiments, the substrate 10 is made of crystalline Si.
The substrate 10 may include one or more buffer layers (not shown) in its surface area. The buffer layer may be used to gradually change the lattice constant from that of the substrate to that of the subsequently formed source/drain regions. The buffer layer may be formed of epitaxially grown single crystal semiconductor materials such as, but not limited to Si, ge, geSn, siGe, gaAs, inSb, gaP, gaSb, inAlAs, inGaAs, gaSbP, gaAsSb, gaN, gaP, and InP. In one embodiment, a silicon germanium (SiGe) buffer layer is epitaxially grown on silicon substrate 10. The germanium concentration of the SiGe buffer layer may be increased from 30 at% for the bottom-most buffer layer to 70 at% for the top-most buffer layer.
In some embodiments, the substrate 10 comprises at least one metal, metal alloy, And having MX a Wherein M is a metal, X is N, S, se, O, si, and a is from about 0.4 to about 2.5. In some embodiments, the substrate 10 comprises titanium, aluminum, cobalt, ruthenium, titanium nitride, tungsten nitride, tantalum nitride, or a combination thereof.
In some embodiments, the substrate 10 includes a dielectric having at least the formula MX b Wherein M is a metal or Si, X is N or O, and b ranges from about 0.4 to about 2.5. In some embodiments, the substrate 10 comprises silicon dioxide, silicon nitride, aluminum oxide, hafnium oxide, lanthanum oxide, or a combination thereof.
In some embodiments, the substrate 10 refers to any underlying layer over which a resist layer is formed. The substrate 10 is then patterned using photolithography and etching operations.
The photoresist layer 15 is a photosensitive layer that is patterned by exposure to actinic radiation. Typically, the chemistry of the photoresist region that is hit by the incident radiation varies in a manner that depends on the type of photoresist used. The photoresist layer 15 may be a positive type resist or a negative type resist. Positive resist refers to a photoresist material that becomes soluble in a developer when exposed to radiation (e.g., ultraviolet light), while the unexposed (or less exposed) areas of the photoresist are insoluble in the developer. On the other hand, a negative-tone resist refers to a resist material that becomes insoluble to a developer when exposed to radiation, while the unexposed (or less exposed) areas of the resist are soluble to the developer. Areas of the negative-tone resist that become insoluble upon exposure to radiation may become insoluble due to a crosslinking reaction caused by exposure to radiation.
Whether the resist is positive or negative may depend on the type of developer used to develop the resist. For example, when the developer is an aqueous-based developer, such as a tetramethyl ammonium hydroxide (tetramethylammonium hydroxide, TMAH) solution, some positive resists provide positive patterns (i.e., areas exposed to light are removed via the developer). On the other hand, when the developer is an organic solvent, the same photoresist provides a negative pattern (i.e., the unexposed areas are removed via the developer). Further, in some negative tone resists developed with TMAH solutions, the unexposed areas of the resist are removed via TMAH and the exposed areas of the resist undergo crosslinking upon exposure to actinic radiation, remaining on the substrate after development. In some embodiments, the resist is a negative-tone developed (NTD) resist. In negative-working resists, a developer solvent is selected that preferentially dissolves the unexposed portions of the resist (rather than the portions of the resist that are crosslinked by exposure to actinic radiation) to form a patterned resist.
Some features formed via photolithography patterning operations may benefit from positive-tone development, while other features may benefit from negative-tone development. For example, in an euv lithography patterning operation using an euv exposure tool having a numerical aperture (numerical aperture, NA) =0.33, in some embodiments, it may be beneficial to use negative development to form a contact hole pattern having a pitch of less than about 30 nanometers and positive development to form a contact hole pattern having a pitch of greater than about 30 nanometers.
Resist compositions, such as photoresists, according to the present disclosure include polymers, or polymerizable monomers or oligomers, and one or more photoactive compounds (PACs). In some embodiments, the concentration of the polymer, monomer, or oligomer ranges from about 1 weight percent (wt.%) to about 75 wt.% based on the total weight of the resist composition. In other embodiments, the concentration of the polymer, monomer, or oligomer ranges from about 5 wt% to about 50 wt%. At concentrations of polymer, monomer, or oligomer below the disclosed ranges, the polymer, monomer, or oligomer has a negligible effect on the resist properties. At concentrations above the disclosed range, there is no substantial improvement in resist performance or degradation in the formation of a consistent plurality of resist layers.
In some embodiments, the polymerizable monomer or oligomer comprises acrylic acid, acrylate, hydroxystyrene, or an olefinic hydrocarbon (alkylene). In some embodiments, the polymer includes a hydrocarbon structure (e.g., a cycloaliphatic hydrocarbon structure) that includes one or more groups that, when mixed with an acid, base, or radical generated via the photoactive compound, will decompose (e.g., acid labile groups) or otherwise react (as further described below). In some embodiments, the hydrocarbon structure includes a repeating unit that forms the backbone of the polymer resin. Such repeating units may include acrylates, methacrylates, crotonates, vinyl esters, maleic acid diesters, fumaric acid diesters, itaconic acid diesters, (meth) acrylonitrile, (meth) acrylamides, styrenes, vinyl ethers, lactones, combinations of these, or the like.
In some embodiments of the present invention, in some embodiments, specific structures for the repeating units of the hydrocarbon structure include methyl acrylate, ethyl acrylate, n-propyl acrylate, isopropyl acrylate, n-butyl acrylate, isobutyl acrylate, t-butyl acrylate, n-hexyl acrylate, 2-ethylhexyl acrylate, acetoxyethyl acrylate, phenyl acrylate, 2-hydroxyethyl acrylate, 2-methoxyethyl acrylate, 2-ethoxyethyl acrylate, 2- (2-methoxyethoxy) ethyl acrylate, cyclohexyl acrylate, benzyl acrylate, 2-alkyl- (meth) acrylate 2-adamantyl or dialkyl (1-adamantyl) methyl (meth) acrylate, methyl methacrylate, ethyl methacrylate, n-propyl methacrylate isopropyl methacrylate, n-butyl methacrylate, isobutyl methacrylate, t-butyl methacrylate, n-hexyl methacrylate, 2-ethylhexyl methacrylate, acetoxyethyl methacrylate, phenyl methacrylate, 2-hydroxyethyl methacrylate, 2-methoxyethyl methacrylate, 2-ethoxyethyl methacrylate, 2- (2-methoxyethoxy) ethyl methacrylate, cyclohexyl methacrylate, benzyl methacrylate, 3-chloro-2-hydroxypropyl methacrylate, 3-acetoxy-2-hydroxypropyl methacrylate, 3-chloroacetoxy-2-hydroxypropyl methacrylate, butyl crotonate, hexyl crotonate, or the like. Examples of vinyl esters include vinyl acetate, vinyl propionate, vinyl butyrate, methoxy vinyl acetate, vinyl benzoate, dimethyl maleate, diethyl maleate, dibutyl maleate, dimethyl fumarate, diethyl fumarate, dibutyl itaconate, diethyl itaconate, dibutyl itaconate, acrylamide, methacrylamide, ethyl acrylamide, propyl acrylamide, n-butyl acrylamide, t-butyl acrylamide, cyclohexyl acrylamide, 2-methoxyethyl acrylamide, dimethyl acrylamide, diethyl acrylamide, phenyl acrylamide, benzyl acrylamide, methacrylamide, methyl methacrylamide, ethyl methacrylamide, propyl methacrylamide, n-butyl methacrylamide, t-butyl methacrylamide, cyclohexyl methacrylamide, 2-methoxyethyl methacrylamide, dimethyl methacrylamide, diethyl methacrylamide, phenyl methacrylamide, benzyl methacrylamide, methyl vinyl ether, butyl vinyl ether, hexyl vinyl ether, methoxyethyl vinyl ether, dimethyl aminoethyl vinyl ether, or the like. Examples of styrene include styrene, methyl styrene, dimethyl styrene, trimethyl styrene, ethyl styrene, isopropyl styrene, butyl styrene, methoxy styrene, butoxy styrene, acetoxy styrene, hydroxy styrene, chlorostyrene, dichlorobenzene, bromostyrene, vinyl methyl benzoate, alpha-methyl styrene, maleimide, vinyl pyridine, vinyl pyrrolidone, vinyl carbazole, combinations of these, or the like. Examples of lactones include lactone groups including rings having five to seven members, such as butyrolactone, valerolactone, and caprolactone. In some embodiments, the lactone groups are attached to the polymer backbone via acetyl groups, such as acetobutyrolactone, acetovalerolactone, and acetocaprolactone, although any suitable lactone structure may alternatively be used for the lactone groups.
In some embodiments, the polymer comprises polyhydroxystyrene, polymethyl methacrylate, or polyhydroxystyrene-t-butyl acrylate, for example:
or polyhydroxystyrene, polymethyl methacrylate, or polyacetylbutyrolactone, for example:
in some embodiments, the repeat unit of the hydrocarbon structure also has a monocyclic or polycyclic hydrocarbon structure substituted therein, or the monocyclic or polycyclic hydrocarbon structure is the repeat unit, so as to form an alicyclic hydrocarbon structure. In some embodiments, specific examples of monocyclic structures include bicycloalkanes, tricycloalkanes, tetracycloalkanes, cyclopentane, cyclohexane, or the like. In some embodiments, specific examples of polycyclic structures include adamantane, norbornane, isobornane, tricyclodecane, tetracyclododecane, or the like.
The decomposed groups, also referred to as leaving groups (or in some embodiments where the photoactive compound (PAC) is a photoacid generator, an acid labile group), are attached to the hydrocarbon structure such that the decomposed groups will react with acid/base/radicals generated via the photoactive compound during exposure. In some embodiments, the groups to be decomposed are carboxylic acid groups, fluorinated alcohol groups, phenolic alcohol groups, sulfonic acid groups, sulfonamide groups, sulfonylimide groups, (alkylsulfonyl) (alkylcarbonyl) methylene groups, (alkylsulfonyl) (alkylcarbonyl) imino groups, bis (alkylcarbonyl) methylene groups, bis (alkylcarbonyl) imino groups, bis (alkylsulfonyl) methylene groups, bis (alkylsulfonyl) imino groups, tris (alkylcarbonyl) methylene groups, tris (alkylsulfonyl) methylene groups, combinations of these, or the like. In some embodiments, specific groups for the fluorinated alcohol groups include fluorinated hydroxyalkyl groups, such as hexafluoroisopropanol groups. Specific groups for carboxylic acid groups include acrylic groups, methacrylic groups, or the like.
In some embodiments, the polymer includes groups that can assist in increasing the adhesion of the photoresist layer 15 to the underlying structure (e.g., substrate 10). Polar groups may be used to help increase adhesion. Suitable polar groups include hydroxyl groups, cyano groups, or the like, although any suitable polar groups may alternatively be used.
Alternatively, in some embodiments, the polymer includes one or more alicyclic hydrocarbon structures that also do not contain groups that will decompose. In some embodiments, hydrocarbon structures that do not contain groups that will decompose include structures such as 1-adamantyl (meth) acrylate, tricyclodecyl (meth) acrylate, cyclohexyl (meth) acrylate, combinations of these, or the like.
In some embodiments, the resist composition includes a polymer including a plurality of monomer units having a photocleavable promoter. The photo-cleavage promoter comprises one or more selected from the group consisting of a living radical polymerization chain transfer agent, an electron withdrawing group, a two-dimensional (2-D) or three-dimensional (3-D) organic group, N- (acyloxy) phthalimide, and an electron-excited radical generator.
In some embodiments, the resist polymer is synthesized via living radical polymerization, such as atom transfer radical polymerization (atom transfer radical polymerization, ATRP), or reversible addition-fragmentation chain transfer (reversible addition-fragmentation chain-transfer, RAFT) polymerization. In some embodiments, the polymer may be inversely melted via the polymer end units via the use of chain transfer agents bound to the polymer end units. Chain transfer agents assist in polymer chain cleavage during exposure to actinic radiation or during post-exposure baking.
In some embodiments, the living radical polymerization chain transfer agent facilitates a reversible deactivation radical polymerization (reversible deactivation radical polymerization, RDRP) mechanism. Reversible Deactivation Radical Polymerization (RDRP) is an activation/deactivation mechanism that allows rapid and reversible chain growth. Various embodiments of the present disclosureThe formula includes three types of Reversible Deactivated Radical Polymerization (RDRP), namely deactivation via catalyzed reversible coupling, deactivation via spontaneous reversible coupling, and deactivation via degenerate transfer (degenerative transfer, DT). In a Reversible Deactivation Radical Polymerization (RDRP) process, the radicals may be reacted with a rate coefficient k by adding small amounts of monomer units before deactivation occurs to regenerate the inactive species p To grow. At the same time, the two radicals can react with each other to form a polymer having a rate coefficient k t Dead chain (dead chain). The rate of growth and termination between the two radicals is not affected by the mechanism of deactivation or the catalyst used in the system. In addition, other chain scission reactions, such as irreversible chain transfer/termination reactions of propagating radicals with solvents, monomers, polymers, catalysts, additives, etc., can introduce additional loss of chain end functionality (chain end functionality, CEF). In addition to direct termination between two radicals, the overall rate coefficient of the chain scission reaction is expressed as k tx
In some embodiments, the living radical polymerization chain transfer agent is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z, and-SC (=s) SZ groups (wherein Z is a C1-C20 alkyl group, a C6-C20 aryl group, or a C7-C20 aralkyl group), as a polymer backbone terminal unit of the polymer.
Examples of three types of reversible, deactivated radical polymerization within the scope of the present disclosure include:
1) Deactivation via catalytic reversible coupling (e.g., atom Transfer Radical Polymerization (ATRP)), as shown in mechanism (I).
(I)
Wherein X is halogen, including F, cl, br, and I.
2) Deactivation via spontaneous reversible coupling (e.g., nitroxide-mediated polymerization (NMP)), organometal-mediated radical polymerization (organometallic mediated radical polymerization (OMRP)), as shown in mechanism II.
(II)
Wherein X is an-ON (C (CH 3) 3) 2 group, or a (2, 6-tetramethylpiperidin-1-yl) oxy group.
3) Deactivation via degenerate transfer (e.g., reversible addition-fragmentation chain transfer (RAFT), unsaturated polymethacrylate, I, te, ge, sn, sb, B, etc. mediated radical polymerization), as shown in mechanism III.
(III)
Wherein X is a-SC (=S) Z group or a-SC (=S) SZ group, wherein Z may be a C1-C20 alkyl group, a C6-C20 aryl group, or a C7-C20 aralkyl group. In some embodiments, Z comprises an amino group or a cyano group. P is the polymer chain, R is the polymer chain end group, n and m represent the number of multiple monomer units in the polymer chain, mtn/L is the transition metal core/ligand complex, ka is the activator reaction rate constant, and kd is the deactivator reaction rate constant. In some embodiments, R is the same as the repeating group of polymer P, or is the same as Z. In some embodiments, reversible addition-fragmentation chain transfer (RAFT) polymerization is performed using RAFT reagents comprising-SC (=s) Z or-SC (=s) SZ groups selected from the group consisting of pentaerythritol tetrakis [2- (dodecylthiocarbonylthio) -2-methylpropionate ], 2- (dodecylthiocarbonylthio) -2-methylpropionate 3-butene, 2- (dodecylthiocarbonylthio) -2-methylpropionate, 4-cyano-4- (phenylthio) pentanoic acid, 4-cyano-4 [ (dodecylthiocarbonyl) thio ] pentanoic acid, 4-cyano-4- (phenylthiochiothio) pentanoic acid 2-nitro-5- (2-propynyloxy) benzyl ester, 1-tris [ (dodecylthiocarbonylthio) -2-methylpropionate ] benzodithio ester, 4-cyano-4- (phenylthiocarbonylthio) pentanoic acid 2-nitro-5- (2-propylalkynyloxy) benzyl ester, 1-tris [ (dodecylthiocarbonylthio) -2-methylpropionate, 4-thiocarbonyl-4- (phenylthiocarbonylthio) pentanoic acid, 4-cyano-4- (phenylthiocarbonylthio) pentanoic acid, 4-thiocarbonyl-4- ((-thiocarbonyl) ethyl) 2- (phenylthiocarbonyl) propanoic acid 2-Cyanobutan-2-yl 4-chloro-3, 5-dimethyl-1H-pyrazole-1-dithiocarbonate, 2- [ [ (2-carboxyethyl) thiocarbonyl ] -thio ] propionic acid, ethyl 2-methyl-2- (phenylthiocarbonylthio) propionate, ethyl 2- (phenylcarbonylthio) propionate, DDMAT-and azide-capped poly (t-butyl acrylate), cyanomethyldiphenyl dithiocarbamate, 1H-pyrrole-1-thiocarbonate, 3-oxo-1-propanol 2- (dodecylthiocarbonylthio) -2-methylpropanoic acid, 3-oxo-1-propanol 2- (dodecylthiocarbonylthio) propionic acid ethyl 2- (phenylthio) -2-phenylacetate, 1- (methoxycarbonyl) ethylbenzodithioate, 4-cyano-4- [ (dodecylthiothio) thio ] pentanol, methyl 2- (dodecylthiocarbothioyl) -2-methylpropionate, N-hydroxysuccinimide ester 2- (dodecylthiocarbothioyl) -2-methylpropionate, pentafluorophenyl 2- (dodecylthiothio) -2-methylpropionate, 3, 5-bis (2-dodecylthiocarbothioyl-1-oxopropoxy) benzoic acid, phthalimidomethyl butyl trithiocarbonate, S-dibenzyl trithiocarbonate, 2-cyanobutyl-2-yl 3, 5-dimethyl-1H-pyrazole-1-dithiocarbonate, (3, 5-dimethyl-1H-pyrazole) -dithiocyano methyl ester, 2- (4-methoxyphenylthio) acetic acid, polyethylene glycol methyl ether (2-methyl-2-propanoic acid dodecyl trithiocarbonate), poly (ethylene glycol) methyl ether 2- (dodecylthiocarbothio) -2-methylpropionate, polyethylene glycol methyl ether (4-cyano-4-pentanoate dodecyl trithiocarbonate), polyethylene glycol methyl ether 4-cyano-4- [ (dodecylthiocarbonyl) thio ] pentanoate, cyanomethyl dodecyl trithiocarbonate, 2-phenyl-2-propylbenzodithioate, N '-dimethyl N, N' -di (pyridinyl) disulfide, 4-cyano-4- (phenylthio) pentanoate, 4-cyano-4- [ (dodecylthiocarbonyl ] pentanoate, 2-cyano-2-thiocarbonyl ] 2-thiocarbonate, 2-cyanopropan-2-yl N-methyl-N- (pyridin-4-yl) dithiourethane, cyanomethyl methyl (4-pyridyl) dithiourethane, methyl 2- [ methyl (4-pyridyl) thiocarbamoyl ] propionate, trimethylolpropane, poly (L-lactide) 4-cyano-4- [ (dodecylthiocarbonyl) thio ] pentanoate, bis (thiobenzoyl) disulfide, bis (dodecylthiocarbamoyl) disulfide, poly (ethylene glycol) 4-cyano-4- (phenylthiocarbamoyl) pentanoate, DDMAT-capped polystyrene, poly (D, L-lactide) 4-cyano-4- [ (dodecylthiocarbonyl) thio ] pentanoate-capped, DDMAT-capped poly (hydroxyethyl methacrylate), 2-cyano-2-propylbenzodithioate, DDMAT-capped polyacrylic acid, cyanomethyl (phenyl) dithiourethane, poly (ethylene glycol) -2- (methylthio) propan ] pentanoate, and poly (methyl-2-mat-thio) propanesulfonate.
When the reversible addition-fragmentation chain transfer (RAFT) reagent is symmetrical, such as pentaerythritol tetrakis [2- (dodecylthiocarbonylthio) -2-methylpropionate ], 1-tris [ (dodecylthiocarbonylthio) -2-methylpropionate ] ethane, S-dibenzyl trithiocarbonate, bis-MPA-RAFT dendrimer trimethylolpropane, bis (thiobenzoyl) disulfide, bis (dodecylthiocarbamoyl) disulfide, or 3, 5-bis (2-dodecylthiocarbonylthio-1-oxopropoxy) benzoic acid, polymerization proceeds in each symmetrical direction.
In some embodiments, the electron withdrawing group is halogen (e.g., F, cl, br, I), -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O (where R is one or more of C1-C40 alkyl, C1-C40 alkoxy, C1-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C1-C40 alkenyl, C6-C40 aryl, C1-C40 alkylamide, and C7-C40 aralkylAnd then the other is a member.
In some embodiments, the plurality of two-or three-dimensional organic groups comprises a two-or three-dimensional organic group selected from the group consisting of C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, basket alkyl, and combinations thereof. In one embodiment, the two-or three-dimensional group is substituted with one or more of halogen, -OH, C1-C40 alkyl, C2-C40 alkyl ether, C2-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C2-C40 alkylene, phenyl, anthracenyl, C1-C40 alkylcarboxy, and C1-C40 alkylamide groups. In some embodiments, the two-dimensional or three-dimensional organic group is a bulky group. Bulky groups can introduce steric effects to the polymer, which affect the photocleavage kinetics. In some embodiments, the bulky group includes a tert-butyl group, a cyclohexane group, a phenyl group, an adamantyl group, or a triphenylmethyl group.
In some embodiments, the N- (acyloxy) phthalimide comprises an N- (acyloxy) phthalimide group
Or a substituted N- (acyloxy) phthalimide group
Wherein R is a C1-C40 alkyl group, a C1-C40 alkoxide group, a C1-C40 alkyl ester group, a C1-C40 hydroxyalkyl group, a C1-C40 alkylamino group, a C1-C40 alkyl group which is substituted with an acid labile group via-C (=O) -or-C (=O) -O-linkage, a C2-C40 alkene group, a C6-C40 aryl group, a C7-C40 aralkyl group, a phenyl group, a naphthyl group, an anthracenyl group, a phenanthrenyl group, a C1-C40 alkylcarboxy group, or a C1-C40 alkylamide group.
In some embodiments, the electron-excited free radical generator is a 1, 4-benzoquinone group, or is a substituted 1, 4-benzoquinone, as shown below:
wherein R is a C1-C40 alkyl group, a C1-C40 alkoxide group, a C1-C40 alkyl ester group, a C1-C40 hydroxyalkyl group, a C1-C40 alkylamino group, a C1-C40 alkyl group which is substituted with an acid labile group via-CO-or-COO-linkage, a C2-C40 alkene group, a C6-C40 aryl group, a C7-C40 aralkyl group, a phenyl group, a naphthyl group, an anthracenyl group, a phenanthrenyl group, a C1-C40 alkylcarboxy group, or a C1-C40 alkylamide group. In some embodiments, the resist composition includes an electronically excited radical generator as an additive in addition to the components of the polymer and other resist composition. In one embodiment, the electron-excited free radical generator additive is a 1, 4-benzoquinone, or a substituted benzoquinone, substituted with a C1-C40 alkyl, C1-C40 alkoxide, C1-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted with an acid labile group via-CO-or-COO-linkage, a C2-C40 alkylene, C6-C40 aryl, C7-C40 aralkyl, phenyl, naphthyl, anthracenyl, phenanthrenyl, C1-C40 alkylcarboxy, or C1-C40 alkylamide.
In some embodiments, the electron-excited free radical generator comprises phosphine, borane, or alane. The electron-excited free radical generator may comprise a combination of phosphine and borane or alane, phosphine and triphenyl radical (CPh 3 + ) Cations or Silanium (SiR) 3 + ) A combination of cations, or a combination of borane and triarylamine. In some embodiments, the borane is B (C 6 F 5 ) 3 . In some embodiments, the phosphine comprises mesitylphosphine (Mes 3 P), 2,4, 6-triisopropylphenyl phosphine (PTipp), P (C) 6 F 5 ) 3 、P(C 6 (CH 3 )) 3 、P(C(C 4 H 9 ) 3 ) 3 . In some embodiments, the alane is Al (C 6 F 5 ) 3 . In some embodiments, the silanium cation is Si ((CH) 3 ) 2 CHOH) 3 + 、Si(C(C 4 H 9 ) 3 ) 3 + 、Si(C 6 (CH 3 )) 3 + Or Si (C) 2 H 5 ) 3 + . In some embodiments, the triarylamine is triphenylamine. In some embodiments, the triarylamine is a cyclic triarylamine, including methylene-bridged triphenylamines.
In some embodiments, the concentration of the electron-excited free radical generator (ESRG) additive in the resist composition ranges from about 1 wt% to about 60 wt% based on the total weight of ESRG additive, polymer, photoactive compound, and quencher.
In some embodiments, a polymer comprising a plurality of monomer units having a photocleavable promoter is made from a plurality of monomer units u, v, x, y, and z, represented by structure (IV):
Wherein: r1 is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z may be a C1-C20 alkyl group, a C6-C20 aryl group, or a C7-C20 aralkyl group, any of which may include an amino group or a cyano group; r2 is selected from H, halogen (e.g. -F, cl, br, I), -C (=O) R, -C (=O) OR, -C (=O) OH, -C (=O) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C1-C40 alkoxy, C1-C40 alkyl ester group, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C1-C40 alkenyl, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, bastardinyl, or a combination thereof; r3 is a substituted or unsubstituted N- (acyloxy) o-benzeneA dicarboximide; and R4 is an electron-excited free radical generator. In some embodiments, n ranges from about 10 to about 1,000, and in other embodiments, n ranges from about 20 to about 500.
The molar concentration of each monomer unit u, v, x, y, and z is in the range of 0% to 100%, with at least one of the plurality of monomer units u, v, x, y, and z being included in the polymer. In some embodiments, the polymer is made from 1% to 100% of the plurality of monomer units u or v. Thus, polymer structure (IV) may comprise only one of monomer units u, v, x, y, or z; all monomer units u, v, x, y, and z; or any combination thereof. R2 is optionally on each monomer unit u, v, x, y, or z. Thus, the polymer structure (IV) may include only hydrogen as R2, or each of the plurality of monomer units may contain an R2 group other than hydrogen, or any combination of monomer units u, v, x, y, and z may contain an R2 group other than hydrogen. For example, in some embodiments, about 10% to about 60% of the plurality of monomer units comprise an R2 group other than hydrogen.
In some embodiments, substituent R1 is a living radical polymerization chain transfer agent and substituent R2 is an electron withdrawing group or a 2-D or 3-D organic group. R1 aids in polymer cleavage via a melting pathway. The inclusion of R2 groups other than hydrogen more readily cleaves the polymer than the absence of R2 groups other than hydrogen. The position of the R2 substituent is not limited to a monomer unit having an R3 substituent, an R4 substituent, a phenol group, a lactone group, or an acrylate group. The R3 substituent causes the polymer to cleave by pi cleavage from its reactive site (pi-scission cleavage). The R4 substituent may be attached to the polymer or may be a photoresist composition additive to promote the generation of radicals after exposure to facilitate polymer cleavage.
In some embodiments, the resist composition includes a metal to improve the absorption of extreme ultraviolet radiation. The metal-containing resists of the present disclosure may include a metal core complexed with one or more ligands in a solvent. In some embodiments, the resist comprises metal particles. In some embodiments, the metal particles are nanoparticles. As used herein, a nanoparticle is a particle having an average particle size between about 1 nanometer and about 20 nanometers. In some embodiments, a metal core comprising from 1 to about 18 metal particles is complexed with one or more organic ligands in a solvent. In some embodiments, the metal core comprises 3, 6, 9 or more metal nanoparticles complexed with one or more organic ligands in a solvent.
In some embodiments, the metal particles are one or more of titanium (Ti), zinc (Zn), zirconium (Zr), nickel (Ni), cobalt (Co), manganese (Mn), copper (Cu), iron (Fe), strontium (Sr), tungsten (W), vanadium (V), chromium (Cr), tin (Sn), hafnium (Hf), indium (In), cadmium (Cd), molybdenum (Mo), tantalum (Ta), niobium (Nb), aluminum (al), cesium (Cs), barium (Ba), lanthanum (La), cerium (Ce), silver (Ag), antimony (Sb), or combinations thereof.
In some embodiments, the metal nanoparticles have an average particle size between about 2 nanometers and about 5 nanometers. In some embodiments, the amount of metal nanoparticles in the resist composition ranges from about 0.5 wt% to about 15 wt% based on the weight of the nanoparticles and solvent. In some embodiments, the amount of nanoparticles in the resist composition ranges from about 5 wt% to about 10 wt% based on the weight of the nanoparticles and solvent. In some embodiments, the concentration of the metal particles ranges from 1 wt% to 7 wt%, based on the weight of the solvent and the metal particles. Below about 0.5 wt% metal nanoparticles, the resist coating may be too thin. Above about 15 wt% metal nanoparticles, the resist coating may be too thick and too viscous.
In some embodiments, the metal core is complexed with a ligand, wherein the ligand comprises a branched or unbranched, cyclic or acyclic saturated organic group comprising a C1-C7 alkyl group or a C1-C7 fluoroalkyl group. The C1-C7 alkyl or C1-C7 fluoroalkyl group comprises one or more substituents selected from the group consisting of-CF 3 、-SH、-OH、=O、-S-、-P-、-PO 2 、-C(=O)SH、-C(=O)OH、-C(=O)O-、-O-、-N-、-C(=O)NH、-SO 2 OH、-SO 2 SH, -SOH, and-SO 2 -a group of groups. In some embodiments, the ligand includes one or more substituents, which areThe radicals being selected from the group consisting of-CF 3 -OH, -SH, and-C (=o) OH substituents.
In some embodiments, the ligand is a carboxylic acid or sulfonic acid ligand. For example, in some embodiments, the ligand is methacrylic acid. In some embodiments, the metal particles are nanoparticles, and the metal nanoparticles are complexed with ligands that include aliphatic or aromatic groups. The aliphatic or aromatic groups may be unbranched or branched, cyclic or acyclic saturated side groups containing from 1 to 9 carbons, including alkyl, alkenyl, and phenyl groups. The branched groups may be further substituted with oxygen or halogen. In some embodiments, the second ligand is a branched or unbranched, aliphatic or aromatic, substituted or unsubstituted carboxylic or sulfonic acid ligand, wherein when the second ligand is substituted, the substituents are selected from one or more of C1-C9 alkyl, C2-C9 alkenyl, or C6-C9 phenyl.
In some embodiments, each metal particle is complexed with 1 to 25 ligand units. In some embodiments, each metal particle is complexed with 3 to 18 ligand units.
In some embodiments, the resist composition includes about 0.1 wt% to about 20 wt% of the ligand based on the total weight of the resist composition. In some embodiments, the resist comprises about 1% to about 10% by weight of the ligand. In some embodiments, the ligand concentration is about 10 wt% to about 40 wt% based on the weight of the metal particles and the weight of the ligand. Below about 10 wt% ligand, the organometallic photoresist does not perform well. Above about 40 wt% ligand, it is difficult to form a uniform photoresist layer. In some embodiments, the ligand is dissolved in the coated solvent in a weight range of about 5 wt% to about 10 wt%, based on the weight of the ligand and solvent, such as propylene glycol methyl ether acetate (propylene glycol methyl ether acetate, PGMEA).
The resist composition solvent may be any suitable solvent. In some embodiments, the solvent is one or more of Propylene Glycol Methyl Ether Acetate (PGMEA), propylene glycol monomethyl ether (propylene glycol monomethyl ether, PGME), 1-ethoxy-2-Propanol (PGEE), gamma-butyrolactone (GBL), cyclohexanone (CHN), ethyl Lactate (EL), methanol, ethanol, propanol, n-butanol, acetone, dimethylformamide (DMF), isopropanol (IPA), tetrahydrofuran (THF), methyl isobutyl methanol (methyl isobutyl carbinol, MIBC), n-butyl acetate (nBA), or 2-heptanone (2-heptanone, MAK).
In some embodiments, forming the metal core/ligand complex is via mixing the metal particles with a plurality of ligands. In some embodiments, the metal core/ligand complex spontaneously forms when the metal particles are mixed with the ligand. In other embodiments, the mixture of metal particles and ligands is heated to a temperature of about 80 ℃ to about 150 ℃ for about 30 seconds to about 240 seconds to form a metal core/ligand complex.
Some embodiments of the photoresist include one or more photoactive compounds (PACs). Photoactive compounds are photoactive ingredients, such as photoacid generators (PAGs), photobase generators (PBGs), photodegradable bases (PDBs), free radical generators, or the like. The photoactive compound may be positive or negative acting. In some embodiments in which the photoactive compound is a photoacid generator, the photoactive compound includes halotriazines, onium salts, diazonium salts, aromatic diazonium salts, phosphonium salts, sulfonium salts, iodonium salts, imide sulfonates, oxime sulfonates, diazodisulfones, disulfones, orthonitrobenzyl sulfonates, sulfonated esters, halosulfonyloxy dicarboximides, diazodisulfones, alpha-cyanamine sulfonates, imine sulfonates, ketone diazosulfones, sulfonyl diazo esters, 1, 2-bis (arylsulfonyl) hydrazines, nitrobenzyl esters, and s-triazine derivatives, combinations of these, or the like.
Specific examples of the photoacid generator include α - (trifluoromethylsulfonyloxy) -bicyclo [2.2.1] hept-5-ene-2, 3-dicarba-o-oxime (MDT), N-hydroxy-naphthalimide (DDSN), benzoin tosylate, tert-butylphenyl- α - (p-toluenesulfonyloxy) -acetate and tert-butyl- α - (p-toluenesulfonyloxy) -acetate, triarylacyl and diaryliodonium hexafluoroantimonate, hexafluoroarsenate, trifluoromethanesulfonate, perfluorooctanesulfonate, N-camphorsulfonyloxy naphthalimide, N-pentafluorophenylsulfonyloxy naphthalimide, ionic iodides such as diaryliodonium (alkyl or aryl) sulfonate and bis- (di-tert-butylphenyl) iodonium camphorsulfonate, perfluoroalkanesulfonates such as perfluoropentanesulfonate, perfluorooctanesulfonate, perfluoromethanesulfonate, aryl (such as phenyl or benzyl) trifluoromethanesulfonate such as triphenylsulfonic acid or bis- (tert-butylphenyl) iodonium trifluoromethanesulfonate; pyrogallol derivatives (e.g., the trimesoyl salt of pyrogallol), trifluoromethanesulfonic acid esters of hydroxyimide, α' -bissulfonyl-diazomethane, sulfonic acid esters of nitro-substituted benzyl alcohol, naphthoquinone-4-diazide, alkyl disulfides, or the like.
In some embodiments wherein the photoactive compound is a free radical generator, the photoactive compound comprises n-phenylglycine; aromatic ketones including benzophenone, N '-tetramethyl-4, 4' -diaminobenzophenone, N '-tetraethyl-4, 4' -diaminobenzophenone, 4-methoxy-4 '-dimethylaminobenzophenone, 3' -dimethyl-4-methoxybenzophenone, p '-bis (dimethylamino) benzophenone, p' -bis (diethylamino) -benzophenone; anthraquinone, 2-ethylanthraquinone; naphthoquinone; and phenanthrenequinone; benzoin includes benzoin, benzoin methyl ether, benzoin isopropyl ether, benzoin n-butyl ether, benzoin phenyl ether, methylbenzin and ethylbenzoin; benzyl derivatives including dibenzyl, dibenzyl diphenyl disulfide and benzyl dimethyl ketal; acridine derivatives including 9-phenylacridine and 1, 7-bis (9-acridinyl) heptane; thioxanthones including 2-chlorothioxanthone, 2-methylthioxanthone, 2, 4-diethylthioxanthone, 2, 4-dimethylthioxanthone, and 2-isopropylthioxanthone; acetophenones, including 1, 1-dichloroacetophenone, p-tert-butyldichloroacetophenone, 2-diethoxyacetophenone, 2-dimethoxy-2-phenylacetophenone, and 2, 2-dichloro-4-phenoxyacetophenone; 2,4, 5-triarylimidazole dimers including 2- (o-chlorophenyl) -4, 5-diphenylimidazole dimer, 2- (o-chlorophenyl) -4, 5-di- (m-methoxyphenyl imidazole dimer, 2- (o-fluorophenyl) -4, 5-diphenylimidazole dimer, 2- (o-methoxyphenyl) -4, 5-diphenylimidazole dimer, 2- (p-methoxyphenyl) -4, 5-diphenylimidazole dimer, 2, 4-di (p-methoxyphenyl) -5-phenylimidazole dimer, 2- (2, 4-dimethoxyphenyl) -4, 5-diphenylimidazole dimer, and 2- (p-methylthiophenyl) -4, 5-diphenylimidazole dimer, combinations of these, or the like.
In some embodiments, the photoactive compound includes a quencher. In some embodiments, the quencher includes a photobase generator and a photodegradable base. In some embodiments, the quenching agent includes primary, secondary, and tertiary amines. In various embodiments in which the photoactive compound is a photobase generator (PBG), the photobase generator comprises a quaternary ammonium dithiocarbamate, an alpha aminoketone, an oxime-carbamate containing molecule such as benzophenone oxime hexamethylene dicarbamate, an ammonium tetraorganoborate, and N- (2-nitrobenzyl oxycarbonyl) cyclic amine, combinations of these, or the like.
In some embodiments wherein the photoactive compound is a photodegradable base (photo decomposable bases, PBD), the photodegradable base includes triphenylsulfonium hydroxide, triphenylsulfonium antimony hexafluoride, or triphenylsulfonium triflate.
In some embodiments, the concentration of photoactive compound ranges from about 0.1 wt% to about 20 wt% based on the total weight of the components of the resist composition. In other embodiments, the concentration of photoactive compound ranges from about 1 wt% to about 15 wt%. At concentrations of photoactive compounds below the disclosed ranges, the photoactive compounds have negligible effect on the properties of the resist. At concentrations above the disclosed range, there may be no substantial improvement in the resist properties.
As will be appreciated by those of ordinary skill in the art, the chemical compounds listed herein are merely illustrative examples of photoactive compounds and are not intended to limit embodiments to only those photoactive compounds specifically described. Furthermore, any suitable photoactive compound may be used, and all such photoactive compounds are fully intended to be included within the scope of the various embodiments herein.
In some embodiments, a crosslinking agent is added to the photoresist. The crosslinking agent reacts with one group from one of the plurality of hydrocarbon structures in the polymer and also with a second group from a separate one of the hydrocarbon structures so as to crosslink and bond the two hydrocarbon structures together. Such bonding and crosslinking increases the molecular weight of the polymer product of the crosslinking reaction and increases the overall link density of the photoresist. Such an increase in density and connection density helps to improve the resist pattern.
In some embodiments, the crosslinker has the following structure:
wherein C is carbon and n ranges from 1 to 15; a and B independently comprise a hydrogen atom, a hydroxyl group, a halide, an aromatic carbocyclic ring, or a straight or cyclic alkyl, alkoxy/fluoro, alkyl/fluoroalkoxy chain having between 1 and 12 carbon atoms, and each carbon C comprises a and B; the first terminal carbon C at the first end of the carbon C chain comprises X and the second terminal carbon C at the second end of the carbon chain comprises Y, wherein X and Y independently comprise an amine group, a thiol group, a hydroxyl group, an isopropyl alcohol group, or an isopropyl amine group, unless X and Y are bonded to the same carbon C when n=1. Specific examples of materials that may be used as cross-linking agents include the following:
Alternatively, instead of or in addition to adding the cross-linking agent to the photoresist composition, a coupling agent is added in some embodiments, wherein the coupling agent is added in addition to the cross-linking agent. The coupling agent assists the crosslinking reaction by reacting with a plurality of groups on the hydrocarbon structure in the polymer resin prior to the crosslinking agent, thereby reducing the reaction energy of the crosslinking reaction and increasing the rate of the reaction. The bonded coupling agent then reacts with the crosslinking agent, thereby coupling the crosslinking agent to the polymer resin.
Alternatively, in some embodiments, wherein a coupling agent is added to the photoresist without a crosslinking agent, the coupling agent is used to couple one group from one of the plurality of hydrocarbon structures to a second group of a separate one of the hydrocarbon structures in the polymer resin in order to crosslink and bond the two polymers together. However, in such embodiments, unlike the cross-linking agent, the coupling agent does not remain as part of the polymer, only assisting in the direct bonding of one hydrocarbon structure to another.
In some embodiments, the coupling reagent has the following structure:
Wherein R is a carbon atom, a nitrogen atom, a sulfur atom, or an oxygen atom; m comprises chlorine atom, bromine atom, iodine atom, - -NO 2 、--SO 3 -、--H--、--CN、--NCO、--OCN、--CO 2 -、--OH、--OR*、--OC(O)CR*、--SR、--SO 2 N(R*) 2 、--SO 2 R*、SOR、--OC(O)R*、--C(O)OR*、--C(O)R*、--Si(OR*) 3 、--Si(R*) 3 An epoxy group, or the like; and R is a substituted or unsubstituted C1-C12 alkyl, C1-C12 aryl, C1-C12 aralkyl, or the like. Specific examples of materials used as coupling agents in some embodiments include the following:
in some embodiments, the other additive of the resist composition is a stabilizer that helps prevent unwanted diffusion of acids generated during exposure of the resist. In some embodiments, the stabilizers include nitrogen-containing compounds, including aliphatic primary, secondary, and tertiary amines; cyclic amines including piperidine, pyrrolidine, morpholine; aromatic heterocycles including pyridine, pyrimidine, purine; imines, including diazabicycloundecene, guanidine, imide, amide, or the like. Alternatively, ammonium salts may also be used as stabilizers, in some embodiments, including ammonium, primary, secondary, tertiary and quaternary alkyl ammonium salts of alkoxides and aryl ammonium salts, including hydroxides, phenoxides, carboxylates, aryl and alkyl sulfonates, sulfonamides, or the like. Other cationic nitrogen-containing compounds are used in some embodiments, including pyridinium salts and salts of other heterocyclic nitrogen-containing compounds with anions, such as alkoxides, including hydroxides, phenoxides, carboxylates, aryl and alkyl sulfonates, sulfonamides, or the like.
In some embodiments of the resist, another additive is a dissolution inhibitor to help control dissolution of the resist during development. In one embodiment, bile salt esters may be utilized as dissolution inhibitors. In some embodiments, specific examples of dissolution inhibitors include cholic acid, deoxycholic acid, lithocholic acid, deoxycholic acid tert-butyl ester, lithocholic acid tert-butyl ester, and 3-acetyllithocholic acid tert-butyl ester.
In some embodiments of the resist, another additive is a plasticizer. Plasticizers can be used to reduce delamination and cracking between the photoresist and the underlying layer (e.g., the layer to be patterned). Plasticizers include monomeric, oligomeric, and polymeric plasticizers, such as oligomeric glycol ethers and polyethylene glycol ethers, cycloaliphatic esters, and non-acid reactive steroid-derived materials. Specific examples of materials for plasticizers in some embodiments include dioctyl phthalate, behenyl phthalate, triethylene glycol dicaprylate, dimethyl phthalate, tricresyl phosphate, dioctyl adipate, dibutyl sebacate, triacetyl glycerol, or the like.
The colorant is another additive included in some embodiments of the resist. A colorant observer inspects the photoresist and discovers any defects that may need to be remedied before further processing. In some embodiments, the colorant is a triarylmethane dye or a fine-particle organic pigment. Specific examples of materials in some embodiments include crystal violet, methyl violet, ethyl violet, oil blue #603, victoria pure blue BOH, malachite green, diamond green, phthalocyanine pigments, azo pigments, carbon black, titanium oxide, bright green dye (c.i. 42020), victoria pure blue FGA (linebrown), victoria BO (linebrown) (c.i. 42595), victoria blue BO (c.i. 44045), rhodamine 6G (c.i. 45160), benzophenone compounds such as 2, 4-dihydroxybenzophenone and 2,2', 4' -tetrahydroxybenzophenone; salicylic acid compounds such as phenyl salicylate and 4-t-butylphenyl salicylate; phenyl acrylate compounds such as ethyl 2-cyano-3, 3-diphenylacrylate, and 2' -ethylhexyl-2-cyano-3, 3-diphenylacrylate; benzotriazole compounds such as 2- (2-hydroxy-5-methylphenyl) -2H-benzotriazole, and 2- (3-tert-butyl-2-hydroxy-5-methylphenyl) -5-chloro-2H-benzotriazole; coumarin compounds such as 4-methyl-7-diethylamino-1-benzopyran-2-one; thioxanthone compounds, such as diethylthioxanthone; stilbene compounds, naphthalene dicarboxylic acid compounds, azo dyes, phthalocyanine blue, phthalocyanine green, iodine green, victoria blue, crystal violet, titanium oxide, naphthalene black, methyl violet, bromophenol blue, bromocresol green; laser dyes such as rhodamine G6, coumarin 500, DCM (4- (dicyanomethylene) -2-methyl-6- (4-dimethylaminostyryl) -4H-pyran), kiton Red 620, pyrrole methylene 580, or the like. Further, one or more colorants may be used in combination to provide the desired coloration.
An adhesion additive is added in some embodiments of the resist to promote adhesion between the resist and the underlying layer (e.g., the layer to be patterned) on which the resist has been applied. In some embodiments, the adhesion additive includes a silane compound having at least one reactive substituent, such as a carboxyl group, a methacryloyl group, an isocyanate group, and/or an epoxy group. Specific examples of the adhesive component include trimethoxysilylbenzoic acid, γ -methacryloxypropyl trimethoxysilane, vinyltriacetoxysilane, vinyltrimethoxysilane, γ -isocyanatopropyl triethoxysilane, γ -glycidoxypropyl trimethoxysilane, β - (3, 4-epoxycyclohexyl) ethyltrimethoxysilane, benzimidazole and polybenzimidazole, lower hydroxyalkyl substituted pyridine derivatives, aza-cycle compounds, urea, thiourea, organophosphorus compounds, 8-hydroxyquinoline, 4-hydroxyppteridine and its derivatives, 1, 10-phenanthroline and its derivatives, 2' -bipyridine and its derivatives, benzotriazoles, organophosphorus compounds, phenylenediamine compounds, 2-amino-1-phenylethanol, N-phenylethanolamine, N-ethyldiethanolamine, N-ethylethanolamine and its derivatives, benzothiazole, and benzothiazole amine salts with cyclohexyl and morpholino rings, 3-glycidoxypropyl trimethoxysilane, 3-glycidoxypropyl triethoxysilane, 3-mercaptopropyl trimethoxysilane, 3-mercaptopropyl triethoxysilane, 3-methylpropyl silane, trimethoxy-propyl silane, or the like.
A surface leveling agent is added to some embodiments of the resist to help flatten the top surface of the resist so that incident light is not adversely altered by uneven surfaces. In some embodiments, the surface leveling agent includes a fluoroaliphatic ester, a hydroxyl-terminated fluorinated polyether, a fluorinated ethylene glycol polymer, a silicone, an acrylic polymer leveling agent, combinations thereof, or the like.
In some embodiments, the polymer, monomer, or oligomer, and photoactive compound, along with any desired additives or other agents, are added to a solvent for administration. Once added, the mixture is then mixed to obtain a homogeneous composition throughout the photoresist to ensure that there are no defects caused by non-uniform mixing or non-homogeneous composition of the photoresist. Once mixed together, the photoresist may be stored prior to use, or used immediately.
Once ready, a photoresist is applied to the layer to be patterned (operation S110), as shown in fig. 2, e.g., the substrate 10, to form a photoresist layer 15. In some embodiments, the photoresist is applied using a process such as a spin coating process, dip coating process, air knife coating process, curtain coating process, wire bar coating process, gravure coating process, lamination process, extrusion coating process, combinations of these, or the like. In some embodiments, the thickness of the photoresist layer 15 ranges from about 10 nanometers to about 300 nanometers.
After the photoresist layer 15 has been applied to the substrate 10, in some embodiments, a pre-exposure bake is performed on the photoresist layer (operation S120) to cure and dry the photoresist before radiation exposure (see fig. 1). Curing and drying of the photoresist layer 15 removes the solvent while leaving behind the polymer, photoactive compound, and other selected additives. In some embodiments, the pre-exposure bake (operation S120) is performed at a temperature suitable for evaporating the solvent, for example, between about 40 ℃ and 120 ℃, although the exact temperature depends on the material selected for the photoresist. The pre-exposure bake is performed for a time sufficient to cure and dry the photoresist layer, for example, between about 10 seconds and about 10 minutes.
Fig. 3A and 3B illustrate selective exposure of a photoresist layer to form exposed areas 50 and unexposed areas 52. In some embodiments, exposure to radiation is performed by placing the photoresist-coated substrate in a photolithography tool; the exposed photoresist-coated substrate 250 is then formed. The photolithography tool includes a reticle 30/65, optics, a source of exposure radiation providing radiation 45/97 for exposure, and a movable stage for supporting and moving the substrate under the exposure radiation.
In some embodiments, a radiation source (not shown) provides radiation 45/97, such as ultraviolet light, to photoresist layer 15 and the radiation is absorbed by the polymer, photoactive compound, or metal particles to initiate a reaction in the photoresist layer to chemically alter those areas of the photoresist layer that are irradiated by radiation 45/97. In some embodiments, the radiation is electromagnetic radiation, such as g-line (wavelength of about 436 nm), i-line (wavelength of about 365 nm), ultraviolet radiation, extreme ultraviolet, electron beam, or the like. In some embodiments, the radiation source is selected from the group consisting of mercury vapor lamps, xenon lamps, carbon arc lamps, krF excimer lasers (wavelength of 248 nanometers), arF excimer lasers (wavelength of 193 nanometers), F 2 Excimer laser (157 nm wavelength), or CO 2 A laser-excited Sn plasma (extreme ultraviolet, 13.5 nm wavelength).
In some embodiments, multiple optics (not shown) are used in the photolithography tool to spread, reflect, or otherwise control the radiation before or after patterning the radiation 45/97 via the mask 30/65. In some embodiments, the optics include one or more lenses, mirrors, filters, or combinations thereof to control the radiation 45/97 along the path of the optics.
In some embodiments, the exposure of the photoresist layer 15 uses immersion lithography. In such a technique, an immersion medium (not shown) is placed between the final optics and the photoresist layer, and exposure radiation 45 passes through the immersion medium.
After the photoresist layer 15 has been exposed to the exposure radiation 45 (operation S130), in some embodiments, a post-exposure bake is performed (operation S140) to further promote the reaction initiated during the selective exposure to actinic radiation. In some embodiments including photoactive compounds, post-exposure baking aids in the photocleavage of the polymer, as well as the generation, dispersion, and reaction of acid/base/radicals generated by the impingement of photoactive compounds from radiation 45 during exposure. Such thermal assistance helps to create or enhance a chemical reaction that creates a chemical differential within photoresist layer 15 between exposed areas 50 and unexposed areas 52. These chemical differences also result in differences in solubility between the exposed areas 50 and the unexposed areas 52. In some embodiments, the post-exposure bake (operation S140) occurs at a temperature ranging from about 70 ℃ to about 160 ℃ for a period of between about 20 seconds to about 120 seconds.
In some embodiments, the photoresist developer 57 includes a solvent, and an acid or base. In some embodiments, the concentration of the solvent is from about 60 wt% to about 99 wt% based on the total weight of the photoresist developer. The acid or base concentration is from about 0.001 wt% to about 20 wt% based on the total weight of the photoresist developer. In certain embodiments, the acid or base concentration in the developer is from about 0.01 wt% to about 15 wt% based on the total weight of the photoresist developer.
In some embodiments, the developer includes a solvent (THF) selected from the group consisting of Propylene Glycol Methyl Ether Acetate (PGMEA), propylene Glycol Monomethyl Ether (PGME), 1-ethoxy-2-Propanol (PGEE), gamma-butyrolactone (GBL), cyclohexanone (CHN), ethyl Lactate (EL), methanol, ethanol, propanol, n-butanol, acetone, dimethylformamide (DMF), isopropanol (IPA), tetrahydrofuran, methyl isobutyl Methanol (MIBC), n-butyl acetate (nBA), 2-heptanone (MAK), and isobutyl propionate. In other embodiments, the developer is an aqueous solvent, including a tetramethylammonium hydroxide (TMAH) solution.
In some embodiments, the developer 57 is applied to the photoresist layer 15 using a spin-on process. In the spin coating process, the developer 57 is applied to the photoresist layer 15 from above the photoresist layer 15 while rotating the photoresist-coated substrate, as shown in fig. 4. In some embodiments, the developer 57 is supplied at a rate of between about 5ml/min and about 800ml/min, while the photoresist-coated substrate 10 is rotated at a speed of between about 100rpm and about 2000 rpm. In some embodiments, the temperature of the developer is between about 10 ℃ and about 80 ℃. In some embodiments, the developing operation (operation S150) continues for between about 30 seconds to about 10 minutes.
While spin coating is one suitable method for developing the developed photoresist layer 15 after exposure, this is intended to be illustrative and not limiting of the embodiments. Still further, any suitable development operation may alternatively be used, including dipping processes, stirring processes, and spraying methods. All such development operations are included within the scope of the various embodiments.
During the development process (operation S150), the developer 57 dissolves the negative resist or radiation unexposed areas 52 of the negative developed resist to form a pattern 55A, exposing the surface of the substrate 10, as shown in fig. 5A, leaving well-defined exposed resist areas 50 with improved resolution compared to that provided by conventional negative resist photolithography. In other embodiments, the developer 57 dissolves the radiation-exposed regions 50 of positive resist to form a pattern 55B, exposing the surface of the substrate 10, as shown in FIG. 5B, leaving well-defined unexposed regions 52 of photoresist with improved resolution compared to that provided by conventional positive resist photolithography.
After the developing operation S150, the remaining developer is removed from the patterned photoresist-covered substrate. In some embodiments, a spin drying process is used to remove the remaining developer, although any suitable removal technique may be used. After developing the photoresist layer 15 and removing the remaining developer, additional processing is performed while the patterned photoresist layer (exposed areas 50, unexposed areas 52) is in place. For example, in some embodiments, an etching operation is performed using dry or wet etching to transfer the patterns 55a, 55B of the photoresist layer to the underlying substrate 10, forming a plurality of recesses 55a ', 55B', as shown in fig. 6A and 6B. The substrate 10 has a different etching resistance from the photoresist layer 15. In some embodiments, the etchant is more selective to the substrate 10 than the photoresist layer 15.
In some embodiments, the substrate 10 and the photoresist layer 15 comprise at least one etch-resistant molecule. In some embodiments, the etch-resistant molecule comprises a molecule having a low oanesi number (oni number) structure, a double bond, a triple bond, silicon nitride, titanium nitride, aluminum oxide, silicon oxynitride, combinations thereof, or the like.
In some embodiments, the target layer 60 to be patterned is disposed over the substrate prior to forming the photoresist layer, as shown in fig. 7. In some embodiments, the target layer 60 is a metallization layer or a dielectric layer, such as a passivation layer disposed over the metallization layer. In various embodiments where the target layer 60 is a metallization layer, the target layer 60 is formed of a conductive material using a metallization process and metal deposition techniques, including chemical vapor deposition, atomic layer deposition, physical vapor deposition (sputtering), or electroplating. Likewise, if the target layer 60 is a dielectric layer, the target layer 60 is formed via dielectric layer formation techniques including thermal oxidation, chemical vapor deposition, atomic layer deposition, and physical vapor deposition.
The photoresist layer 15 is then selectively exposed to actinic radiation 45, 97 to form exposed areas 50 and unexposed areas 52 in the photoresist layer, as shown in fig. 8A and 8B, and as described herein with respect to fig. 3A and 3B. The exposed photoresist-coated substrate 260 is then subjected to post-exposure baking (operation S140).
As shown in fig. 9, the photoresist layer 15 is developed via dispensing of the developer 57 from the dispenser 62 to form a pattern of a plurality of photoresist openings 55a, 55B, as shown in fig. 10A and 10B. The developing operation is similar to that explained herein with reference to fig. 4 to 5B. In some embodiments, wherein the photoresist is a negative-tone photoresist or a negative-tone developed photoresist, the unexposed portions 52 of the photoresist layer are removed via the developer 57, forming a pattern of a plurality of openings 55a in the photoresist layer 15 to expose the target layer 60, as shown in fig. 10A. In other embodiments, wherein the photoresist is a positive type photoresist, the exposed portions 50 of the photoresist layer are removed via the developer 57, a pattern of a plurality of openings 55B is formed in the photoresist layer 15 to expose the target layer 60, as shown in fig. 10B.
Then, as shown in fig. 11A and 11B, the patterns 55a, 55B in the photoresist layer 15 are transferred to the target layer 60 using an etching operation, and the photoresist layer is removed, as explained with reference to fig. 6A and 6B, to form patterns 55a ", 55B" in the target layer 60.
Other embodiments include other operations before, during, or after the operations described above. In some implementations, the disclosed methods include forming semiconductor devices, including fin field effect transistor (FinFET) structures. In some embodiments, a plurality of active fins are formed on a semiconductor substrate. Such embodiments further include etching the substrate through the plurality of openings of the patterned hard mask to form a plurality of trenches in the substrate; filling the trenches with a dielectric material; performing a Chemical Mechanical Polishing (CMP) process to form a plurality of Shallow Trench Isolation (STI) features; and epitaxially growing or recessing the shallow trench isolation features to form a plurality of fin-like active regions. In some embodiments, one or more gate electrodes are formed on a substrate. Some embodiments include forming gate spacers, doped source/drain regions, contacts for gate/source/drain features, and the like. In other embodiments, the target pattern is formed as a plurality of metal lines in a multi-layer interconnect structure. For example, the metal lines may be formed in an interlayer dielectric (ILD) layer of the substrate that has been etched to form a plurality of trenches. These trenches may be filled with a conductive material (e.g., metal); and polishing the conductive material may use a process such as Chemical Mechanical Planarization (CMP) to expose the patterned interlayer dielectric layer, thereby forming a plurality of metal lines in the interlayer dielectric layer. The foregoing are a number of non-limiting examples of devices/structures that may be manufactured and/or improved using the methods described herein.
In accordance with various embodiments of the present disclosure, in some embodiments, a plurality of active components are formed, such as diodes, field Effect Transistors (FETs), metal Oxide Semiconductor Field Effect Transistors (MOSFETs), complementary Metal Oxide Semiconductor (CMOS) transistors, bipolar transistors, high voltage transistors, high frequency transistors, fin field effect transistors, other three-dimensional (3D) field effect transistors, other memory cells, and combinations thereof.
The novel photoresist composition and semiconductor device manufacturing method according to the present disclosure provide higher semiconductor device feature yields. Various embodiments of the present disclosure include methods and materials for reducing residue defects, thereby improving pattern resolution, reducing line width roughness, reducing line edge roughness, and improving yield of semiconductor devices. Embodiments of the present disclosure are also capable of using lower exposure doses to efficiently expose and pattern photoresist.
One embodiment of the present disclosure is a method of manufacturing a semiconductor device, including forming a photoresist layer over a substrate, the photoresist layer including a photoresist composition. The photoresist layer is selectively exposed to actinic radiation and the selectively exposed photoresist layer is developed to form a pattern in the photoresist layer. The photoresist composition comprises a polymer comprising a plurality of monomer units having a plurality of photocleavable accelerators, Wherein the photocleavable promoter is one or more selected from the group consisting of a living radical polymerization chain transfer agent, an electron withdrawing group, a bulky two-dimensional (2-D) or three-dimensional (3-D) organic group, N- (acyloxy) phthalimide, and an electron-excited radical generator. In one embodiment, the photoresist composition includes a photoacid generator. In one embodiment, the photoresist composition includes an electronically excited radical generator additive. In one embodiment, the electron-excited free radical generator additive is 1, 4-benzoquinone. In one embodiment, the photocleavage accelerator comprises an electron withdrawing group selected from the group consisting of halogen, -CHO, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C1-C40 alkoxy, C1-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C1-C40 alkenyl, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, or a combination thereof. In one embodiment, the photocleavable promoter comprises a bulky two-or three-dimensional organic group selected from the group consisting of C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, basket alkyl, and combinations thereof. In one embodiment, the bulky two-or three-dimensional group is substituted with one or more of halogen, -OH, C1-C40 alkyl, C2-C40 alkyl ether, C2-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C2-C40 alkylene, phenyl, anthracenyl, C1-C40 alkylcarboxy, or C1-C40 alkylamide groups. In one embodiment, the photocleavable promoter comprises N- (acetoxy) phthalimide. In one embodiment, the photocleavable promoter comprises an electron-excited free radical generator, and the electron-excited free radical generator is a 1, 4-benzoquinone group. In one embodiment, the photocleavable promoter comprises a living radical polymerization chain transfer agent as the polymerization Polymer backbone end units of the polymer. In one embodiment, the living radical polymerization chain transfer agent is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group, (2, 6-tetramethylpiperidin-1-yl) oxy, -SC (=s) Z group, and-SC (=s) SZ, wherein Z is C1-C20 alkyl, C6-C20 aryl, or C7-C20 aralkyl. In one embodiment, the developing forms a negative-type developed pattern.
Another embodiment of the present disclosure is a method of manufacturing a semiconductor device, including forming a resist layer over a target layer, the resist layer including a resist composition, and patterning the resist layer. The resist composition comprises a polymer comprising one or more monomer units selected from the group consisting of electron withdrawing groups, two-dimensional (2-D) or three-dimensional (3-D) organic groups, N- (acyloxy) phthalimides, and electron-excited free radical generators; or the polymer comprises a plurality of terminal units comprising living radical polymerization chain transfer agents. In one embodiment, the resist composition comprises a polymer comprising a plurality of terminal units comprising a living radical polymerization chain transfer agent, wherein the living radical chain transfer unit is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z is a C1-C20 alkyl group, a C6-C20 aryl group, or a C7-C20 aralkyl group. In one embodiment, the resist composition comprises a polymer comprising one OR more monomer units comprising an electron withdrawing group selected from the group consisting of halogen, -C (=o) H, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C1-C40 alkoxy, C1-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C1-C40 alkeneA hydrocarbyl group, a C6-C40 aryl group, a C1-C40 alkylamide group, a C7-C40 aralkyl group, or a combination thereof. In one embodiment, the resist composition comprises a two or three dimensional organic group selected from the group consisting of C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracenyl, triphenylmethyl, norbornyl, cubanyl, adamantyl, basket alkyl, and combinations thereof, wherein the two or three dimensional organic group is unsubstituted or substituted with one or more of halogen, -OH, C1-C40 alkyl, C2-C40 alkyl ether, C1-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkenyl, phenyl, anthracenyl, C1-C40 alkylcarboxy, and C1-C40 alkylamide groups. In one embodiment, the resist composition includes a substituted or unsubstituted N- (acyloxy) phthalimide.
Another embodiment of the present disclosure is a method of manufacturing a semiconductor device, including forming a photoresist layer over a substrate, the photoresist layer including a photoresist composition. The photoresist layer is selectively exposed to actinic radiation to form a latent pattern and the latent pattern is developed to form a pattern in the photoresist layer. The photoresist composition comprises a polymer made from a plurality of monomer units u, v, x, y, and z, represented by the following structure:
wherein: r1 is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z is C1-C20 alkyl, C6-C20 aryl, or C7-C20 aralkyl; r2 is selected from the group consisting of H, halogen, -C (=o) H, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C1-C40 alkoxy, C1-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C2-C40 alkenyl, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, basket alkyl, or a combination thereof; r3 is a substituted or unsubstituted N- (acyloxy) phthalimide; r4 is an electron-excited free radical generator; and the molar concentration of each monomer unit u, v, x, y, and z is in the range of 0% to 100%, wherein at least one of the plurality of monomer units u, v, x, y, and z is included in the polymer. In one embodiment, the polymer is made from 1% to 100% of a plurality of monomer units u or v. In one embodiment, the polymer comprises a substituted or unsubstituted N- (acyloxy) phthalimide. In one embodiment, the developing forms a negative-type developed pattern.
Another embodiment of the present disclosure is a composition comprising a polymer made from a plurality of monomer units u, v, x, y, and z, represented by the structure:
wherein: r1 is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z is C1-C20 alkyl, C6-C20 aryl, or C7-C20 aralkyl; r2 is selected from the group consisting of H, halogen, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C1-C40 alkoxy, C2-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl which is substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C2-C40 alkenyl, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubanyl, adamantyl, basket alkyl, or a combination thereof; r3 is a substituted or unsubstituted N- (acyloxy) phthalimide; r4 is an electron-excited free radical generator; and the molar concentration of each monomer unit u, v, x, y, and z is in the range of 0% to 100%, wherein at least one of the plurality of monomer units u, v, x, y, and z is included in the polymer. The composition includes a solvent. In one embodiment, the composition comprises a photoactive compound. In one embodiment, the photoactive compound is a photoacid generator. In one embodiment, the polymer is made from 1% to 100% of a plurality of monomer units u or v. In one embodiment, the polymer comprises a substituted or unsubstituted N- (acyloxy) phthalimide. In one embodiment, the polymer comprises monomer units u, and R4 is a 1, 4-benzoquinone group. In one embodiment, the composition includes an electronically excited free radical generator additive. In one embodiment, the electron-excited free radical generator additive is a substituted or unsubstituted 1, 4-benzoquinone. In one embodiment, the concentration of the electron-excited free radical generator ranges from 1 wt% to 60 wt% based on the total weight of the electron-excited free radical generator, the polymer, and the photoacid generator.
Another embodiment of the present disclosure is a composition comprising a polymer comprising a plurality of monomer units having a plurality of photocleavable accelerators, wherein the photocleavable accelerators are one or more selected from the group consisting of living free radical polymerizable chain transfer agents, electron withdrawing groups, bulky two-dimensional (2-D) or three-dimensional (3-D) organic groups, N- (acyloxy) phthalimides, and electronically excited free radical generators. The composition comprises a photoactive compound and a solvent. In one embodiment, the photoactive compound includes a photoacid generator. In one embodiment, the composition includes an electronically excited free radical generator additive. In one embodiment, the electron-excited free radical generator additive is 1, 4-benzoquinone, or a substitutedBenzoquinone substituted with C1-C40 alkyl, C2-C40 alkoxide, C2-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted with an acid labile group via-CO-or-COO-linkage, C2-C40 alkenyl, C6-C40 aryl, C7-C40 aralkyl, phenyl, naphthyl, anthracenyl, phenanthryl, C1-C40 alkylcarboxy, or C1-C40 alkylamide. In one embodiment, the photocleavage accelerator comprises an electron withdrawing group selected from the group consisting of halogen, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C2-C40 alkoxy, C2-C40 alkyl ester group, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C2-C40 alkylene, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, or a combination thereof. In one embodiment, the photocleavable promoter comprises a bulky two-or three-dimensional organic group selected from the group consisting of C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, basket alkyl, and combinations thereof. In one embodiment, the bulky two-or three-dimensional group is substituted with one or more of halogen, -OH, C1-C40 alkyl, C2-C40 alkyl ether, C2-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C2-C40 alkylene, phenyl, anthracenyl, C1-C40 alkylcarboxy, and C1-C40 alkylamide groups. In one embodiment, the photocleavable accelerators include N- (acetoxy) phthalimide, or substituted N- (acetoxy) phthalimide substituted with C1-C40 alkyl, C1-C40 alkoxide, C1-C40 alkyl ester, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted with an acid labile group via-C (=O) -or-C (=O) -O-linkage, C2-C40 alkylene, C6-C40 aryl, C7-C40 aralkyl, phenyl, naphthyl, anthracenyl, phenanthryl, C1-C40 alkylcarboxy, or C1-C40 alkylamide. In one embodiment The photocleavable promoter comprises a 1, 4-benzoquinone group as a free radical generator excited by electrons. In one embodiment, the photocleavage accelerator comprises a living radical polymerization chain transfer agent selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z is a C1-C20 alkyl group, a C6-C20 aryl group, or a C7-C20 aralkyl group, as a polymer backbone terminal unit of the polymer. In one embodiment, the composition comprises a quencher.
The foregoing has outlined features of several embodiments or examples so that those skilled in the art may better understand the various aspects of the disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing and modifying other processes and structures for carrying out the same purposes or achieving the same advantages of the embodiments and embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (10)

1. A method of manufacturing a semiconductor device, comprising:
forming a photoresist layer over the substrate, the photoresist layer comprising a photoresist composition;
exposing the photoresist layer selectively to actinic radiation; and
developing the selectively exposed photoresist layer to form a pattern in the photoresist layer,
wherein the photoresist composition comprises a polymer comprising a plurality of monomer units having a plurality of photocleavable accelerators; and
the photocleavable promoter is one or more selected from the group consisting of a living radical polymerization chain transfer agent, an electron withdrawing group, a bulky two-or three-dimensional organic group, N- (acyloxy) phthalimide, and an electron-excited radical generator.
2. The method of manufacturing a semiconductor device of claim 1, wherein the photoresist composition further comprises an electronically excited radical generator additive.
3. The method of manufacturing a semiconductor device of claim 1, wherein the photo-cleavage promoter comprises a bulky two-or three-dimensional organic group selected from the group consisting of C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, basket alkyl, and combinations thereof.
4. The method for manufacturing a semiconductor device according to claim 1, wherein the photo-cleavage accelerator comprises N- (acetoxy) phthalimide.
5. The method of manufacturing a semiconductor device according to claim 1, wherein the photo-cleavage promoter comprises an electron-excited radical generator, and the electron-excited radical generator is a 1, 4-benzoquinone group.
6. A method of manufacturing a semiconductor device, comprising:
forming a resist layer over a target layer, the resist layer comprising a resist composition; and
patterning the resist layer;
wherein the composition of the resist comprises: a polymer comprising one or more monomer units having a plurality of substituents selected from the group consisting of an electron withdrawing group, a two-dimensional (2-D) or three-dimensional (3-D) organic group, an N- (acyloxy) phthalimide, and an electronically excited free radical generator; or a polymer comprising a plurality of terminal units, said terminal units comprising a living radical polymerization chain transfer agent.
7. The method of manufacturing a semiconductor device according to claim 6, wherein the resist composition comprises the polymer, the polymer comprising a plurality of terminal units comprising a living radical polymerization chain transfer agent, wherein the living radical polymerization chain transfer unit is selected from the group consisting of F, cl, br, I, -ON (C (CH 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z is a C1-C20 alkyl group, a C6-C20 aryl group, or a C7-C20 aralkyl group.
8. The method of manufacturing a semiconductor device according to claim 6, wherein the resist composition comprises the polymer, the polymer comprising one OR more monomer units having an electron withdrawing group selected from the group consisting of halogen, -C (=o) H, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (O) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C2-C40 alkoxy, C2-C40 alkyl ester group, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C2-C40 alkylene, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, or a combination thereof.
9. A photoresist composition comprising:
a polymer made from a plurality of monomer units u, v, x, y, and z, represented by a structure:
wherein:
r1 is selected from the group consisting of F, cl, br, I, -ON (C (CH) 3 ) 3 ) 2 A group consisting of a (2, 6-tetramethylpiperidin-1-yl) oxy group, -SC (=s) Z group, and-SC (=s) SZ group, wherein Z is C1-C20 alkyl, C6-C20 aryl, or C7-C20 aralkyl;
R2 is selected from the group consisting of H, halogen, -C (=o) H, -C (=o) R, -C (=o) OR, -C (=o) OH, -C (=o) Cl, -CF 3 、-CN、-SO 3 H、-(NH 3 ) + 、-(NR 3 ) + 、-N + (=o) O, wherein R is C1-C40 alkyl, C2-C40 alkoxy, C2-C40 alkyl ester group, C1-C40 hydroxyalkyl, C1-C40 alkylamino, C1-C40 alkyl substituted at the-C (=o) -or-C (=o) O-site of the alkyl side group with an Acid Labile Group (ALG), C2-C40 alkenyl, C6-C40 aryl, C1-C40 alkylamido, C7-C40 aralkyl, C4-C16 tertiary alkyl, C6-C12 cycloalkyl, phenyl, naphthyl, phenanthryl, anthracyl, triphenylmethyl, norbornyl, cubic alkyl, adamantyl, bastardinyl, or a combination thereof;
r3 is a substituted or unsubstituted N- (acyloxy) phthalimide;
r4 is an electron-excited free radical generator; and is also provided with
One molar concentration of each monomer unit u, v, x, y, z ranges from 0% to 100%,
wherein at least one of the plurality of monomer units u, v, x, y, and z is included in the polymer; and
a solvent.
10. The photoresist composition of claim 9, wherein the polymer is made from 1% to 100% of the plurality of monomer units u or v.
CN202311239301.5A 2022-09-26 2023-09-25 Method for manufacturing semiconductor device and photoresist composition Pending CN117420728A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/410,042 2022-09-26
US18/200,495 2023-05-22
US18/200,495 US20240126170A1 (en) 2022-09-26 2023-05-22 Method of manufacturing a semiconductor device and photoresist composition

Publications (1)

Publication Number Publication Date
CN117420728A true CN117420728A (en) 2024-01-19

Family

ID=89521878

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202311239301.5A Pending CN117420728A (en) 2022-09-26 2023-09-25 Method for manufacturing semiconductor device and photoresist composition

Country Status (1)

Country Link
CN (1) CN117420728A (en)

Similar Documents

Publication Publication Date Title
KR102395397B1 (en) Photoresist composition and method of forming photoresist pattern
TWI757987B (en) Photoresist composition, method of manufacturing semiconductor device, and method of forming photoresist pattern
US20230393464A1 (en) Photoresist composition and method of forming photoresist pattern
CN112748647A (en) Photoresist developer and method for developing photoresist
US20210311388A1 (en) Photoresist composition and method of manufacturing semiconductor device
CN117420728A (en) Method for manufacturing semiconductor device and photoresist composition
TW202126710A (en) Photoresist composition and method of manufacturing a semiconductor device
CN112987515A (en) Method of manufacturing semiconductor device and semiconductor device manufacturing tool
US20240126170A1 (en) Method of manufacturing a semiconductor device and photoresist composition
TWI815097B (en) Photoresist composition and method of manufacturing semiconductor device
TWI790553B (en) Photoresist composition and method of manufacturing a semiconductor device
US20230393467A1 (en) Resin, photoresist composition, and method of manufacturing semiconductor device
US20210200091A1 (en) Underlayer composition and method of manufacturing a semiconductor device
US20210341837A1 (en) Resin, photoresist composition, and method of manufacturing semiconductor device
KR20210157347A (en) Photoresist composition and method of forming photoresist pattern
US20210364916A1 (en) Photoresist composition and method of forming photoresist pattern
US20210271164A1 (en) Photoresist composition and method of manufacturing a semiconductor device
TW202244619A (en) Method of manufacturing a semiconductor device
CN113126425A (en) Method of forming photoresist pattern
TW202136327A (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination