CN117165927A - Method for depositing conformal BCN films - Google Patents

Method for depositing conformal BCN films Download PDF

Info

Publication number
CN117165927A
CN117165927A CN202311137299.0A CN202311137299A CN117165927A CN 117165927 A CN117165927 A CN 117165927A CN 202311137299 A CN202311137299 A CN 202311137299A CN 117165927 A CN117165927 A CN 117165927A
Authority
CN
China
Prior art keywords
boron carbide
carbide film
substrate
boron
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202311137299.0A
Other languages
Chinese (zh)
Inventor
程睿
A·B·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117165927A publication Critical patent/CN117165927A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/0405Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising semiconducting carbon, e.g. diamond, diamond-like carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/342Boron nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/02Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition
    • C23C18/12Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material
    • C23C18/1204Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by thermal decomposition characterised by the deposition of inorganic material other than metallic material inorganic material, e.g. non-oxide and non-metallic such as sulfides, nitrides based compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Thermal Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

Methods for forming a boron carbide film on a substrate surface by exposing the substrate surface to a borane precursor. The boron carbide film optionally comprises nitrogen and/or hydrogen. The boron carbide film may be deposited on a surface that does not substantially contain boron. The borane precursor may include a borane having the general formula NHR 2 BH 3 Wherein each R is independently selected from the group consisting of: hydrogen, C1-C10 alkyl, C1-C10 alkenyl, and aryl.

Description

Method for depositing conformal BCN films
The present application is a divisional application of the inventive patent application entitled "method for depositing conformal BCN film" with application number 20160054333. X, day 2016, 09, 17.
Technical Field
Embodiments of the present disclosure generally relate to methods of forming boron carbide films. More particularly, embodiments of the present disclosure relate to methods of forming boron carbide films, boron carbonitride films, and/or boron nitride films.
Background
Due to the strong covalent bonds, the elements boron, carbon and nitrogen can form B-C-N materials with many useful properties. For example, the material may be formed with high hardness, high temperature stability, enhanced reactive ion etch (reactive ion etching; RIE) selectivity, and/or enhanced solvent etchant resistance. Efforts have been focused on diamond-like carbon (DLC) films, boron carbide films, and boron nitride films. Research has also been focused on ternary compounds such as BCN.
Conventional processes use high temperature chemical vapor deposition (chemical vapor deposition; CVD) at temperatures greater than about 550 ℃ or use plasma enhanced CVD. However, high temperatures limit the application of such films and plasma treatment reduces film conformality due to the plasma distribution.
Accordingly, there is a need in the art for a method of forming a film having good conformality and etch selectivity at low temperatures.
Disclosure of Invention
One or more embodiments of the present disclosure relate to a processing method that includes exposing a substrate surface that is substantially free of boron to a borane precursor in a processing chamber at a temperature in a range of about 300 ℃ to about 550 ℃ to form a boron carbide film.
Additional embodiments of the present disclosure relate to a processing method that includes placing a substrate having a surface in a processing chamber. The surface of the substrate is exposed to a borane precursor at a temperature in the range of about 300 ℃ to about 550 ℃ to form a boron carbide film. The borane precursor includes a compound having the general formula NHR 2 BH 3 Wherein each R is independently selected from the group consisting of: hydrogen, C1-C10 alkyl, C1-C10 alkenyl, and aryl, provided that at least one of the R groups contains a carbon atom.
Further embodiments of the present disclosure relate to a processing method that includes placing a substrate having a surface that is substantially free of boron in a processing chamber. The surface has at least one feature thereon. At about 30Exposing the surface of the substrate to a borane precursor and an optional co-reactant at a temperature in the range of 0 ℃ to about 550 ℃ to form a conformal hard mask comprising a boron carbide film on at least one feature. The borane precursor comprises NH (CH) 3 ) 2 BH 3 . The coreactant is selected from the group consisting of: hydrogen, B 2 H 6 、CH 4 、C 2 H 2 、C 3 H 6 、NH 3 And combinations of the above.
Detailed Description
As used in this specification and the appended claims, the terms "substrate" and "wafer" are used interchangeably, both referring to the surface, or portion of the surface, upon which the process acts. Those skilled in the art will also appreciate that references to a substrate may refer to only a portion of a substrate unless the context clearly indicates otherwise.
Reference throughout this specification to "one embodiment," "certain embodiments," "various embodiments," "one or more embodiments," or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment may be included in at least one embodiment of the present disclosure. Furthermore, appearances of such phrases as "in one or more embodiments," "in some embodiments," "in one embodiment," or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the present disclosure. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments.
As used in this specification and the appended claims, the terms "substrate" and "wafer" are used interchangeably, both referring to a sheet of material having a surface, or portion of a surface, upon which a process acts. Those skilled in the art will also appreciate that references to a substrate may refer to only a portion of a substrate unless the context clearly indicates otherwise. In addition, references to deposition on a substrate may refer to both a bare substrate and a substrate having one or more films or features deposited or formed thereon.
As used herein, "substrate surface" refers to the exposed face of any substrate or the surface of a material formed on a substrate on which a film treatment is performed during a manufacturing process. For example, the substrate surface on which the process may be performed includes materials such as silicon, silicon dioxide, strained silicon, silicon-on-insulator (SOI), carbon doped silicon oxide, silicon nitride, silicon carbide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other material such as metals, metal nitrides, metal carbides, metal alloys, and other conductive materials, depending on the application. Substrates include, but are not limited to, semiconductor and insulating wafers, which may or may not have been further processed to produce electronic and/or optoelectronic devices. The substrate may be exposed to a pretreatment process to clean, polish, etch, reduce, oxidize, hydroxylate, anneal, and/or bake the substrate surface. In addition to film processing directly on the surface of the substrate itself, in embodiments of the present disclosure, any of the disclosed film processing steps may also be performed on an underlying layer formed on the substrate, as disclosed in more detail below, and the term "substrate surface" is intended to include such underlying layer(s) as indicated above and below, such as through-holes penetrating through thin semiconductor and/or insulating layers on an SOI wafer.
The substrate used with embodiments of the present disclosure may be any suitable substrate. In some embodiments, the substrate is a rigid, discrete, substantially planar substrate. As used in this specification and the appended claims, the term "discrete" when referring to a substrate means that the substrate has a fixed size. The substrate of one or more embodiments is a semiconductor substrate, such as a 200 millimeter or 300 millimeter diameter silicon substrate. In some embodiments, the substrate is one or more of silicon, silicon germanium, gallium arsenide, gallium nitride, germanium, gallium phosphide, indium phosphide, sapphire, and silicon carbide.
Some embodiments of the present disclosure advantageously provide methods of forming a boron carbide film on a substrate surface without a boron-containing underlayer. In some embodiments, the substrate surface is substantially free of boron. As used in this aspect, surgeryThe term "substantially free of boron" means in the range of up to aboutThe surface having less than about 1% boron atoms on an atomic basis at depth. In some embodiments, the substrate surface consists essentially of silicon, carbon, and oxygen atoms. As used in this respect, the term "consisting essentially of silicon, carbon and oxygen atoms" means, to about +.>The deep substrate surface comprises greater than or equal to about 99% total silicon atoms, carbon atoms, and oxygen atoms. In some embodiments, the substrate surface consists essentially of silicon, carbon, hydrogen, and oxygen atoms. As used in this respect, the term "consisting essentially of silicon, carbon, hydrogen and oxygen atoms" means, to about +.>The deep substrate surface comprises greater than or equal to about 99% total silicon atoms, carbon atoms, hydrogen atoms, and oxygen atoms.
Embodiments of the present disclosure relate to processes to deposit boron carbide films, boron carbonitride films, and the like. Some embodiments of the present disclosure provide films formed at relatively low temperatures, allowing thermal budget to be preserved during device formation. Some embodiments of the present disclosure provide films having superior conformality of greater than or equal to about 98%.
Some embodiments of the present disclosure relate to a processing method in which a substrate surface is exposed to a borane precursor at low temperature to form a boron carbide film. As used in this specification and the appended claims, the term "boron carbide" refers to a film comprising boron and carbon. In some embodiments, the boron carbide film consists essentially of boron and carbon, meaning that boron and carbon comprise at least about 80 atomic percent of the film. The boron carbide film may contain nitrogen and/or hydrogen. In some embodiments, the boron carbide film consists essentially of boron atoms, carbon atoms, and optionally hydrogen atoms.
In some embodiments, the boron carbide film is a boron carbonitride film. As used in this specification and the appended claims, the term "boron carbonitride" refers to a film comprising boron, carbon, and nitrogen. In some embodiments, the boron carbonitride film consists essentially of boron, carbon, and nitrogen, meaning that the boron, carbon, and nitrogen make up at least about 80 atomic percent of the film. In some embodiments, the boron carbonitride film consists essentially of boron atoms, carbon atoms, nitrogen atoms, and optionally hydrogen atoms.
In some embodiments, the boron carbide film comprises hydrogen in addition to boron, carbon, and optionally nitrogen. The hydrogen content of the film may be up to about 20 atomic percent, 15 atomic percent, 10 atomic percent, 5 atomic percent, or 2 atomic percent.
The borane precursor may comprise any suitable borane compound that is decomposable at a temperature of less than about 550 ℃. In some embodiments, the borane precursor comprises a compound having the general formula NHR 2 BH 3 Is a compound of (a). In some embodiments, each R group is independently selected from the group consisting of: hydrogen, C1-C10 alkyl, C1-C10 alkenyl, and aryl. In one or more embodiments, at least one of the R groups comprises a carbon atom. In some embodiments, the borane precursor comprises NH (CH 3 ) 2 BH 3 . In one or more embodiments, the borane precursor comprises a compound having the general formula NHR 2 BH 3 Wherein each R group is independently selected from the group consisting of: C1-C10 alkyl, C1-C10 alkenyl, and aryl so as to have one hydrogen atom bonded to a nitrogen atom. In some embodiments, the borane precursor has the general formula NHR 2 BH 3 Wherein R is selected from the group consisting of: C1-C10 alkyl, C1-C10 alkenyl, and aryl so as to have two hydrogen atoms bonded to a nitrogen atom.
In some embodiments, the temperature at which the boron carbide film is formed is in the range of about 300 ℃ to about 550 ℃ to form the boron carbide film. In one or more embodiments, the boron carbide film is formed at a temperature of less than or equal to about 550 ℃, or less than or equal to about 525 ℃, or less than or equal to about 500 ℃, or less than or equal to about 475 ℃, or less than or equal to about 450 ℃, or less than or equal to about 425 ℃, or less than or equal to about 400 ℃.
In use, a substrate is placed within the processing chamber and a borane precursor is flowed into the processing chamber to react with the substrate surface. In some embodiments, the borane precursor is flowed into the processing chamber with or without a carrier gas. As used in this aspect, the carrier gas is a gas that does not react with the borane precursor or the substrate surface.
The boron carbide film of some embodiments is formed by thermal decomposition of a borane precursor. In one or more embodiments, the temperature of the substrate surface is raised and a relatively cool borane precursor is flowed into the processing chamber. The borane precursor decomposes on the relatively hot substrate surface to form a boron carbide film. In one or more embodiments, thermal decomposition occurs without catalyst or plasma enhancement.
In some embodiments, the borane precursor is flowed into the processing chamber with the co-reactant. The coreactant is selected from the group consisting of: hydrogen, B 2 H 6 、CH 4 、C 2 H 2 、C 3 H 6 、NH 3 And combinations of the above. In some embodiments, the co-reactant increases the atomic percentage of one or more of boron, carbon, nitrogen, or hydrogen in the boron carbide film. The co-reactant may be mixed with the borane precursor prior to flowing into the processing chamber or mixed with the borane precursor within the processing chamber.
In some embodiments, the borane precursor is exposed to a plasma in the processing chamber to form a boron carbide film. The plasma may be a direct plasma that ignites within the processing chamber immediately adjacent the substrate surface, or may be a remote plasma that ignites outside the processing chamber and flows into a processing region adjacent the substrate surface. In some embodiments, the plasma comprises one or more of hydrogen, nitrogen, helium, and/or argon. In some embodiments, the boron carbide film is conformally formed on the substrate surface in the absence of a plasma.
The boron carbide film formed by one or more embodiments of the present disclosure is substantially uniform in composition throughout the thickness of the film. As used in this regard, the term substantially uniform means that the atomic composition of the film near the top of the film is about the same as the atomic composition of the film near the bottom and middle of the film. Those skilled in the art will appreciate that the interface between the substrate surface and the boron carbide film and the interface between the boron carbide film and any component on top of the film may have a slightly different composition than the bulk portion of the film due to, for example, atomic migration.
In some embodiments, the substrate surface includes at least one feature thereon. As used in this specification and the appended claims, "feature" refers to any non-planar portion of a substrate. For example, the feature may be a trench or a spike. Features typically include at least one "vertical" surface and a top or bottom "horizontal" surface. The vertical surface is a surface extending at an angle in the range of about 70 ° to about 110 ° to the substrate surface, wherein the surface normal is measured at 90 °. The horizontal surface is a surface extending at an angle in a range of about 0 ° to about 20 ° from a normal to the substrate.
In one or more embodiments, a boron carbide film is conformally formed on at least one feature. As used herein, the term "conformal" or "conformally" refers to a layer that adheres to and uniformly covers an exposed surface having a thickness that varies by less than 1% relative to the average thickness of the film. For example, the number of the cells to be processed,a thick film will have a thickness of less than +.>Is a variation of (c). This thickness and variation includes edges, corners, sides, and bottoms of the recesses. For example, a conformal layer deposited by ALD in various embodiments of the present disclosure will provide coverage over deposition areas having a substantially uniform thickness on complex surfaces.
As used herein, the term "continuous" refers to a layer that covers the entire exposed surface without exposing gaps or bare spots of material underlying the deposited layer. The continuous layer may have interstices or bare spots having a surface area of less than about 1% of the total surface area of the film.
The boron carbide film of some embodiments is substantially transparent to light at a predetermined wavelength. As used in this specification and the appended claims, the term "substantially transparent" means that the film absorbs less than about 10% or 5% of light at a predetermined wavelength. The predetermined wavelength may be any suitable wavelength of light to interact with any film formed under the boron carbide film.
In some embodiments, the boron carbide film is formed as a hard mask and is etch resistant. As used in this specification and the appended claims, the term "etch resistant" means that the film has a SiO or a-Si dry etch selectivity by Reactive Ion Etching (RIE) of greater than 100 or has a dry etch selectivity of less than aboutWet etch rate in dilute HF.
In some embodiments, the method further comprises illuminating the substrate surface with light having a wavelength through the boron carbide film, the boron carbide film being substantially transparent to the wavelength of light. In one or more embodiments, the method further comprises removing the hard mask after irradiating the substrate surface beneath the boron carbide film.
Example
Boron carbonitride (B-C-N) films are produced by using dimethylamine borane [ NH (CH) 3 ) 2 BH 3 ](DMAB) by a thermal CVD process. DMAB is heated in a hot tank to increase vapor pressure and delivered to the process chamber using ultra-high purity (UHP) Ar carrier gas. The hot tank temperature ranges from room temperature to about 150 ℃. The argon carrier gas flow ranges from 100sccm to 20000sccm. To control the elemental composition of the B-C-N film, NH 3 、H 2 、C 3 H 6 The co-reactant gas is delivered to the CVD chamber. The chamber temperature ranges from 300 ℃ to 550 ℃. The process chamber pressure ranges from 100mTorr to 100Torr. The B-C-N film is deposited on the silicon wafer.
Film properties of the B-C-N films were characterized by ellipsometry, XPS and/or RBS/HFS. The Rl/633nm of the film was 2.2 and the K/633nm was 0.0087. Compositional analysis showed that boron concentrations ranged from 35% to 60% on an atomic basis. The carbon concentration ranges from 8% to 37% on an atomic basis. The nitrogen concentration ranges from 9% to 42% on an atomic basis. FTIR spectra of the boron carbonitride films showed B-B, B-C, B-N, B-H bonds in the films.
Without being bound by any particular theory of operation, it is believed that DMAB first breaks down on the substrate wafer and generates BHs 3 And DMA. BH (BH) 3 Reacts with Si and forms Si-B bonds. The remaining B-H bonds react with B, C and N species and form B-B, B-C and C-N bonds. Table 1 lists the etch rates of the layers under the hard mask (normalized to the etch rate of the hard mask).
Table 1.
As can be seen from table 1, the relative etch rate of the silicon boride film is greater than that of the amorphous silicon, silicon oxide, or silicon nitride film.
While the foregoing is directed to embodiments of the present application, other and further embodiments of the application may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (12)

1. A method of processing, comprising:
flowing a borane precursor with the co-reactant into the processing chamber;
exposing a substrate surface to the borane precursor and the co-reactant in the processing chamber with a plasma adjacent the substrate surface at a temperature in the range of 300 ℃ to 550 ℃ to form a boron carbide film, wherein the boron carbide film is formed as a hard mask and is etch resistant;
illuminating the substrate surface through the boron carbide film with light of a predetermined wavelength, the boron carbide film being substantially transparent to light at the predetermined wavelength; and
the hard mask is removed and the hard mask is removed,
wherein the borane precursor comprises a compound having the general formula NHR 2 BH 3 Wherein each R is independently selected from the group consisting of C1-C10 alkyl, C1-C10 alkenyl, and aryl, and
wherein the co-reactant is selected from the group consisting of CH 4 、C 2 H 2 、C 3 H 6 、NH 3 And combinations of the above.
2. The process of claim 1 wherein at least one of the R groups contains a carbon atom.
3. The process of claim 2, wherein the boron carbide film is composed of boron atoms, carbon atoms, and optionally hydrogen atoms.
4. The process of claim 3, wherein the borane precursor comprises NH (CH 3 ) 2 BH 3
5. The process of claim 4, wherein the boron carbide film is composed of boron atoms, carbon atoms, nitrogen atoms, and optionally hydrogen atoms.
6. The process of claim 1, wherein the co-reactant increases the atomic percentage of one or more of hydrogen, carbon, or nitrogen in the boron carbide film.
7. The process of claim 1, wherein the plasma comprises one or more of hydrogen, nitrogen, helium, or argon.
8. The process of claim 1, wherein the substrate surface comprises silicon.
9. The processing method of claim 1, wherein the substrate surface comprises at least one feature thereon.
10. The processing method of claim 9, wherein the boron carbide film is conformally formed on the at least one feature.
11. A method of processing, comprising:
placing a substrate having a surface in a process chamber;
flowing a borane precursor with a co-reactant into the processing chamber;
exposing the surface of the substrate to the borane precursor and the co-reactant using a plasma adjacent the surface of the substrate at a temperature in the range of 300 ℃ to 550 ℃ to form a boron carbide film, the borane precursor comprising a compound having the general formula NHR 2 BH 3 Wherein each R is independently selected from the group consisting of C1-C10 alkyl, C1-C10 alkenyl, and aryl, provided that at least one of the R groups contains a carbon atom, and wherein the coreactant is selected from the group consisting of CH 4 、C 2 H 2 、C 3 H 6 、NH 3 And combinations of the above, wherein the boron carbide film is formed as a hard mask and is etch resistant;
illuminating the substrate surface through the boron carbide film with light of a predetermined wavelength, the boron carbide film being substantially transparent to light at the predetermined wavelength; and
and removing the hard mask.
12. A method of processing, comprising:
placing a substrate having a surface in a process chamber, the surface having at least one feature thereon;
flowing a borane precursor with a co-reactant into the processing chamber;
exposing the surface of the substrate to the borane precursor and the co-reactant with a plasma adjacent the surface of the substrate at a temperature in the range of 300 ℃ to 550 ℃ to form a conformal hard mask comprising a photoresist layer located at the at least one layerA boron carbide film on one feature, the borane precursor comprising NH (CH 3 ) 2 BH 3 The co-reactant is selected from the group consisting of CH 4 、C 2 H 2 、C 3 H 6 、NH 3 And combinations of the above, wherein the boron carbide film is formed as a hard mask and is etch resistant;
illuminating the substrate surface through the boron carbide film with light of a predetermined wavelength, the boron carbide film being substantially transparent to light at the predetermined wavelength; and
and removing the hard mask.
CN202311137299.0A 2015-09-18 2016-09-17 Method for depositing conformal BCN films Pending CN117165927A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562220959P 2015-09-18 2015-09-18
US62/220,959 2015-09-18
CN201680054333.XA CN108028179A (en) 2015-09-18 2016-09-17 Method for depositing conformal BCN films
PCT/US2016/052386 WO2017049253A1 (en) 2015-09-18 2016-09-17 Methods for depositing conformal bcn films

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680054333.XA Division CN108028179A (en) 2015-09-18 2016-09-17 Method for depositing conformal BCN films

Publications (1)

Publication Number Publication Date
CN117165927A true CN117165927A (en) 2023-12-05

Family

ID=58289705

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680054333.XA Pending CN108028179A (en) 2015-09-18 2016-09-17 Method for depositing conformal BCN films
CN202311137299.0A Pending CN117165927A (en) 2015-09-18 2016-09-17 Method for depositing conformal BCN films

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201680054333.XA Pending CN108028179A (en) 2015-09-18 2016-09-17 Method for depositing conformal BCN films

Country Status (3)

Country Link
KR (1) KR102615728B1 (en)
CN (2) CN108028179A (en)
WO (1) WO2017049253A1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
CN107117589B (en) * 2017-05-25 2019-03-12 华侨大学 A kind of high specific capacitance (BC) xNyOz material and its synthetic method
US10840087B2 (en) * 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
CN110668457B (en) * 2019-10-30 2023-01-31 中国科学院上海硅酸盐研究所 Preparation method of two-dimensional nano boron-carbon-nitrogen semiconductor material

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007070669A (en) * 2005-09-06 2007-03-22 Osaka Univ Film deposition method of boron-carbon nitride and boron nitride, and film, substrate and device obtained by the method
US8084105B2 (en) * 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US8288292B2 (en) * 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
CN102604113B (en) * 2012-03-15 2013-10-16 中国人民解放军国防科学技术大学 Preparation method for boron carbide precursor
US9388491B2 (en) * 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
DE102012017809A1 (en) * 2012-09-10 2014-03-13 Oerlikon Trading Ag, Trübbach Method for producing a metal borocarbon layer on a substrate
JP6170754B2 (en) * 2013-06-18 2017-07-26 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
US9362109B2 (en) * 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials

Also Published As

Publication number Publication date
CN108028179A (en) 2018-05-11
KR20180043393A (en) 2018-04-27
WO2017049253A1 (en) 2017-03-23
KR102615728B1 (en) 2023-12-18

Similar Documents

Publication Publication Date Title
KR102615728B1 (en) Methods for depositing conformal BCN films
US11515149B2 (en) Deposition of flowable silicon-containing films
KR102104390B1 (en) Atomic layer deposition of GeO2
KR102579784B1 (en) Selective deposition of thin film dielectrics using surface blocking chemistry
US10354861B2 (en) Low temperature molecular layer deposition of SiCON
CN102859662B (en) For the self-aligned barrier layers that interconnects and capping layer
Sung et al. Remote-plasma chemical vapor deposition of conformal ZrB 2 films at low temperature: A promising diffusion barrier for ultralarge scale integrated electronics
CN105225925A (en) The clean of the carbon back pollutant in the metal interconnecting piece of application is covered for cross tie part
TW202100793A (en) METHOD OF FORMING SiN THIN FILM ON SURFACE OF SUBSTRATE IN REACTION SPACE
KR102415780B1 (en) Carbon and/or nitrogen incorporation in silicon-based films using silicon precursors with organic co-reactants by pe-ald
TW200908151A (en) Boron nitride and boron nitride-derived materials deposition method
US20180254179A1 (en) Surface-Selective Atomic Layer Deposition Using Hydrosilylation Passivation
TW202111155A (en) Method of depositing silicon nitride thin film
TW200537571A (en) Forming method of barrier film, and forming method of electrode film
TWI551716B (en) Method of forming a germanium thin film
US20180005823A1 (en) Cyclical deposition of germanium
US20200040448A1 (en) Metal deposition methods
US20170117144A1 (en) Chemical Infiltration into Porous Dielectric Films
JP7436054B2 (en) Silicon precursor compound, manufacturing method, and method for forming a silicon-containing film using the same
US10535527B2 (en) Methods for depositing semiconductor films
US20160032455A1 (en) High through-put and low temperature ald copper deposition and integration
US20210391170A1 (en) Method of Deposition
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US10347488B2 (en) Titanium compound based hard mask films
TW574409B (en) Method for incorporating silicon into CVD metal films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination