CN117107340A - 用于沉积处理的基于模型的参数调整 - Google Patents

用于沉积处理的基于模型的参数调整 Download PDF

Info

Publication number
CN117107340A
CN117107340A CN202310221205.1A CN202310221205A CN117107340A CN 117107340 A CN117107340 A CN 117107340A CN 202310221205 A CN202310221205 A CN 202310221205A CN 117107340 A CN117107340 A CN 117107340A
Authority
CN
China
Prior art keywords
processing station
semiconductor processing
semiconductor
semiconductor wafer
measurements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310221205.1A
Other languages
English (en)
Inventor
山姆·K·李
保罗·R·麦克休
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN117107340A publication Critical patent/CN117107340A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/4097Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by using design data to control NC machines, e.g. CAD/CAM
    • G05B19/4099Surface or curve machining, making 3D objects, e.g. desktop manufacturing
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Human Computer Interaction (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electroplating Methods And Accessories (AREA)

Abstract

一种系统可包括:第一半导体处理站,所述第一半导体处理站被配置为在第一半导体晶片上沉积材料;以及化学品罐,所述化学品罐在沉积工艺期间向所述处理站提供液体。所述化学品罐可向控制器提供所述液体的特性的测量。所述控制器可被配置为:从所述化学品罐接收所述测量;向经训练的模型提供基于所述测量的输入,所述经训练的模型被配置为生成调整所述第一站的操作参数的输出,使得所述材料的厚度均匀性更接近目标厚度均匀性;以及使所述第一站使用由所述输出所调整的所述操作参数在第二晶片上沉积所述材料。

Description

用于沉积处理的基于模型的参数调整
相关申请的交叉引用
本申请要求于2022年5月24日提交的名称为“MODEL-BASED PARAMETERADJUSTMENTS FOR DEPOSITION PROCESSES(用于沉积处理的基于模型的参数调整)”的第17/751,955号美国非临时申请的权益和优先权,该申请的内容出于所有目的全文以引用方式并入本文。
技术领域
本公开内容总体涉及调整由半导体处理站运行的配方中的参数。更具体地,本公开内容描述了使用和训练模型来生成站点的操作参数的调整。
背景技术
电镀使用电沉积来在物体上涂覆金属层。通常,阳极和阴极放置在电解质化学浴中并暴露于电流。电力致使带负电荷的阴离子移动到阳极并使带正电荷的阳离子转移到阴极。这个处理用来自阳极材料的均匀金属涂层覆盖或镀覆阴极的期望部分。虽然电镀在许多不同行业中有所应用,但是这种技术广泛用于半导体制造处理,以在半导体晶片上沉积金属层。电化学沉积腔室可将半导体晶片浸没在电解质液的化学浴中。阳极可分布在整个化学浴中,以通过电解质向晶片提供电流,该晶片在反应中充当阴极。可基于处理的电流水平和运行时间来严格地控制金属膜的厚度。
发明内容
在一些实施方式中,一种系统可包括:第一半导体处理站,该第一半导体处理站被配置为在第一半导体晶片上沉积材料;以及化学品罐,该化学品罐被配置为向该第一半导体处理站提供液体,以在该在第一半导体晶片上沉积该材料。该化学品罐可包括一个或多个传感器,该一个或多个传感器测量该液体的特性。该系统还可包括控制器,该控制器被配置为执行操作,该操作包括:从该化学品罐的该一个或多个传感器接收该测量;以及向经训练的模型提供基于来自该化学品罐的该一个或多个传感器的该测量的输入。该经训练的模型可被配置为生成调整该第一半导体处理站的操作参数的输出,使得该材料的厚度均匀性更接近目标厚度均匀性。该操作还可使该第一半导体处理站使用由该输出所调整的该操作参数在第二半导体晶片上沉积该材料。
在一些实施方式中,一种非暂时性计算机可读介质可包括指令,该指令当由一个或多个处理器执行时使该一个或多个处理器执行操作,该操作包括从化学品罐的一个或多个传感器接收测量。第一半导体处理站可被配置为在第一半导体晶片上沉积材料。该化学品罐可被配置为向该第一半导体处理站提供液体,以在该第一半导体晶片上沉积该材料,其中该一个或多个传感器可测量该液体的特性。该操作还可包括向经训练的模型提供基于来自该化学品罐的该一个或多个传感器的该测量的输入。该经训练的模型可被配置为生成调整该第一半导体处理站的操作参数的输出,使得该材料的厚度均匀性更接近目标厚度均匀性。该操作还可使该第一半导体处理站使用由该输出调整的该操作参数在第二半导体晶片上沉积该材料。
在一些实施方式中,一种调整用于半导体处理的配方参数的方法可包括从化学品罐的一个或多个传感器接收测量。第一半导体处理站可被配置为在第一半导体晶片上沉积材料。该化学品罐可被配置为向该第一半导体处理站提供液体,以在该第一半导体晶片上沉积该材料,其中该一个或多个传感器可测量该液体的特性。该方法还可包括向经训练的模型提供基于来自该化学品罐的该一个或多个传感器的该测量的输入。该经训练的模型可被配置为生成调整该第一半导体处理站的操作参数的输出,使得该材料的厚度均匀性更接近目标厚度均匀性。该方法还可使该第一半导体处理站使用由该输出调整的该操作参数在第二半导体晶片上沉积该材料。
在任何实施方式中,以下特征的任何和所有特征可以以任何组合且不受限制地实施。该第一半导体处理站可包括电化学沉积站。该系统还可包括第二半导体处理站,该第二半导体处理站包括计量站,该计量站在该第一半导体晶片上执行参数测量。该系统还可第三半导体处理站,该第三半导体处理站被配置为从该第一半导体晶片去除光刻胶层,其中该第三半导体处理站可在该第一半导体晶片被该第一半导体处理站处理之后且在该第一半导体晶片被该第二半导体处理站处理之前接收该第一半导体晶片。该系统还可包括第三半导体处理站,该第三半导体处理站被配置为在该第一半导体晶片上执行冲洗和干燥处理,其中该第三半导体处理站可在该第一半导体晶片被该第一半导体处理站处理之后且在该第一半导体晶片被该第二半导体处理站处理之前接收该第一半导体晶片。来自该化学品罐的该一个或多个传感器的该测量可指示该材料的厚度。来自该化学品罐的该一个或多个传感器的该测量可包括该材料的电导率或电阻率测量。该控制器可包括中央计算机系统,该中央计算机系统与该第一半导体处理站和第二半导体处理站通信。该控制器可包括用于该第一半导体处理站的第一集成控制器,该第一集成控制器与用于第二半导体处理站的第二集成控制器通信。调整该第一半导体处理站的该操作参数的该输出可包括要施加到该第一半导体处理站的阳极的电流。调整该第一半导体站的该操作参数的该输出可包括由该第一半导体站执行的配方中的步骤的处理时间。该经训练的模型可包括神经网络。基于该测量的该输入可包括误差计算,该误差计算是使用来自该第一半导体晶片的测量值和用于该第一半导体晶片的目标值来生成的。该方法/操作还可包括向优化器提供该误差计算,该优化器被配置为使用一个或多个灵敏度曲线,该一个或多个灵敏度曲线将该误差计算与该第一半导体处理站的该操作参数的变化相关联。该方法/操作还可包括向该经训练的模型提供该变化和该误差计算,以生成调整该第一半导体处理站的该操作参数的该输出。该方法/操作还可包括:确定该误差计算是否违反阈值;以及使用基于该测量的该输入作为不要求由该经训练的模型进行的调整的标记数据来训练该模型。该方法/操作还可包括:从第二半导体处理站接收测量,该第二半导体处理站被配置为在该材料已经沉积在该第一半导体晶片上之后执行指示该材料的厚度均匀性的测量;以及向该经训练的模型提供来自该第二半导体处理站的该测量。来自该化学品罐的该一个或多个传感器的该测量可包括该液体的电导率或电阻率测量,该电导率或电阻率测量用于外推或计算该材料的该厚度均匀性。
附图说明
可通过参考本说明书的其余部分和附图进一步理解各种实施方式的性质和优点,其中贯穿若干附图使用相同的附图标记来指示类似的部件。在一些情况下,子标签与附图标记相关联以指示多个类似部件中的一者。当引用了附图标记但没有指定现有子标签的情况下,其旨在指示所有这样的多个类似部件。
图1至图2示出了根据一些实施方式的电化学处理器。
图3示出了根据一些实施方式的用于优化沉积处理中使用的参数以便实现均匀膜厚度的系统的简化框图。
图4示出了根据一些实施方式的用于优化配方中的参数的基于模型的处理的简化框图。
图5示出了根据一些实施方式的使用经训练的模型和优化器来调整配方参数的控制器的框图。
图6示出了根据一些实施方式的模型如何使用警告极限而可被连续地训练。
图7示出了根据一些实施方式的用于使用警告极限来训练模型的方法的流程图。
图8示出了根据一些实施方式的用于调整半导体处理的配方参数的方法的流程图。
图9示出了其中可实施各种实施方式的示例性计算机系统。
具体实施方式
图1至图2示出了根据一些实施方式的电化学处理器20。电化学处理器20可包括位于容器组件50上方的头部。容器组件50可被支撑在台面板(deck plate)24和附接到支架38或其他结构的减压板(relief plate)26上。单个处理器20可用作独立单元。替代地,多个处理器20可提供为阵列,其中工件通过一个或多个机器人装载到处理器中并从处理器中卸载。可将头部30支撑在升降/旋转单元34上,用于升降和倒置头部以将工件装载到头部中并从头部中卸载,并且用于降低头部30使其与容器组件50接合来进行处理。
电控制和功率电缆40可联接至升降/旋转单元34并联接至内部头部组件,并可从处理器20向上引导至设施连接,或到引至多处理器自动系统内的连接。具有层叠的排放环的冲洗组件28可提供在容器组件50上方。排放管42(如果使用的话)可将冲洗组件28连接到设施排放口。可在容器组件50下方提供可选的升降器36,以在阳极的转换期间支撑阳极杯件。替代地,升降器36可用于将阳极杯件保持抵靠容器组件50的其余部分。
容器组件50可包括用紧固件60保持在一起的阳极杯件52、下部膜支撑件54和上部膜支撑件56。在阳极杯件52内,第一或内部阳极70可位于内部阳极液腔室110的底部附近。第二或外部阳极72可位于围绕内部阳极液腔室110的外部阳极液腔室112的底部附近。内部阳极70可以是扁平圆形金属板,并且外部阳极72可以是扁平环形金属板,例如镀铂钛板。内部阳极液腔室和外部阳极液腔室可填充有铜球。内部阳极70可电连接到第一电线或连接器130,并且外部阳极72可电连接到单独的第二电线或连接器132。在一些实施方式中,例如,为了处理300mm直径晶片,处理器可具有中心阳极和单个外部阳极。也可任选地使用具有三个或更多个阳极的设计,尤其是对于甚至更大的晶片。
上杯件76可被包含在上杯件壳体58内或被该上杯件壳体包围。上杯件壳体58可附接到上杯件76并密封该上杯件。上杯件76可具有弯曲的上表面124和形成中心或内部阴极液腔室120的中心贯穿开口。该腔室120由扩散器74内的大致圆柱形空间限定,该空间通向由上杯件76的弯曲的上表面124限定的钟形或喇叭形空间。一系列同心环形狭槽从上杯件76的弯曲的上表面124向下延伸。形成在上杯件76底部的外部阴极液腔室78经由管阵列或其他通路连接到环。
类似地,第二或外部膜86可固定在上部膜支撑件和下部膜支撑件之间,并且可将外部阳极液腔室112与外部阴极液腔室78隔开。外部膜支撑件89从上方支撑外部膜,外部膜支撑件89可以以径向支腿116的形式设置在上部膜支撑件56上。
扩散器圆周水平供应管道84可形成在上杯件76的外圆柱壁中,其中管道84在上杯件76的外壁和上杯件壳体58的内圆柱壁之间通过O形环或类似元件密封。径向供应管道80可从圆周管道84径向向内延伸到围绕扩散器护罩82上端的环形护罩气室87。径向管道80在竖直管之间穿过上杯件76,该竖直管将上杯件76的弯曲的上表面124中的环形狭槽连接到外部阴极液腔室78。圆周管道84和径向管道80通向护罩气室87,并且外部阴极液路径可形成在扩散器护罩82和扩散器74之间。在处理器20的操作期间,这些外部阴极液路径通常可填充液体阴极液。
在使用中,通常具有导电种晶层的工件被装载到头部中。工件上的种晶层连接到电源,通常连接到阴极。如果头部以面朝上位置加载,则将头部翻转过来,使转子和保持在转子中的工件面朝下。然后,将头部降低到容器上,直到工件与容器中的阴极液接触。工件与上杯件的弯曲的上表面之间的间距影响工件表面处的电流密度均匀性。该间隙可在处理期间发生变化。工件可逐渐向上移动并远离表面,或者可从起始间隙快速移动到结束间隙。可使用升降/旋转机构来升降头部。
阳极液被提供到内部阳极液腔室中并单独地提供到外部阳极液腔室中。阴极液被提供到圆周供应管道中。阴极液被供应到入口配件。工件移动到与阴极液接触,通常是通过降低头部进行接触。随着电流从阳极流过内部阳极液腔室和外部阳极液腔室中的阳极液,流向阳极70和72的电流被接通。来自内部阳极和外部阳极的电流通过阳极液并通过内部膜和外部膜进入被包含在上杯件76的敞开空间中的阴极液。
在上杯件76内,阴极液从供应管84径向向内流动到扩散器护罩气室87并接着进入扩散器74。阴极液从扩散器向上流动,并且在上杯件状件76的弯曲的上表面124上沿所有方向径向向外移动。阴极液中的金属离子沉积到工件上,从而在工件上形成金属层。可启动马达以旋转转子和工件,以在工件上提供更均匀的沉积。然后大部分阴极液流入收集环122。一小部分的阴极液向下流过狭槽和管进入外阴极液腔室78。然后阴极液流出处理器20。
图1和图2所示的半导体处理腔室可被配置为对至少部分地浸没在半导体腔室内的液体中的半导体晶片执行处理。例如,可通过浸没晶片和电解质并允许晶片充当具有对应阳极的阴极,来在半导体晶片上执行电镀处理。当允许电流流过阳极和阴极时,电镀处理可通过还原阳极上的金属的阳离子在晶片上产生金属涂层。
在半导体器件的制造中,导电材料的电化学沉积至关重要。器件参数越来越严格,器件价值不断提升。随着器件特征的尺寸不断缩小和半导体晶片变得更加复杂,这一趋势可能会继续下去。电化学沉积(electrochemical deposition,ECD)领域的特殊挑战之一是形成均匀的沉积物,以便将器件性能保持在指定参数范围内。器件的几何形状、图案密度、形貌、电导率、桨叶参数(速度、加速度、冲程、运动曲线等)、温度和浴液成分都会对沉积膜的均匀性产生影响。参数如此之多,创建处理配方以交付所需结果可能很困难,并且可能需要多次迭代才能获得可接受结果。
尽管存在许多不同类型的ECD腔室,但是调整用于控制ECD过程的输入参数的基本处理可如下进行。每次迭代可能包括以下步骤中的一些或全部:(1)在生产晶片或代表性测试晶片上进行测量,(2)将浴化学调整到可接受的参数范围内,其可包括金属浓度、酸浓度、电导率、温度和添加剂浓度,(3)在电镀配方中定义电流曲线以应用至处理腔室中的每个阳极和/或阴极,(4)处理晶片,(5)剥离介电层或光刻胶层,(6)进行一系列参数测量以确定沉积材料的厚度、均匀性、质量等,(7)评估结果,(8)以及调整ECD腔室的一个或多个操作参数,并且重复直到处理结果满足必要标准。
上述技术可用于“调入(dial-in)”电化学沉积物的均匀性。在一些实施方式中,表面轮廓仪可用于测量晶片上多个位置的沉积膜的高度。这些测量可揭示沉积物的均匀性,并且可在给定半径上的所有点大致相等的假设下在特定半径处进行,特别是如果晶片在沉积处理期间旋转的话。也可在相同半径处或附近对多个点进行平均,并且可使用该平均值来开发沉积物均匀性的模型。
一旦获得这些测量,就可将它们与ECD腔室的详细知识一起使用来执行预测计算,通常称为“建模”或“处理建模”以确定各个阳极的电流分布变化,这在实施时更有可能朝着更期望的(即,更均匀的)沉积收敛,以便满足指定标准。沉积腔室设计的详细知识可能包括以下信息:诸如(1)阳极的数量,(2)阳极/阴极间距,(4)腔室中的电阻特征,(5)每个阳极的影响区域等,(6)器件的几何形状知识,(7)桨叶(paddle)参数,诸如速度、加速度、冲程、运动曲线等,和/或任何其他开放区域或浴条件,诸如温度、电导率等。
考虑到可能影响材料沉积的均匀性的大量的腔室、处理和晶片特性,在尝试优化ECD腔室运行的配方的输入参数时存在技术问题。具体来说,任何优化算法或处理的大量输入参数使得在不做出重大妥协和简化的情况下找到最佳解决方案非常困难。现有技术需要多次迭代才能找到最佳解决方案,通常需要处理和评估四个或更多个测试晶片。
本文所述的实施方式展示了一种处理流程,通过该处理流程可整合优化步骤以消除或限制人为干预并且更快速地迭代处理变化以实现期望电化学沉积标准。沉积装备可包括处理腔室以在必要时自动地剥离介电膜,作为处理流程的一部分,然后继续进行必要的计量测量以确定均匀性。也可从沉积腔室本身和/或从存储在化学品罐中的相关联的化学浴来捕获测量值。然后,来自计量站、沉积腔室和/或化学品罐的这些测量值可被反馈到经训练的模型中,以调整该组处理参数集(例如,阳极电流分布),并且然后,将新参数加载到工具半导体中作为处理下一个晶片的配方。因此,这些实施方式使用用来评估和改进处理性能的人工智能,直到获得期望沉积材料厚度均匀性。
图3示出了根据一些实施方式的用于优化沉积处理中使用的参数以便实现均匀膜厚度的系统300的简化框图。该系统可包括第一半导体处理站302,第一半导体处理站302被配置为在第一半导体晶片310上沉积材料。例如,第一半导体处理站302可包括沉积腔室,诸如ECD腔室。尽管未明确示出,但是可使用自动化机械(诸如机械臂和运输工具)来将第一半导体晶片310装载到第一半导体处理站302中。此外,存在许多不同类型的处理腔室用于在半导体晶片上沉积或生长膜。这些处理站类型可包括溅射腔室、化学气相沉积腔室和在半导体晶片上产生材料膜的许多其他腔室类型。因此,本文描述的ECD腔室仅作为示例使用而不意味着限制,并且第一半导体处理站302可包括在半导体晶片上形成膜的任何类型的站点。
第一半导体处理站302可包括传感器,该传感器在沉积处理之前、期间和/或之后测量处理和/或第一半导体晶片310的特性。例如,第一半导体处理站302可包括环境传感器,该环境传感器在沉积处理期间测量第一半导体处理站302中的压力、温度、气体流率、湿度和/或其他环境特性。另外,一些实施方式可包括测量第一半导体晶片310的特性的传感器。例如,在第一半导体处理站302中可包括有测量第一半导体晶片310上的薄层电阻的传感器。可在沉积处理期间和/或在沉积处理完成之后实时地测量薄层电阻。可在第一半导体晶片310上的不同位置处进行多次薄层电阻测量。不同位置处的薄层电阻可表示沉积处理期间形成在第一半导体晶片310上的材料层的均匀性。然后,第一半导体处理站302可向控制器312提供测量数据319。测量数据319可包括来自第一半导体晶片310的测量(例如,薄层电阻)和/或来自第一半导体处理站302本身的环境测量。
对于在沉积处理期间将晶片浸没到化学浴中的沉积腔室,系统300还可包括化学品罐301,化学品罐301存储并向第一半导体处理站302提供具有限定化学性质的一种或多种液体。例如,化学罐301可在沉积处理期间向第一半导体处理站302提供阳极液和/或阴极液。化学品罐301可通过控制化学品罐301与第一半导体处理站302之间的电解液的流率的管和/或阀耦接到第一半导体处理站302。
化学品罐301可包括提供来自化学品罐301的测量数据317的多个传感器。例如,化学品罐301中的多个传感器可包括温度传感器,该温度传感器提供存储在化学品罐301中的液体的温度测量。多个传感器还可包括电导率传感器,该电导率传感器测量存储在化学品罐301中的液体的电导率。电导率传感器可使用电压/电流传感器来实施,该电压/电流传感器例如通过在两个电极之间施加电压差并测量流过液体的电流来测量存储在化学罐301中的液体的电阻或电导率。化学品罐301的一些实施方式可包括多个腔室,该多个腔室单独地存储阳极液和阴极液。
化学品罐301可存储用于不同液体的多个单独罐,并且每个单独罐可包括用于测量存储在对应罐中的液体的特性的多个专用传感器。例如,化学品罐可包括存储阴极液并使用第一电导率传感器的第一罐,以及存储阳极液并使用第二电导率传感器的第二罐。可向训练模型提供这两个传感器的测量,如上所述。
化学品罐301中的其他传感器可包括测量液体中的不同材料的浓度的传感器,以及测量化学品罐301本身或存储在其中的液体的特性的任何其他传感器。因此,测量数据317可包括阴极液电导率、阳极液电导率和/或这些液体中的每一者的浓度的温度,以及描述化学品罐301本身或存储在其中的液体的任何其他测量。
第一半导体处理站302可使用“配方”314来操作。配方314可包括控制沉积处理的许多不同参数。这些参数可包括输送到第一半导体处理站302中的各个通道或阳极的电流分布。这些参数还可包括配方中各个步骤的处理时间。其他参数可包括第一半导体处理站中的电解质或其他液体的化学成分、浓度、流速和浴液位。一些实施方式可包括来回搅动(stroke)液体的桨叶或搅拌器,并且因此参数可包括桨叶或搅拌器的速度、加速度、折返点和其他特性。参数还可包括第一半导体晶片310相对于第一半导体处理站302的竖直位置,以及第一半导体晶片310的旋转速度。配方314可作为数据值、设定点、时序约束、阈值水平等的列表来提供给第一半导体处理站302。
配方可由计算机系统提供,计算机系统也可更一般地称为“控制器”312。下文更详细地描述可用于实施控制器312的计算机系统的示例。控制器312可由服务器或中央计算系统实现,其将配方分发到多个不同站点并从多个不同站点接收测量数据。替代地或附加地,控制器312可分布在制造设施中的不同站点之间。例如,第一半导体处理站302可包括集成控制器,并且第二半导体处理站304也可包括单独集成控制器。总的来说,这些集成控制器可通过有线或无线网络彼此通信、与其他站点上的其他集成控制器通信、和/或与一个或多个中央计算系统通信。这些分布式计算系统和集成控制器可统称为“控制器”312,即使它们在制造设施中可能在物理上分开。
第一半导体处理站302可以是制造设施中的多个不同半导体处理站中的一个。例如,单个工具可包括并行处理半导体晶片的多个ECD腔室。自动化或机器人工具可将半导体晶片从ECD腔室传输到制造处理中的后续站点。例如,一些系统可任选地包括冲洗和干燥半导体晶片的站点306、去除介电层或光刻胶的站点308,以及诸如清洁站、抛光站等的其他站点。在第一半导体处理站302和第二半导体处理站304之间可包括任何数量的中间处理站。
系统300还可包括第二半导体处理站304,第二半导体处理站304被配置为在材料已经沉积在第一半导体晶片310上之后执行指示材料的厚度均匀性的测量。例如,ECD沉积站可在第一半导体晶片310上沉积一层材料,诸如铜。然后,第二半导体处理站304可包括计量站,该计量站对半导体晶片执行参数测量并且提供可用于分析第一半导体晶片310的测量数据316。可指示材料的厚度均匀性的测量可包括由轮廓仪获得的材料的台阶高度测量。指示厚度均匀性的其他测量可包括材料的电导率或电阻率,其可用于外推或计算材料的沉积层的厚度均匀性。在一些实施方式中,第一半导体处理站302还可记录阳极中的每一者处的实时电压测量。这些电压测量可指示在每个阳极处使用多少电压来产生通过阳极的目标电流,如配方314所指定的那样。
测量数据316、317、319(其也可简称为来自第一半导体处理站302、化学品罐301和/或第二半导体处理站304的“测量”)可提供至控制器312。然后,控制器312可评估测量数据316、317、319以确定材料的测量厚度均匀性是否落在根据配方的指定范围内。由于第一半导体晶片310可在这些处理期间被旋转,因此,通常可假设测量在给定半径处近似恒定。另外,ECD腔室中的阳极可在这些腔室内径向地间隔(尽管一些腔室可包括位于半导体晶片的周边的一个或多个附加电极,该一个或多个附加电极用于在晶片的边缘处进行调整以解决异常,诸如晶片槽口或其他图案变化)。因此,测量数据316可包括在整个第一半导体晶片310的多个不同半径处的测量。
图4示出了根据一些实施方式的用于优化配方314中的参数的基于模型的处理的简化框图400。控制器312可接收来自第二半导体处理站304的测量数据316、来自化学品罐301的测量数据317和/或来自第一半导体处理站302的测量数据319。需注意,可单独地且彼此独立地接收和使用这些不同测量数据316、317、319中的每一者。例如,一些实施方式可仅使用来自化学品罐301的测量数据317。一些实施方式可将来自化学品罐301的测量数据317和来自第二半导体处理站304的测量数据316组合使用。一些实施方式可将来自所有三个源的测量数据316、317、319一起使用。因此,应当理解,不同实施方式可使用测量数据316、317、319的个别集合,而不使用测量数据316、317、319的所有的集合,并且/或者可以以任何组合而非限制性的方式使用测量数据316、317、319的这些集合中的任何或所有的组合。
可在材料已经由第一半导体处理站302沉积之后并在指示材料的厚度均匀性的测量已经由第二半导体处理站304执行之后接收测量数据316。可在第一半导体处理站302中发生沉积处理之前、期间和/或之后接收来自化学品罐301的测量数据317和来自第一半导体处理站302的测量数据319。控制器可使用经训练的模型,该经训练的模型被配置为生成输出,该输出调整提供至第一半导体处理站302以用于要处理的后续晶片的配方314的操作参数。例如,作为每次都重复使用相同配方314的替代,神经网络可评估由测量数据316、317、319所指示的材料的厚度均匀性,并且生成对操作参数进行的调整,诸如第一半导体处理站302中的具体阳极的电流,使得材料的厚度均匀性变得更接近或收敛于目标厚度均匀性。
测量数据316、317、319可包括指示材料的厚度均匀性的各种测量404。例如,测量404可包括厚度测量404-1、电导率测量404-2和可用于推断材料的厚度的任何其他测量,诸如电压或电阻率。例如,测量数据319中的薄层电阻率可与材料的厚度相关联(例如,更厚的铜层可与更小的薄层电阻率相关联)。在另一个示例中,当处理是电压受控时,存储在化学品罐301中并在测量数据317中提供的液体的电导率也可与材料的所得厚度均匀性相关联。对于电流受控的处理,电导率影响沉积在晶片上的膜的厚度分布。因此,电导率可与厚度均匀性直接相关。类似地,在浴温度与电导率之间存在关系。更高的温度可能影响液体的扩散系数,从而直接地影响液体的传质特性(mass transfer characteristics)。电导率本身也受温度影响。例如,提高液体的温度也增大电导率,并且因此,温度也可与厚度均匀性相关。
这些测量404可作为输入被提供至经训练的模型402,经训练的模型402可使用多层神经网络来实现。尽管没有明确地示出,但是材料的目标厚度均匀性也可被作为输入提供至模型402。替代地,目标厚度均匀性可与测量404中的一者或多者组合以产生误差项,该误差项被作为输入提供至模型402,如下文更详细地描述的。
模型402的内部参数可被训练以生成输出,该输出使误差项被最小化或使材料的厚度均匀性收敛到目标厚度均匀性。例如,可训练模型402以生成一个或多个参数406,诸如阳极的电流406-1、配方314的处理中的步骤的处理时间406-2和/或可被包括在配方314中的其他参数。在一个示例中,可调整脉冲反向电流波形以改善裸片(die)内的共面性。然后可将这些参数直接导入配方314以用于后续或第二半导体晶片。替代地,参数402可替代地包括对配方314中的现有参数的调整。例如,如果沉积材料太厚,则电流406-1可表示通过对应阳极的电流的减量,而不是绝对电流值。处理时间406-2可代表配方314中的对应步骤的现有处理时间的减少/增加。
可使用在半导体晶片上执行的先前测量和配方来训练模型402。例如,典型的半导体制造设施可包括在作为一批晶片的一部分的许多晶片上并行执行沉积处理的工具。训练过程可使用在目标厚度均匀性和测量厚度均匀性之间的误差来标记来自这些先前处理中的每一者的测量数据316、317、319。可将标记数据提供给模型402以便调整模型402的内部权重,使得模型402被配置为调整参数(例如,电流、时间)以最小化输入处的误差项。一些实施方式也可在使用期间持续地训练模型402。当前配方中的参数值可用作训练输出,而测量数据316、317、319可用作训练输入,其可由误差项是否落在可接受范围内被标记。同样,不同实施方式可单独地或组合地使用测量数据316、317、319的不同组合。
图5示出了根据一些实施方式的使用经训练的模型和优化器来调整配方参数的控制器312的框图500。如上所述,测量数据316、317、319可用于提供或推断沉积在半导体晶片上的材料的厚度。这可与目标厚度502比较以生成误差计算504。替代地,一些实施方式可生成误差计算504并检测任何测量值相比于第一半导体晶片上的目标值之间的差异,诸如测量电导率与目标电导率。
误差计算504可提供给优化器506。优化器506可包括将误差项与预定参数调整相关联的优化算法508。例如,优化器506的一些实施方式可使用灵敏度曲线,该灵敏度曲线是使用来自先前半导体制造处理的数据、测试数据和/或模拟来生成的。给定在一个轴线或维度上的指定误差计算504,灵敏度曲线可用于在另一个轴线或维度上查找对应的参数调整508。例如,可使用查找表来实现灵敏度曲线。来自灵敏度曲线的数据可由优化器506预先生成并存储,以生成用于提供给配方314的任何参数的参数调整508。例如,灵敏度曲线可将厚度误差计算与施加到阳极的电流的参数调整相关联。另一条灵敏度曲线可将厚度误差计算与执行沉积处理中的步骤的时间相关联。参数调整508可由优化器506输出以在配方中使用。
优化器506在单独操作时的一个潜在缺点是优化器506不在晶片处理之间进行调适。因此,单独使用优化器506可能需要处理四个或更多个晶片以便使参数调整508收敛,使得测量厚度在目标厚度502的阈值距离内。因此,一些实施方式可通过使用模型512来增强优化器506的操作。模型512可进一步修改参数调整508,使得它比单独使用优化器506时收敛得更快。例如,优化器506和模型512的组合可使参数值仅使用一个或两个晶片收敛。这显著减少了晶片浪费并缩短了一批晶片的处理时间。
模型512可从优化器506接收参数调整508作为输入。模型512还可从测量数据316、317、319和目标厚度502接收误差计算504。然后可训练模型512以细化参数调整508并输出新参数调整或参数514的值来包括在配方314中。由于与优化器506的相对静态灵敏度曲线相比模型512是连续训练的,因此模型512可适应优化器506在处理成批晶片时的性能。
图6示出了根据一些实施方式如何使用警告极限602来使模型512可被连续地训练。警告极限602是工业术语,表示制造参数的可接受阈值。只要误差计算504没有违反警告极限602的阈值(例如,测量厚度和目标厚度502之间的差异小于阈值量),则先前参数调整508被认为是可接受的。出于训练目的,当误差计算504没有违反警告极限602的阈值时,当前参数514或参数调整508可与当前测量数据316一起使用以作为标记为“好”的数据来训练模型512。相反,当误差计算504违反警告极限602的阈值时,先前参数集或调整可与当前测量数据316、317、319一起以用作标记为“坏”的训练数据。
尽管材料的厚度在上文对优化器的描述中用作度量,但是其他实施方式可用在材料上的厚度均匀性取代厚度以作为所考虑的度量。例如,误差计算504可表示在测量厚度均匀性与目标厚度均匀性之间的差异,而非仅在厚度方面的差异。
图7示出了根据一些实施方式的用于使用警告极限来训练模型的方法的流程图700。如上所述,该方法可包括计算误差计算(702)并将误差计算与警告极限的阈值进行比较(704)。如果计算的误差没有超出警告极限的阈值,则模型可能不需要执行当前优化。相反,系统可标记当前数据并使用标记为“好”的数据来训练模型(706)。如果与优化器结合使用,则可使用或不使用由优化曲线提供的调整器参数(708)。替代地,如果误差计算确实违反警告极限的阈值,则当前测量可由模型处理并用于为当前配方生成参数或参数调整(710)。然后可使用参数调整来处理后续或第二半导体处理晶片,并且可使用模型在当前过程期间进行的调整的结果来训练模型(712)。
图8示出了根据一些实施方式的用于调整半导体处理的配方参数的方法的流程图800。该方法可包括从化学品罐的一个或多个传感器接收测量(802)。该化学品罐可被配置为向第一半导体处理站提供液体以在第一半导体晶片上沉积材料。一个或多个传感器可测量液体的特性,诸如电导率、电阻率、温度等。液体的这些特性可用于计算或外推第一半导体晶片上的材料的厚度均匀性。在一些实施方式,系统可任选地包括第二半导体处理站,该第二半导体处理站被配置为执行指示由第一半导体处理站沉积在半导体晶片上的材料的厚度均匀性的测量。如上所述,第一半导体处理站可包括沉积站,诸如ECD腔室,并且第二半导体处理站可包括计量站。可如上文关于图3所述的那样组织和配置这些站点。
该方法还可包括向经训练的模型提供基于来自化学品罐的一个或多个传感器的测量的输入(804)。任选地,还可从第一半导体处理站中的传感器和/或第二半导体处理站中的传感器接收指示材料的厚度均匀性的输入。经训练的模型可被配置为生成调整第一半导体处理站的操作参数的输出,使得材料的厚度均匀性更接近目标厚度均匀性。这些测量可被接收、处理并提供给模型,如上文在图4至图6中所描述的。
该方法还可包括使第一半导体处理站使用由输出所调整的操作参数将材料沉积在第二半导体晶片上(806)。第二半导体晶片可以是使用更新的配方来处理的后续晶片。如上文关于图6至图7所述,当厚度均匀性或误差计算与警告极限的阈值比较时,当前和/或后续晶片处理的结果可用于连续地训练和完善模型。
应当理解,图8所示的具体步骤提供根据各种实施方式的调整半导体配方的参数的特定方法。根据替代实施方式,也可执行步骤的其他顺序。例如,替代实施方式可以通过不同顺序执行上文概述的步骤。此外,图8所示的各别步骤可包括多个子步骤,这些子步骤可以通过适合于相应步骤的各种顺序执行。此外,可根据特定应用添加或移除附加步骤。许多变化、修改和替代也落在本公开内容的范围内。
本文描述的每个方法都可由计算机系统实现。这些方法的每个步骤可由计算机系统自动执行,和/或可被提供涉及用户的输入/输出。例如,用户可为方法中的每个步骤提供输入,并且这些输入中的每一者可响应于请求这样的输入的特定输出,其中输出由计算机系统生成。每个输入都可响应于相应的请求输出而被接收。此外,可从用户接收输入、从另一计算机系统接收输入以作为数据流的、从存储器位置检索输入、通过网络检索输入、从网络服务请求输入等。同样,输出可提供给用户、作为数据流提供给另一个计算机系统、保存在存储器位置、通过网络发送、提供给网络服务等。简而言之,本文描述的方法的每个步骤都可由计算机系统执行,并且可涉及计算机系统的任何数量的输入、输出和/或请求,这可能涉及也可能不涉及用户。那些不涉及用户的步骤可说是在没有人为干预的情况下由计算机系统自动执行的。因此,鉴于本公开内容,应当理解,本文描述的每个方法的每个步骤可变更为包括来自用户的输入和通向用户的输出,或者可由计算机系统自动地完成而无需人工干预,其中任何决策(determination)由处理器进行。此外,本文描述的每个方法的一些实施方式可被实现为存储在有形非暂时性存储介质上以形成有形软件产品的指令集。
图9示出了其中可实现各种实施方式的示例性计算机系统900。系统900可用于实施上述任何计算机系统。如图所示,计算机系统900包括处理单元904,处理单元通过总线子系统902与多个外围子系统通信。这些外围子系统可包括处理加速单元906、I/O子系统908、存储子系统918和通信子系统924。存储子系统918包括有形计算机可读存储介质922和系统存储器910。
总线子系统902提供了一种用于让计算机系统900的各种部件和子系统按预期彼此通信的机制。尽管总线子系统902被示意性地示出为单个总线,但是总线子系统的替代实施方式可利用多个总线。总线子系统902可以是几种类型的总线结构中的任何一种,包括存储器总线或存储器控制器、外围总线和使用多种总线架构中的任何一种的本地总线。例如,此类架构可包括行业标准架构(ISA)总线、微通道架构(MCA)总线、增强型ISA(EISA)总线、视频电子标准协会(VESA)本地总线和外围组件互连(PCI)总线,它可实现为按照IEEEP1386.1标准制造的夹层总线。
可实现为一个或多个集成电路(例如,常规微处理器或微控制器)的处理单元904控制计算机系统900的操作。一个或多个处理器可包括在处理单元904中。这些处理器可包括单核或多核处理器。在某些实施方式中,处理单元904可实现为一个或多个独立的处理单元932和/或934,每个处理单元中包括单核或多核处理器。在其他实施方式中,处理单元904也可实现为将两个双核处理器集成在一个芯片上形成的四核处理单元。
在各种实施方式中,处理单元904可响应于程序代码来执行各种程序并可维持多个并行执行的程序或进程。在任何给定时间,要执行的程序代码中的一些或全部可驻留在处理器904和/或存储子系统918中。通过合适的编程,处理器904可提供上述的各种功能。计算机系统900可另外包括处理加速单元906,其可包括数字信号处理器(DSP)、专用处理器等。
I/O子系统908可包括用户界面输入装置和用户界面输出装置。用户界面输入装置可包括键盘、鼠标或轨迹球等定点装置、结合到显示器的触摸板或触摸屏、滚轮、点击轮、拨号盘、按钮、开关、小键盘、具有语音命令识别系统、麦克风和其他类型输入装置的音频输入装置。用户界面输入装置可包括例如运动传感和/或手势识别装置,诸如Microsoft运动传感器,它使用户能够通过使用手势和口头命令的自然的用户界面与输入装置(诸如Microsoft/>360游戏控制器)交互。用户界面输入装置还可包括眼睛姿势识别装置,例如/>眨眼检测器,它检测用户的眼睛活动(例如,在拍照和/或进行菜单选择时“眨眼”)并将眼睛姿势作为输入转换到输入装置(例如Google/>)中。此外,用户界面输入装置可包括语音识别感测装置,其使用户能够通过语音命令与语音识别系统(例如,/>导航器)交互。
用户界面输入装置还可包括但不限于三维(3D)鼠标、操纵杆或定点杆、游戏手柄和绘图板,以及音频/视频装置,诸如扬声器、数字相机、数字相机、便携式媒体播放器、网络摄像头、图像扫描仪、指纹扫描仪、条形码读取器3D扫描仪、3D打印机、激光测距仪和视线跟踪装置。此外,用户界面输入装置可包括例如医学成像输入装置,诸如计算机断层扫描、磁共振成像、位置发射断层扫描、医学超声检查装置。用户界面输入装置还可包括例如音频输入装置,诸如MIDI键盘、数字乐器等。
用户界面输出装置可包括显示子系统、指示灯或非视觉显示器(诸如音频输出装置)等。显示子系统可以是阴极射线管(CRT)、平板装置(诸如使用液晶显示器(LCD)或等离子体显示器的平板装置)、投影装置、触摸屏等。通常,使用术语“输出装置”旨在包括所有可能类型的装置和机制,以用于将信息从计算机系统900输出到用户或其他计算机。例如,用户界面输出装置可包括但不限于视觉传达文本、图形和音频/视频信息的各种显示装置,例如监视器、打印机、扬声器、耳机、汽车导航系统、绘图仪、语音输出装置和调制解调器。
计算机系统900可包括存储子系统918,其包括软件元素,该软件元素被示出为当前位于系统存储器910内。系统存储器910可存储可在处理单元904上加载和执行的程序指令,以及在这些程序的执行期间生成的数据。
取决于计算机系统900的配置和类型,系统存储器910可以是易失性的(诸如随机存取存储器(RAM))和/或非易失性的(诸如只读存储器(ROM)、闪存存储器等)。RAM典型地包含可由处理单元904立即地访问和/或当前正在操作和执行的数据和/或程序模块。在一些实施方式中,系统存储器910可包括多种不同类型的存储器,诸如静态随机存取存储器(SRAM)或动态随机存取存储器(DRAM)。在一些实施方式中,基本输入/输出系统(BIOS),包含帮助在计算机系统900内的元件之间传输信息的基本例程,例如在启动期间,其通常可存储在ROM中。作为示例而非限制,系统存储器910还示出了应用程序912,其可包括客户端应用程序、Web浏览器、中间层应用程序、关系数据库管理系统(RDBMS)等、程序数据914和操作系统916。举例来说,操作系统916可包括各种版本的MicrosoftApple和/或Linux操作系统、各种商业上可用的/>或类UNIX操作系统(包括但不限于各种GNU/Linux操作系统、Google/>OS等)和/或移动操作系统诸如iOS、Phone、/>OS、/>10OS和/>OS操作系统。
存储子系统918还可提供用于存储提供一些实施方式的功能的基本编程和数据结构的有形计算机可读存储介质。当由处理器执行时提供上述功能的软件(程序、代码模块、指令)可存储在存储子系统918中。这些软件模块或指令可由处理单元904执行。存储子系统918还可提供用于存储根据一些实施方式使用的数据的存储库。
存储子系统900还可包括可进一步连接到计算机可读存储介质922的计算机可读存储介质读取器920。计算机可读存储介质922(与系统存储器910一起并任选地与系统存储器910组合)可全面地表示远程、本地、固定和/或可移动存储装置以及用于临时和/或更持久地包含、存储、传输、存储和检索计算机可读信息的存储介质。
包含代码或部分代码的计算机可读存储介质922还可包括任何适当的介质,包括存储介质和通信介质,例如但不限于在用于存储和/或传输信息的任何方法或技术中实现的易失性和非易失性、可移动和不可移动介质。这可包括有形计算机可读存储介质,例如RAM、ROM、电可擦除可编程ROM(EEPROM)、闪存或其他存储技术、CD-ROM、数字通用磁盘(DVD)或其他光学存储装置、磁带盒、磁性磁带、磁盘存储或其他磁性存储装置或其他有形计算机可读介质。这也可包括无形计算机可读介质,例如数据信号、数据传输或可用于传输所需信息并可被计算系统900访问的任何其他介质。
举例来说,计算机可读存储介质922可包括从不可移动、非易失性磁介质读取或写入到不可移动、非易失性磁介质的硬盘驱动器、从可移动、非易失性磁盘读取或写入到可移动、非易失性磁盘的磁盘驱动器,以及从可移动、非易失性光盘(如CDROM、DVD和光盘)或其他光学介质读取或写入的光盘驱动器。计算机可读存储介质922可包括但不限于驱动器、闪存卡、通用串行总线(USB)闪存驱动器、安全数字(SD)卡、DVD盘、数字视频磁带等。计算机可读存储介质922还可包括基于非易失性存储器的固态驱动器(SSD)(诸如基于闪存存储器的SSD、企业级闪存驱动器、固态ROM等)、基于易失性存储器的SSD(诸如固态RAM、动态RAM、静态RAM、基于DRAM的SSD、磁阻RAM(MRAM)SSD)以及组合使用DRAM和基于闪存的SSD的混合SSD。磁盘驱动器及其关联的计算机可读介质可为计算机系统900提供计算机可读指令、数据结构、程序模块和其他数据的非易失性存储。
通信子系统924提供到其他计算机系统和网络的接口。通信子系统924用作用于从计算机系统900的其他系统接收数据和向其他系统传输数据的接口。例如,通信子系统924可使计算机系统900能够经由互联网连接到一个或多个装置。在一些实施方式中,通信子系统924可包括用于访问无线语音和/或数据网络(例如,使用蜂窝电话技术、高级数据网络技术,诸如3G、4G或EDGE(增强全球演进数据速率)、WiFi(IEEE 802.11系列标准或其他移动通信技术,或其任何组合)的射频(RF)收发器部件、全球定位系统(GPS)接收器部件和/或其他部件。在一些实施方式中,作为无线接口的补充或替代,通信子系统924可提供有线网络连接(例如,以太网)。
在一些实施方式中,通信子系统924还可代表可使用计算机系统900的一个或多个用户接收结构化和/或非结构化数据馈送926、事件流928、事件更新930等形式的输入通信。
举例来说,通信子系统924可被配置为从社交网络的用户和/或其他通信服务实时接收数据馈送926,诸如馈送、/>更新、web馈送(诸如Rich SiteSummary(RSS)馈送)和/或来自一个或多个第三方信息源的实时更新。
此外,通信子系统924还可被配置为以连续数据流的形式接收数据,其可包括实时事件的事件流928和/或事件更新930,其可以是连续的或本质上是无界的,没有明确端点。生成连续数据的应用程序的示例可包括例如传感器数据应用程序、金融股票、网络性能测量工具(例如网络监控和交通管理应用程序)、点击流分析工具、汽车交通监控等。
通信子系统924还可被配置为将结构化和/或非结构化数据馈送926、事件流928、事件更新930等输出到一个或多个数据库,该数据库可与耦接到计算机系统900的一个或多个流式数据源计算机通信。
计算机系统900可以是各种类型中的一种,包括手持便携装置(例如,蜂窝电话、/>计算平板电脑、PDA)、可穿戴装置(例如,Google/>头戴式显示器)、PC、工作站、大型机、信息亭、服务器机架或任何其他数据处理系统。
由于计算机和网络的不断变化的性质,图中描绘的计算机系统900的描述仅旨在作为特定示例。具有比图中描绘的系统更多或更少的部件的许多其他配置是可能的。例如,也可使用定制硬件和/或可在硬件、固件、软件(包括小应用程序)或其组合中实现特定元素。此外,可采用与其他计算装置(诸如网络输入/输出装置)的连接。基于本文提供的公开和教导,实现各种实施方式的其他方式和/或方法应当显而易见。
在前面描述中,出于解释目的,阐述了许多具体细节以便提供对各种实施方式的透彻理解。然而,显而易见的是,可在没有这些具体细节中的一些的情况下实践一些实施方式。在其他情况下,众所周知的结构和装置以框图形式显示。
前述描述仅提供了示例性实施方式,并且不旨在限制本公开内容的范围、适用性或配置。相反,各种实施方式的前述描述将提供用于实现至少一个实施方式的可行的公开内容。应当理解,在不脱离所附权利要求中阐述的一些实施方式的精神和范围的情况下,可对元件的功能和布置进行各种改变。
具体细节在前述描述中给出,以便提供对实施方式的透彻理解。然而,将会理解,实施方式可在没有这些具体细节的情况下实践。例如,电路、系统、网络、过程和其他部件可能已经被示出为框图形式的部件,以免不必要的细节使实施方式模糊。在其他实例中,可能已经在没有不必要的细节的情况下示出了所熟知的电路、过程、算法、结构和技术,以便避免模糊这些实施方式。
此外,应注意,个别实施方式可能已被描述为描绘为流程图、流程图解、数据流图、结构图或框图的过程。虽然它们各自可将操作描述为顺序过程,但是许多操作可并行或同时执行。另外,操作顺序可进行重新安排。处理在其操作完成时终止,但可能有附图中未包含的其他步骤。处理可对应于方法、函数、过程、子例程、子程序等。当处理对应于函数时,其终止可对应于函数返回到调用函数或主函数。
术语“计算机可读介质”包括但不限于便携式或固定存储装置、光学存储装置、无线通道和能够存储、包含或携带指令和/或数据的各种其他介质。代码段或机器可执行指令可表示过程、函数、子程序、程序、例程、子例程、模块、软件包、类或指令、数据结构或程序语句的任何组合。代码段可通过传递和/或接收信息、数据、变元、参数或存储器内容耦接到另一个代码段或硬件电路。信息、变元、参数、数据等可通过任何合适的方式传递、转发或传输,包括存储器共享、消息传递、令牌传递、网络传输等。
此外,实施方式可通过硬件、软件、固件、中间软件、微码、硬件描述语言或以上各项的任何组合实现。当以软件、固件、中间软件或微码实现时,执行必要任务的程序代码或代码分段可存储在机器可读介质中。处理器可执行必要的任务。
在上述说明书中,特征是结合其特定实施方式描述的,但应当认识到,并非所有实施方式都限于此。一些实施方式的各种特征和方面可单独地或联合地使用。此外,在不脱离本说明书的更广泛精神和范围的情况下,实施方式可用于本文描述的环境和应用之外的任何数量的环境和应用。因此,说明书和附图被认为是说明性意义非限制性意义。
此外,为了说明的目的,以特定顺序描述方法。应当理解,在替代实施方式中,可以通过与所描述的顺序不同的顺序执行这些方法。还应当理解,上述方法可由硬件组件执行或可体现在机器可执行指令序列中,这些指令可用于使机器(诸如用指令编程的通用或专用处理器或逻辑电路)执行方法。这些机器可执行指令可存储在一个或多个机器可读介质上,诸如CD-ROM或其他类型的光盘、软盘、ROM、RAM、EPROM、EEPROM、磁卡或光卡、闪存存储器或其他类型的适合存储电子指令的机器可读介质。替代地,这些方法可通过硬件和软件的组合来执行。

Claims (20)

1.一种系统,所述系统包括:
第一半导体处理站,所述第一半导体处理站被配置为在第一半导体晶片上沉积材料;
化学品罐,所述化学品罐被配置为向所述第一半导体处理站提供液体,以在所述第一半导体晶片上沉积所述材料,其中所述化学品罐包括一个或多个传感器,所述一个或多个传感器测量所述液体的特性;以及
控制器,所述控制器被配置为执行操作,所述操作包括:
从所述化学品罐的所述一个或多个传感器接收所述测量;
向经训练的模型提供基于来自所述化学品罐的所述一个或多个传感器的所述测量的输入,其中所述经训练的模型被配置为生成调整所述第一半导体处理站的操作参数的输出,使得所述材料的厚度均匀性更接近目标厚度均匀性;以及
使所述第一半导体处理站使用由所述输出所调整的所述操作参数在第二半导体晶片上沉积所述材料。
2.如权利要求1所述的系统,其中所述第一半导体处理站包括电化学沉积站。
3.如权利要求1所述的系统,进一步包括第二半导体处理站,所述第二半导体处理站包括计量站,所述计量站在所述第一半导体晶片上执行参数测量。
4.如权利要求3所述的系统,进一步包括第三半导体处理站,所述第三半导体处理站被配置为从所述第一半导体晶片去除光刻胶层,其中所述第三半导体处理站在所述第一半导体晶片被所述第一半导体处理站处理之后且在所述第一半导体晶片被所述第二半导体处理站处理之前接收所述第一半导体晶片。
5.如权利要求3所述的系统,进一步包括第三半导体处理站,所述第三半导体处理站被配置为在所述第一半导体晶片上执行冲洗和干燥处理,其中所述第三半导体处理站在所述第一半导体晶片被所述第一半导体处理站处理之后且在所述第一半导体晶片被所述第二半导体处理站处理之前接收所述第一半导体晶片。
6.如权利要求1所述的系统,其中来自所述化学品罐的所述一个或多个传感器的所述测量指示所述材料的所述厚度均匀性。
7.如权利要求1所述的系统,其中来自所述化学品罐的所述一个或多个传感器的所述测量包括所述材料的电导率或电阻率测量。
8.如权利要求1所述的系统,其中所述控制器包括中央计算机系统,所述中央计算机系统与所述第一半导体处理站和第二半导体处理站通信。
9.如权利要求1所述的系统,其中所述控制器包括用于所述第一半导体处理站的第一集成控制器,所述第一集成控制器与用于第二半导体处理站的第二集成控制器通信。
10.一种非暂时性计算机可读介质,所述非暂时性计算机可读介质包括指令,所述指令当由一个或多个处理器执行时使所述一个或多个处理器执行操作,所述操作包括:
从化学品罐的一个或多个传感器接收测量,其中:
第一半导体处理站被配置为在第一半导体晶片上沉积材料;并且
所述化学品罐被配置为向所述第一半导体处理站提供液体,以在所述第一半导体晶片上沉积所述材料,其中所述一个或多个传感器测量所述液体的特性;
向经训练的模型提供基于来自所述化学品罐的所述一个或多个传感器的所述测量的输入,其中所述经训练的模型被配置为生成调整所述第一半导体处理站的操作参数的输出,使得所述材料的厚度均匀性更接近目标厚度均匀性;以及
使所述第一半导体处理站使用由所述输出所调整的所述操作参数在第二半导体晶片上沉积所述材料。
11.如权利要求10所述的非暂时性计算机可读介质,其中调整所述第一半导体处理站的所述操作参数的所述输出包括要施加到所述第一半导体处理站的阳极的电流。
12.如权利要求10所述的非暂时性计算机可读介质,其中调整所述第一半导体站的所述操作参数的所述输出包括由所述第一半导体站执行的配方中的步骤的处理时间。
13.如权利要求10所述的非暂时性计算机可读介质,其中所述经训练的模型包括神经网络。
14.一种调整用于半导体处理的配方参数的方法,所述方法包括:
从化学品罐的一个或多个传感器接收测量,其中:
第一半导体处理站被配置为在第一半导体晶片上沉积材料;并且
所述化学品罐被配置为向所述第一半导体处理站提供液体,以在所述第一半导体晶片上沉积所述材料,其中所述一个或多个传感器测量所述液体的特性;
向经训练的模型提供基于来自所述化学品罐的所述一个或多个传感器的所述测量的输入,其中所述经训练的模型被配置为生成调整所述第一半导体处理站的操作参数的输出,使得所述材料的厚度均匀性更接近目标厚度均匀性;以及
使所述第一半导体处理站使用由所述输出所调整的所述操作参数在第二半导体晶片上沉积所述材料。
15.如权利要求14所述的方法,其中基于所述测量的所述输入包括误差计算,所述误差计算是使用来自所述第一半导体晶片的测量值和用于所述第一半导体晶片的目标值来生成的。
16.如权利要求15所述的方法,进一步包括:向优化器提供所述误差计算,所述优化器被配置为使用一个或多个灵敏度曲线,所述一个或多个灵敏度曲线将所述误差计算与所述第一半导体处理站的所述操作参数的变化相关联。
17.如权利要求16所述的方法,进一步包括:向所述经训练的模型提供所述变化和所述误差计算,以生成调整所述第一半导体处理站的所述操作参数的所述输出。
18.如权利要求15所述的方法,进一步包括:
确定所述误差计算是否违反阈值;以及
使用基于所述测量的所述输入作为不要求由所述经训练的模型进行的调整的标记数据来训练所述模型。
19.如权利要求14所述的方法,进一步包括:
从第二半导体处理站接收测量,所述第二半导体处理站被配置为在所述材料已经沉积在所述第一半导体晶片上之后执行指示所述材料的厚度均匀性的测量;以及
向所述经训练的模型提供来自所述第二半导体处理站的所述测量。
20.如权利要求14所述的方法,其中来自所述化学品罐的所述一个或多个传感器的所述测量包括所述液体的电导率或电阻率测量,所述电导率或电阻率测量用于外推或计算所述材料的所述厚度均匀性。
CN202310221205.1A 2022-05-24 2023-03-09 用于沉积处理的基于模型的参数调整 Pending CN117107340A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/751,955 2022-05-24
US17/751,955 US20230411222A1 (en) 2022-05-24 2022-05-24 Model-based parameter adjustments for deposition processes

Publications (1)

Publication Number Publication Date
CN117107340A true CN117107340A (zh) 2023-11-24

Family

ID=88809884

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310221205.1A Pending CN117107340A (zh) 2022-05-24 2023-03-09 用于沉积处理的基于模型的参数调整

Country Status (4)

Country Link
US (1) US20230411222A1 (zh)
CN (1) CN117107340A (zh)
TW (1) TW202411477A (zh)
WO (1) WO2023229670A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003072853A2 (en) * 2002-02-22 2003-09-04 Semitool, Inc. Apparatus with processing stations for manually and automatically processing microelectronic workpieces
US10170350B2 (en) * 2014-05-02 2019-01-01 Naura Akrion Inc. Correlation between conductivity and pH measurements for KOH texturing solutions and additives
US11410891B2 (en) * 2019-08-26 2022-08-09 International Business Machines Corporation Anomaly detection and remedial recommendation
US11998945B2 (en) * 2019-11-04 2024-06-04 Tokyo Electron Limited Methods and systems to monitor, control, and synchronize dispense systems
US20220228265A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for dynamically adjusting thin-film deposition parameters

Also Published As

Publication number Publication date
WO2023229670A1 (en) 2023-11-30
TW202411477A (zh) 2024-03-16
US20230411222A1 (en) 2023-12-21

Similar Documents

Publication Publication Date Title
TWI818241B (zh) 薄膜沈積系統及方法
US11894217B2 (en) Plasma ignition optimization in semiconductor processing chambers
CN117107340A (zh) 用于沉积处理的基于模型的参数调整
US20230257900A1 (en) Parameter adjustment model for semiconductor processing chambers
US20230279576A1 (en) Plating and deplating currents for material co-planarity in semiconductor plating processes
TW202340884A (zh) 預防保養後的腔室條件監控及模擬
US20240145251A1 (en) Semiconductor film plating perimeter mapping and compensation
US20230073723A1 (en) Device and method for optimizing control parameter of solder printing apparatus
US20230243059A1 (en) Wafer immersion in semiconductor processing chambers
US11159159B1 (en) Electroplating controller with power based head-room control
CN112289371A (zh) 蛋白质与小分子样本生成及结合能、结合构象预测方法
TWI834315B (zh) 在半導體處理系統中的電漿的基於模型的表徵
US20230144437A1 (en) Leveling compound control
US20240128131A1 (en) Endpoint optimization for semiconductor processes
US20230167575A1 (en) Electrochemical deposition systems with enhanced crystallization prevention features
US20230341841A1 (en) Bayesian decomposition for mismatched performances in semiconductor equipment
CN117174202A (zh) 一种化学强化玻璃翘曲值的预测方法和调控方法
CN117574296B (zh) 电镀槽液流分布的检测系统及其方法
US20240185058A1 (en) Semiconductor film thickness prediction using machine-learning
TW202303088A (zh) 利用成像計量術的空間模式負載測量
CN115099492A (zh) 膜厚预测方法、装置、设备、计算机可读存储介质及产品
WO2023039092A1 (en) Using elemental maps information from x-ray energy-dispersive spectroscopy line scan analysis to create process models

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination