CN117063131A - Digital holographic microscope and associated metrology method - Google Patents

Digital holographic microscope and associated metrology method Download PDF

Info

Publication number
CN117063131A
CN117063131A CN202280023014.8A CN202280023014A CN117063131A CN 117063131 A CN117063131 A CN 117063131A CN 202280023014 A CN202280023014 A CN 202280023014A CN 117063131 A CN117063131 A CN 117063131A
Authority
CN
China
Prior art keywords
platform
holographic image
holographic
drift
determining
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280023014.8A
Other languages
Chinese (zh)
Inventor
W·M·J·M·柯恩
V·T·滕纳
H·A·J·克瑞姆
A·J·登鲍埃夫
W·库克
S·索科洛夫
J·J·M·范德维基德翁
A·K·劳勃
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Priority claimed from PCT/EP2022/055506 external-priority patent/WO2022200014A1/en
Publication of CN117063131A publication Critical patent/CN117063131A/en
Pending legal-status Critical Current

Links

Abstract

A method of correcting a holographic image, a processing device, a dark field digital holographic microscope, a measuring device and a detection device are disclosed. The method comprises the following steps: obtaining the holographic image; determining at least one decay function due to motion blur from the holographic image; and correcting the holographic image or a portion of the holographic image using the at least one decay function.

Description

Digital holographic microscope and associated metrology method
Cross Reference to Related Applications
The present application claims priority from European application 21163900.0 filed on day 22 of 3 months 2021 and U.S. application 63/210,652 filed on day 15 of 6 months 2021, and the entire contents of these applications are incorporated herein by reference.
Technical Field
The present application relates to digital holographic microscopy and in particular to high speed dark field digital holographic microscopy and to metrology applications in the manufacture of integrated circuits.
Background
A lithographic apparatus is a machine that is configured to apply a desired pattern onto a substrate. Lithographic apparatus can be used, for example, in the manufacture of Integrated Circuits (ICs). The lithographic apparatus may, for example, project a pattern (also commonly referred to as a "design layout" or "design") at a patterning device (e.g., a mask) onto a layer of radiation-sensitive material (resist) disposed on a substrate (e.g., a wafer).
To project a pattern onto a substrate, a lithographic apparatus may use electromagnetic radiation. The wavelength of this radiation determines the minimum size of features that can be formed on the substrate. Typical wavelengths currently used are 365nm (i-line), 248nm, 193nm and 13.5nm. A lithographic apparatus using Extreme Ultraviolet (EUV) radiation having a wavelength in the range of 4nm to 20nm (e.g., 6.7nm or 13.5 nm) may be used to form smaller features on a substrate than a lithographic apparatus using radiation having a wavelength of 193nm, for example.
Low-k 1 Photolithography may be used to process features having dimensions smaller than typical resolution limits of a lithographic apparatus. In such a process, the resolution formula may be expressed as cd=k 1 X lambda/NA, where lambda is the wavelength of the radiation used, NA is the numerical aperture of the projection optics in the lithographic apparatus, CD is the "critical dimension" (usually the minimum feature size printed, but in this case half pitch) and k 1 Is an empirical resolution factor. Generally, k 1 The smaller it is more difficult to reproduce a pattern on the substrate that resembles the shape and size planned by the circuit designer in order to achieve a particular electrical functionality and performance. To overcome these difficulties, complex fine tuning steps may be applied to the lithographic projection apparatus and/or the design layout. These steps include, for example, but are not limited to, optimization of NA, custom illumination schemes, use of phase shift patterning devices, various optimizations of the design layout, such as optical proximity effect correction (OPC, sometimes also referred to as "optical and process correction") in the design layout, or other methods commonly defined as "resolution enhancement techniques" (RET). Alternatively, a tight control loop for controlling the stability of the lithographic apparatus may be used to improve the stability at low-k 1 Reproduction of the pattern below.
During the manufacturing process, it is necessary to inspect the manufactured structure and/or measure characteristics of the manufactured structure. Suitable inspection and measurement equipment is known in the art. One of the known metrology devices is a dark field holographic microscope.
Holographic images obtained using holographic microscopy may suffer from motion blur due to object field drift and/or reference field drift; that is, there may be lateral drift in the object optical path and in the reference optical path. Such lateral drift may be caused, for example, by stage drift and/or movement of the optical elements, and result in motion blur and less accurate images.
It is desirable to correct the holographic image for this object field drift and/or reference field drift.
Disclosure of Invention
In a first aspect of the invention, there is provided a method of correcting a holographic image, comprising: obtaining the holographic image; determining at least one decay function due to motion blur from the holographic image; and correcting the holographic image or a portion of the holographic image using the at least one decay function.
In a second aspect of the invention, there is provided a dark field digital holographic microscope configured to determine a property of interest of a structure, comprising: an illumination branch for providing illumination radiation to illuminate the structure; a detection arrangement for capturing object radiation resulting from diffraction of the illumination radiation by the structure; a reference branch for providing reference radiation to interfere with the object beam to obtain a holographic image; and processing means operable to perform the method according to the first aspect.
Also disclosed are processing means and associated program memory, and a computer program, each of which comprises instructions for a processor to cause the processor to perform the method according to the first aspect.
Drawings
Embodiments of the invention will now be described, by way of example only, with reference to the accompanying schematic drawings in which:
FIG. 1 depicts a schematic overview of a lithographic apparatus;
FIG. 2 depicts a schematic overview of a lithography unit;
figure 3 depicts a schematic representation of global lithography representing the cooperation between three key technologies to optimize semiconductor manufacturing;
fig. 4 depicts a schematic overview of a scatterometry apparatus for use as a metrology device, which may comprise a dark field digital holographic microscope in accordance with an embodiment of the present invention;
fig. 5 schematically depicts an example of a dark-field digital holographic microscope operating in a continuous acquisition scheme that can be adapted using the concepts disclosed herein;
FIG. 6 schematically depicts a dark-field digital holographic microscope (df-DHM) that can be operated in a parallel acquisition scheme, which can be used to obtain holographic images that can be corrected using the concepts disclosed herein;
Fig. 7 schematically depicts the transformation of a holographic image in real space into an image spectrum in spatial frequency domain;
fig. 8 schematically depicts the object and reference fields combined to form a holographic image and the drift vectors to which these fields are subjected;
FIG. 9 is a flow chart of a method for correcting a holographic image according to an embodiment; and
FIG. 10 depicts a block diagram of a computer system for controlling a system and/or method as disclosed herein.
Detailed Description
In this document, the terms "radiation" and "beam" are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g., having a wavelength of 365nm, 248nm, 193nm, 157nm, or 126 nm) and EUV (extreme ultra-violet radiation, e.g., having a wavelength in the range of about 5nm to about 100 nm).
The terms "reticle," "mask," or "patterning device" as used herein may be broadly interpreted as referring to a generic patterning device that can be used to impart an incoming radiation beam with a patterned cross-section that corresponds to a pattern being created in a target portion of the substrate. The term "light valve" may also be used in this context. Examples of other such patterning devices include programmable mirror arrays and programmable LCD arrays, in addition to classical masks (transmissive or reflective, binary, phase-shift, hybrid, etc.).
FIG. 1 schematically depicts a lithographic apparatus LA. The lithographic apparatus LA comprises: an illumination system (also referred to as an illuminator) ILL configured to condition a radiation beam B (e.g., UV radiation, DUV radiation, or EUV radiation); a mask support (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and a first positioner PM connected to a first positioner PM configured to accurately position the patterning device MA in accordance with certain parameters; a substrate support (e.g., a wafer table) WT configured to hold a substrate (e.g., a resist-coated wafer) W and a second positioner PW connected to the substrate support configured to accurately position the substrate support in accordance with certain parameters; and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., comprising one or more dies) of the substrate W.
In operation, the illumination system ILL receives a radiation beam from a radiation source SO, for example via a beam delivery system BD. The illumination system ILL may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic and/or other types of optical components, or any combination thereof, for directing, shaping, and/or controlling radiation. The illuminator ILL may be used to condition the radiation beam B to have a desired spatial and angular intensity distribution in its cross-section at the plane of the patterning device MA.
The term "projection system" PS used herein should be broadly interpreted as encompassing various types of projection system, including refractive, reflective, catadioptric, anamorphic, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, and/or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system".
The lithographic apparatus LA may be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index (e.g. water) so as to fill a space between the projection system PS and the substrate W, which is also referred to as immersion lithography. Further information about immersion techniques is given in US6952253, which is incorporated herein by reference.
The lithographic apparatus LA may also be of a type having two or more substrate supports WT (also known as "dual stage"). In such a "multi-stage" machine, the substrate supports WT may be used in parallel, and/or another substrate W on one of the substrate supports WT may be used to expose a pattern on another substrate W while the subsequent step of preparing the substrate W for exposure may be performed on the other substrate W.
In addition to the substrate support WT, the lithographic apparatus LA may also comprise a measurement table. The measurement platform is arranged to hold the sensor and/or the cleaning device. The sensor may be arranged to measure a property of the projection system PS or a property of the radiation beam B. The measurement platform may hold a plurality of sensors. The cleaning device may be arranged to clean a part of the lithographic apparatus, for example a part of the projection system PS or a part of the system providing the immersion liquid. The measurement table may be moved under the projection system PS when the substrate support WT is away from the projection system PS.
In operation, the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the mask support MT, and is patterned by a pattern (design layout) present on the patterning device MA. After having traversed the mask MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. By means of the second positioner PW and position measurement system IF, the substrate support WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B, in a focused and aligned position. Similarly, the first positioner PM and possibly another position sensor (which is not explicitly depicted in fig. 1) can be used to accurately position the patterning device MA with respect to the path of the radiation beam B. Mask alignment marks M1, M2 and substrate alignment marks P1, P2 may be used to align patterning device MA with substrate W. Although the substrate alignment marks P1, P2 occupy dedicated target portions as illustrated, the substrate alignment marks may be located in spaces between target portions. The substrate alignment marks P1, P2 are referred to as scribe-lane alignment marks when they are located between the target portions C.
As shown in fig. 2, the lithographic apparatus LA may form part of a lithographic cell LC (sometimes also referred to as a lithography cell or (lithography) cluster), which often also comprises an apparatus to perform pre-exposure and post-exposure processes on the substrate W. Conventionally, these apparatuses include a spin coater SC that deposits a resist layer, a developer DE that develops the exposed resist, a chill plate CH and a bake plate BK for adjusting, for example, the temperature of the substrate W (e.g., for adjusting the solvent in the resist layer). The substrate transport apparatus or robot RO picks up a substrate W from input/output ports I/O1, I/O2, moves the substrate W between different process devices, and transfers the substrate W to a feed station LB of the lithographic apparatus LA. The means in the lithography unit, which are also often referred to collectively as a track or coating development system, are typically under the control of a track control unit or coating development system control unit TCU, which itself may be controlled by a supervisory control system SCS, which may also control the lithography apparatus LA, for example via a lithography control unit LACU.
In order to properly and consistently expose the substrate W exposed by the lithographic apparatus LA, it is desirable to inspect the substrate to measure properties of the patterned structure, such as overlay error between subsequent layers, line thickness, critical Dimension (CD), etc. For this purpose, an inspection tool (not shown) may be included in the lithography unit LC. If errors are detected, especially if inspection is performed before other substrates W of the same lot or batch remain to be exposed or processed, the exposure of subsequent substrates or other processing steps to be performed on the substrate W may be adjusted, for example.
An inspection apparatus, which may also be referred to as a metrology apparatus, is used to determine the properties of the substrate W and, in particular, how the properties of different substrates W change or how properties associated with different layers of the same substrate W change between different layers. The inspection apparatus may alternatively be configured to identify defects on the substrate W and may be, for example, part of the lithographic cell LC, or may be integrated into the lithographic apparatus LA, or may even be a separate device. The inspection apparatus may measure properties with respect to the latent image (the image in the resist layer after exposure), or with respect to the semi-latent image (the image in the resist layer after the post-exposure bake step PEB), or with respect to the developed resist image (where the exposed or unexposed portions of the resist have been removed), or even with respect to the etched image (after a pattern transfer step such as etching).
In general, the patterning process in the lithographic apparatus LA is one of the most important steps in the process, which requires a higher accuracy of the sizing and placement of the structures on the substrate W. To ensure such higher accuracy, three systems may be combined in a so-called "overall" control environment, schematically depicted in fig. 3. One of these systems is a lithographic apparatus LA, which is (virtually) connected to a metrology tool MT (second system) and to a computer system CL (third system). The key to this "global" environment is to optimize the cooperation between the three systems to enhance the overall process window and to provide a tight control loop to ensure that the patterning performed by the lithographic apparatus LA remains within the process window. The process window defines a range of process parameters (e.g., dose, focus, overlap) within which a particular manufacturing process produces a defined result (e.g., functional semiconductor device) -typically within the defined range, allowing for process parameters in a lithographic process or patterning process to be varied.
The computer system CL can use (part of) the design layout to be patterned to predict which resolution enhancement technique to use, and perform computational lithography simulation and calculations to determine which mask layout and lithographic apparatus set the largest overall process window (depicted in fig. 3 by the double arrow in the first scale SC 1) that implements the patterning process. In general, resolution enhancement techniques are arranged to match patterning possibilities of the lithographic apparatus LA. The computer system CL can also be used to detect where within the process window the lithographic apparatus LA is currently operating (e.g., using input from the metrology tool MT) to predict whether a defect may exist due to, for example, sub-optimal processing (depicted in fig. 3 by the arrow pointing to "0" in the second scale SC 2).
The metrology tool MT may provide input to the computer system CL to enable accurate simulation and prediction, and may provide feedback to the lithographic apparatus LA to identify possible drift (depicted in fig. 3 by the plurality of arrows in the third scale SC 3), for example, in a calibrated state of the lithographic apparatus LA.
In lithographic processes, it is desirable to frequently measure the resulting structure, for example for process control and verification. The tool used to make such measurements is commonly referred to as a metrology tool MT. Different types of metrology tools MT for making these measurements are known, including scanning electron microscopes or various forms of scatterometer metrology tools MT. A scatterometer is a multifunctional instrument that allows to measure parameters of a lithographic process by having a sensor in the pupil of the objective lens of the scatterometer or in a plane conjugate to the pupil of the objective lens of the scatterometer (measurement is often referred to as pupil-based measurement), or by having a sensor in the image plane or in a plane conjugate to the image plane, in which case measurement is often referred to as image-or field-based measurement. These scatterometers and associated measurement techniques are further described in patent applications US20100328655, US2011102753A1, US20120044470A, US20110249244, US20110026032, or ep1,628,164a, which are incorporated herein by reference in their entirety. The aforementioned scatterometers may use light from the soft x-ray and visible to near IR wavelength ranges to measure gratings.
In a first embodiment, the scatterometer MT is an angle resolved scatterometer. In such a scatterometer, a reconstruction method may be applied to the measured signal to reconstruct or calculate the properties of the grating. Such reconstruction may for example be caused by simulating interactions of scattered radiation with a mathematical model of the target structure and comparing the simulation results with those of the measurements. The parameters of the mathematical model are adjusted until the simulated interaction produces a diffraction pattern similar to that observed from a real target.
In a second embodiment, the scatterometer MT is a spectroscatterometer MT. In such a spectroscatterometer MT, radiation emitted by a radiation source is directed onto a target and reflected or scattered radiation from the target is directed onto a spectrometer detector that measures the spectrum of the specularly reflected radiation (i.e. a measure of intensity as a function of wavelength). From such data, the structure or profile of the target that produced the detected spectrum can be reconstructed, for example, by rigorous coupled wave analysis and nonlinear regression or by comparison with a library of simulated spectra.
In a third embodiment, the scatterometer MT is an ellipsometric scatterometer. Ellipsometry scatterometers allow parameters of a lithographic process to be determined by measuring scattered radiation for each polarization state. Such a metrology device emits polarized light (such as linearly polarized light, circularly polarized light or elliptically polarized light) by using, for example, a suitable polarization filter in the illumination section of the metrology device. Sources suitable for metrology equipment may also provide polarized radiation. Various embodiments of existing ellipsometric scatterometers are described in U.S. patent applications 11/451,599, 11/708,678, 12/256,780, 12/486,449, 12/920,968, 12/922,587, 13/000,229, 13/033,135, 13/533,110, and 13/891,410, which are incorporated herein by reference in their entirety.
In one embodiment of the scatterometer MT, the scatterometer MT is adapted to measure the overlap of two misaligned gratings or periodic structures by measuring the asymmetry in the reflectance spectrum and/or detection configuration, the asymmetry being related to the extent of the overlap. Two (typically stacked) grating structures may be applied in two different layers (not necessarily a continuous layer) and may be formed at substantially the same location on the wafer. The scatterometer may have a symmetry detection configuration as described, for example, in commonly owned patent application ep1,628,164a, such that any asymmetry is clearly distinguishable. This provides a direct way to measure misalignment in the grating. Further examples of measurement of asymmetry through a periodic structure including targeted measurement overlay errors between two layers of the periodic structure can be found in PCT patent application publication No. WO 201I/012624 or U.S. patent application US 20160161863, which are incorporated herein by reference in their entirety.
Other parameters of interest may be focal length and dose. The focal length and dose may be determined simultaneously by scatterometry (or alternatively by scanning electron microscopy) as described in U.S. patent application 2011-0249244, which is incorporated herein by reference in its entirety. A single structure with a unique combination of critical dimension and sidewall angle measurements for each point in the focus energy matrix (FEM-also known as focus exposure matrix) may be used. If these unique combinations of critical dimensions and sidewall angles are available, focal length and dose values can be uniquely determined from these measurements.
The metrology target may be an integral of a composite grating formed mainly in the resist by a lithographic process and also formed after, for example, an etching process. In general, the pitch and linewidth of the structures in the grating are largely dependent on the measurement optics (especially NA of the optics) to be able to capture the diffraction orders from the measurement target. As indicated previously, the diffracted signal may be used to determine a shift between two layers (also referred to as "overlay") or may be used to reconstruct at least a portion of an original grating as produced by a lithographic process. Such reconstruction may be used to provide guidance of the quality of the lithographic process and may be used to control at least a portion of the lithographic process. The target may have smaller subsections that are configured to mimic the dimensions of the functional portions of the design layout in the target. Due to such sub-segmentation, the target will behave more like the functional part of the design layout, so that the overall process parameter measurement better resembles the functional part of the design layout. The target may be measured in an underfill mode or in an overfill mode. In the underfill mode, the measurement beam produces a spot that is less than the entire target. In the overfill mode, the measurement beam produces a spot that is greater than the entire target. In such an overfill mode, it is also possible to measure different targets simultaneously, thus determining different process parameters simultaneously.
The overall measurement quality of a lithographic parameter using a particular target is determined, at least in part, by the measurement recipe used to measure such a lithographic parameter. The term "substrate measurement recipe" may include measuring one or more parameters of itself, one or more parameters of one or more patterns measured, or both. For example, if the measurement used in the substrate measurement option is a diffraction-based optical measurement, one or more of the measured parameters may include the wavelength of the radiation, the polarization of the radiation, the angle of incidence of the radiation with respect to the substrate, the orientation of the radiation with respect to the pattern on the substrate, and so forth. One of the criteria for selecting a measurement option may be, for example, the sensitivity of one of the measurement parameters to process variations. Further examples are described in U.S. patent application US2016-0161863 and published U.S. patent application US2016/0370717A1, which are incorporated herein by reference in their entirety.
A metrology apparatus, such as a scatterometer, is depicted in fig. 4. The metrology apparatus includes a broadband (white light) radiation projector 2 that projects radiation onto a substrate W. The reflected or scattered radiation is passed to a spectrometer detector 4, which spectrometer detector 4 measures the spectrum 6 of the specularly reflected radiation (i.e. a measure of intensity as a function of wavelength). From this data, the structure or profile 8 that produced the detected spectrum can be reconstructed by the processing unit PU (e.g. by rigorous coupled wave analysis and nonlinear regression, or by comparison with a library of simulated spectra as shown at the bottom of fig. 3). In general, for reconstruction, the general form of the structure is known and some parameters are assumed from knowledge of the process used to manufacture the structure, leaving only a few parameters of the structure to be determined from the scatterometry data. Such a scatterometer may be configured as a normal incidence scatterometer or an oblique incidence scatterometer.
The overall measurement quality of a lithographic parameter obtained through measurement of a metrology target is determined, at least in part, by a measurement recipe used to measure the lithographic parameter. The term "substrate measurement recipe" may include measuring one or more parameters of itself, one or more parameters of one or more patterns measured, or both. For example, if the measurement used in the substrate measurement option is a diffraction-based optical measurement, one or more of the measured parameters may include the wavelength of the radiation, the polarization of the radiation, the angle of incidence of the radiation with respect to the substrate, the orientation of the radiation with respect to the pattern on the substrate, and so forth. One of the criteria for selecting a measurement option may be, for example, the sensitivity of one of the measurement parameters to process variations. Further examples are described in U.S. patent application US2016-0161863 and published U.S. patent application US 2016/0370717A1, which are incorporated herein by reference in their entirety.
To detect the photolithography process, parameters of the patterned substrate are measured. The parameters may include, for example, overlay errors between successive layers formed in or on the patterned substrate. The measurements may be made on the product substrate and/or on dedicated metrology targets. There are various techniques for measuring microscopic structures formed during photolithography, including the use of scanning electron microscopes and various specialized tools. A fast and non-invasive form of specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target located on the surface of a substrate and the properties of the scattered or reflected beam are measured.
Examples of known scatterometers include angle-resolved scatterometers of the type described in US2006033921A1 and US201020201963 A1. Such scatterometers use relatively large targets, e.g., 40 μm by 40 μm, with the grating and measurement beam producing a spot smaller than the grating (i.e., the grating is underfilled). In addition to measuring the feature shape by reconstruction, such a device can also be used for measuring diffraction-based cover layers, as described in the already published patent application US2006066855 A1. Overlay measurement of smaller targets is achieved using diffraction-based overlay metrology using dark field imaging of diffraction orders. Examples of dark field imaging measurements can be found in international patent applications WO2009/078708 and WO2009/106279, the documents of which are hereby incorporated by reference in their entirety. Further developments of the technology have been described in published patent applications US20110027704A, US20110043791A, US2011102753A1, US20120044470A, S20120123581A, US20130258310A, US20130271740a and WO2013178422 A1. These targets may be smaller than the radiation spots and may be surrounded by product structures on the wafer. Multiple gratings may be measured in one image using a composite grating target. The contents of all of these applications are also incorporated herein by reference.
In a diffraction-based dark-field metrology apparatus, a beam of radiation is directed onto a metrology target, and one or more properties of the scattered radiation are measured in order to determine a property of interest of the target. The property of the scattered radiation may include, for example, intensity at a single scattering angle (e.g., as a function of wavelength), or intensity at one or more wavelengths that are a function of scattering angle.
The measurement of the target in dark field measurement may include, for example, measuring the first intensity I of the 1 st diffraction order +1 And the second intensity of the-1 st diffraction order (I -1 ) And computational intensity asymmetry (a=i +1 -I -1 ) The intensity asymmetry is indicative of an asymmetry of the target. The metrology target may comprise one or more grating structures from which a parameter of interest may be inferred, e.g. the target is designed such that asymmetry in the target varies with the parameter of interest. For example, in overlay metrology, a target may include at least one composite grating formed from at least one pair of overlapping sub-gratings patterned in different layers of a semiconductor device. The asymmetry of the target will thus depend on the alignment of the two layers and thus on the overlap. Other targets may be formed with structures that are exposed with varying degrees based on focus settings used during exposure; the measurement of the structure enables the focus setting to be inferred in reverse (again via intensity asymmetry).
International patent application WO2019197117A1, which is incorporated herein by reference, discloses a method and metrology apparatus for determining characteristics (e.g., overlay) of structures fabricated on a substrate based on a dark field digital holographic microscope (df-DHM). Such devices may be used to obtain a holographic image on which the methods disclosed herein may be performed to correct the holographic image for stage drift and/or other drift parameters. However, whether dark or bright field, the holographic image may be obtained by any holographic microscope or metrology tool. For descriptive purposes, fig. 3 of international patent application WO2019197117A1 is reproduced in fig. 5. FIG. 5 schematically illustrates the disclosed df-DHM specifically adapted for use in lithographic process metrology.
The df-DHM in fig. 5 further comprises a reference optical unit 16, 18 for providing two further reference radiation beams 51, 52 (the reference radiation). Such two reference beams 51, 52 are paired with two corresponding portions 41, 42 of the scattered beams 31, 32 (the object radiation), respectively. Two pairs of scattered reference beams are used to successively form two interference patterns. Coherence control is provided by adjusting the relative optical path-length difference (OPD) between the two scattered reference beams within each beam pair. However, coherent control is not available between the two beam pairs.
Due to the use of a single light source and insufficient coherence control, all four radiation beams, i.e. the first portion 41 of scattered radiation 31, the first reference radiation 51, the second portion 42 of scattered radiation 32, and the second reference radiation 52 are mutually coherent. If the four mutually coherent radiation beams are allowed to reach the same position of the sensor 6 simultaneously, i.e. operate in a parallel acquisition scheme, a plurality of patterns including the desired information-containing pattern and the undesired artifact-contributing pattern will overlap each other. An undesired interference pattern may be formed by, for example, interference between the portion 41 of the first scattered radiation 31 and the portion 42 of the second scattered radiation 32. Parallel acquisition is impractical for this arrangement because it is technically challenging and time consuming to completely separate the superimposed interference patterns.
Similar to the example of fig. 8, using a continuous acquisition scheme in the example of fig. 5 allows the complete NA of the objective lens to be used for both illumination and detection. However, the system suffers from the same problem of low measurement speed due to continuous acquisition. It is therefore desirable to have df-DHM that is capable of performing parallel acquisition so that high measurement speeds and high design flexibility can be achieved simultaneously.
Fig. 6 schematically illustrates the imaging branches of a dark field digital holographic microscope (df-DHM) 600 according to an embodiment. The dark field digital holographic microscope (df-DHM) includes an imaging branch and an illumination branch. In such an embodiment, metrology target 660, including a structure located on substrate 650, is illuminated by two illumination radiation beams, namely a first illumination radiation beam 610 and a second illumination radiation beam 620. In an embodiment, such two illumination beams 610, 620 may illuminate the metrology target 660 simultaneously.
In an embodiment, the first illumination beam 66 may be incident on the metrology target 660 at a first angle of incidence in a first direction relative to the optical axis OA. The second illumination beam 620 may be incident on the metrology target 660 at a second angle of incidence in a second direction relative to the optical axis OA. The first angle of incidence of the first illumination beam 66 and the second angle of incidence of the second illumination beam 620 may be substantially the same. The angle of incidence of each illumination beam may be, for example, in the range of 70 degrees to 90 degrees, in the range of 50 degrees to 90 degrees, in the range of 30 degrees to 90 degrees, and in the range of 6 degrees to 90 degrees. Irradiation of the metrology target 660 may result in radiation scattering from the target. In an embodiment, the first illumination beam 66 may be incident on the metrology target 660 at a first azimuthal angle corresponding to the first direction. The second illumination beam 620 may be incident on the metrology target 660 at a second azimuth angle corresponding to the second direction. The first azimuth angle of the first illumination beam 66 and the second azimuth angle of the second illumination beam 620 may be different; for example 180 degrees apart.
Depending on the structure of the metrology target 660, the scattered radiation may include reflected radiation, diffracted radiation, or transmitted radiation. In such an embodiment, the metrology target may be a diffraction-based overlay target; and each illumination beam may correspond to a scattered beam including at least one non-zero diffraction order. Each of the scattered beams carries information of the illuminated metrology target. For example, the first illumination beam 66 may correspond to a first diffraction order (+1) including the positive st DF) of the first scattered beam 611; the second illumination beam 620 may correspond to a first diffraction order (-1) including the negative st DF) of the second scattered beam 621. The zero diffraction order and other unwanted diffraction orders may either be blocked by a beam blocking element (not shown) or configured to fall entirely outside the NA of the objective lens 670. Thus, the df-DHM may be operated in a dark-field mode. It should be noted that in some implementationsIn embodiments, one or more optical elements (e.g., a lens combination) may be used to achieve the same optical effect of objective 670.
Both scattered beams 611, 621 may be collected by objective lens 670 and then refocused onto image sensor 680. The objective lens 670 may include a plurality of lenses, and/or the df-DHM 600 may include a lens system having two or more lenses (e.g., an imaging lens and an objective lens similar to the exemplary df-DHG of fig. 5), thereby defining a pupil plane of the objective lens between the two lenses and an image plane at the focal point of the imaging lens. In such an embodiment, a portion 612 of the first scattered beam 611 and a portion 622 of the second scattered beam 621 are incident simultaneously at a common location of the image sensor 680. At the same time, two reference beams of radiation, namely a first reference beam 630 and a second reference beam 640, are incident on the same location of the image sensor 680. Such four beams may be grouped into two pairs of scattered radiation and reference radiation. For example, the first scattered reference beam pair may include a portion 612 of the first scattered beam 611 and a first reference beam 630. Likewise, portion 622 of the second scattered reference beam pair may include a second scattered beam 621 and a second reference beam 640. The two pairs of scattered reference beams may then form two interference patterns (holographic images) that at least partially overlap in the spatial domain.
In an embodiment, to separate two at least partially spatially overlapping interference patterns (e.g., in the spatial frequency domain), the first reference beam 630 may have a first angle of incidence with respect to the optical axis OA, and the second reference beam 640 may have a second angle of incidence with respect to the optical axis OA; the first angle of incidence and the second angle of incidence are different. Alternatively or additionally, the first reference beam 630 may have a first azimuth angle with respect to the optical axis OA, and the second reference beam 640 may have a second azimuth angle with respect to the optical axis OA; the first azimuth angle is different from the second azimuth angle.
To generate the interference pattern, the two beams of each scattered reference beam pair should be at least partially coherent with each other to an extent sufficient to form the interference pattern. It should be noted that each scattered radiation beam may have a phase offset with respect to its corresponding illuminating radiation. For example, at the image plane of image sensor 680, such phase shifts may include contributions due to the optical path length (OPD) from metrology target 660 to image sensor 680 and through interaction with the metrology target.
The processing unit 690 may be a computer system. The computer system may be equipped with an image reconstruction algorithm to perform all of the aforementioned tasks including performing a fourier transform, extracting each individual higher order spatial spectrum, performing an inverse fourier transform, calculating a composite field, and determining characteristics of the structure based on the results.
Platform drift affects the quality of acquired information in off-axis holography (OAH) measured for overlay (or other parameter of interest). One solution is to invest in high quality low drift sample platforms and high stability reference beam positioning. Another solution is to use interferometry to monitor the stage drift as applied in scanners and actively compensate for the stage drift. Both of these solutions require considerable costs. Platform drift can severely impact the inference of reproducible overlapping data.
Off-axis holography, such as provided by the tools illustrated in fig. 5 and 6, has the unique feature of providing direct measurement of amplitude and phase via sidebands. This property provides a direct means for aberration correction via the measured complex valued wavefront (wave or field) in the sidebands. A pair of sidebands (i.e., higher order spatial spectra) are generated via interference between a reference wave (typically modeled as a flat wave) and an object wave transmitted or reflected by the sample. It should be noted that the two sidebands carry the same information and that from a mathematical point of view, in space frequency space, the two sidebands are point-inverted copies of each other after the complex conjugate. In addition to sidebands, holograms or holographic images also comprise regular image information within the so-called center band (i.e., the fundamental spatial spectrum), which represents the automatic interference of the object wave (itself).
Fig. 7 is a schematic representation of such an arrangement. Holographic measurements may result in capturing camera images or holograms H (R). The hologram H (R) is Fourier transformed FT (two-dimensional Fourier transform) into an image spectrum in the spatial frequency domainImage spectrumIncluding a central band CB and two (identical) higher order spatial spectra or sidebands sb+, SB-.
The inventors have considered that the effects of platform drift and reference drift for sidebands manifest themselves differently compared to the center band. In the absence of platform drift, the center band is only associated with sidebands, more specifically: in real space where acquisition or acquisition is performed, the center band is the power (coefficient squared) of the field of sidebands, while in fourier space (after fourier transformation of the hologram) the center band is the auto-correlation of sidebands. In the presence of platform drift, these simple relationships are no longer true and should be adapted in view of the different effects experienced by the center band and sidebands from platform drift and reference drift.
By exploiting the specific relationship between the center band and the sidebands in the case of a platform drift, it is proposed to derive both the direction and magnitude of the platform drift vector under the approximation (assumption) of a uniform platform drift during the acquisition time of the hologram. Knowing both the direction and magnitude of the uniform platform drift, the measured sidebands can be digitally corrected for the effects of such platform drift (in the context of computational imaging) via deconvolution of the platform drift-related envelope from the sidebands. In an embodiment, both the direction and magnitude of the reference vector are derived under an approximation of a uniform reference drift, and are also used to determine the envelope of the platform drift and reference drift correlations for correcting sidebands.
A uniform drift with constant drift velocity can be represented by a 2D in-plane vector v for lateral drift of the reference wave (reference drift) R And a 2D in-plane vector v for lateral drift (platform drift) of the sample platform S Representing the 2D in-plane vector v R And the 2D in-plane vector v S Both are indicated during acquisition of the hologram within a time interval denoted by Δt.
Fig. 8 schematically illustrates these drift vectors. The illumination beam IB is incident on the object at an object plane OP. The resulting object beam IS captured by the imaging system IS. At the image/detector plane, the hologram H (R) comprising the intensities is subject to a uniform 2D object field drift vector v S Is subject to uniform 2D reference field drift vector v and has an intensity of object image field O (R) R Is formed by interference of the reference field P (R) having an intensity. It should be noted that the subject field drift results from drift of the stage on which the sample (or subject, e.g., die or wafer including the target) is mounted. In the particular case of dark field holography, the object image field O (R) originates from 1 st order diffraction from a grating within the object.
By time averaging during acquisition with a duration Δt, the intensity of the hologram H (R) can be described mathematically as:
Where R is a 2D vector in the image plane in which the hologram is recorded, P (R) represents a reference field which can be represented by P (R) =exp {2 pi i K R R is approximated as a plane wave, where K R A (in-plane) wave vector representing a (tilted) plane wave, the wave vector representing its relative tilt with respect to an object image field, the object image field being represented by O (R) and k O Representing the difference between the wave vector of the 1 st order object beam (1 st order object beam is imaged) and the wave vector of the 0 th order object beam (0 th order object beam is blocked).
Due to such 1-order imaging, the shifting of the object will not only cause a corresponding shifting of the object image field, but also an additional phase shift.
The hologram intensity can be further written as the sum of four terms, where the first term is the automatic interference of the reference wave, which in this case is equal to one; the second term is the positive sideband, which can be represented by SB + (1R) representsAnd represents interference of the object wave with the reference wave; the third item is SB - (R) a negative sideband, and the fourier spectrum of the negative sideband is the complex conjugate of the dot-inverted positive sideband; and the fourth term is the automatic interference of the object wave, which is called the center band, to be represented by CB (R). Thus:
H(R)=1+SB + (R)+SB - (R)+CB(R) [2]
The expression for the time average of the positive sideband can be described by the following equation:
and equivalently, for the center band, the time-averaged expression can be given by:
/>
in order to understand the difference in the effects of platform drift and reference drift on the sidebands and center bands, it is convenient to consider their corresponding 2D spatial fourier transforms, where the 2D spatial frequency coordinate system in fourier space is denoted by v (note that the drift velocity as defined above always carries a subscript, which depends on the drift velocity related to the drift of the reference wave (v R ) Or drift of sample platform (v S ). The drift vector can thus always be distinguished from the spatial frequency vector denoted by v). For sidebandsThe corresponding fourier transform is given by (where the fourier transform terms are indicated by wave symbols in shorthand symbols):
and, for the center band:
it should be noted that equation [5 ]]And [6 ]]The sinc function in (a) reflects the (transformed) sideband SB and the center bandAttenuation in fourier space of the corresponding amplitudes of (c) and sidebands +.>The attenuation of (a) depends on both the platform drift of the sample (platform drift) and the drift of the reference wave (reference drift), while the center band +.>The attenuation of (2) depends only on the platform drift of the sample. Thus, in the sideband- >In (1) object function->As a function directly affected by the sinc-based decay function, whereas in the central band the object function +.>An autocorrelation function that is an object function directly affected by the sinc-based decay function (and thus not the object function itself).
It is well known that the center band is simply an automatic correlation of sidebands without any drift, i.e.:
now, to describe from a mathematical perspective the case where there is drift, the expression for sidebands where there is platform drift is:
damping envelope (damping) or decay function of rotating sidebands due to motion blur (e.g., caused by platform drift)Described by the following formula:
here, it should be noted that once the attenuation function for the sidebands due to motion blur (e.g., caused by platform drift) is known, the attenuation function can be explicitly deconvolved from the measured sidebands, so that the effect of motion blur is effectively removed in the sense of computational imaging.
Similarly, the center band in the presence of platform drift is described by the following equation:
wherein the damping envelope or decay function for the center band due to motion blur caused by platform drift is:
Thus, the intensities of the holograms can be measured and experimentally measured values of the sidebands and the center band derived (e.g., in fourier space). The relationship between the sidebands and the center band in the presence of platform drift and reference drift is given by the following relationship:
using this relationship, the platform drift parameter v can be determined, for example, by fitting these 4 parameters over the area covered by the center band in space frequency space (i.e., fourier space) R And v S (platform drift parameter v) R And v S Including four real-valued parameters). In this way, the motion blur envelope (decay function) can be determined and deconvolved from the measured sidebands.
In the above specific example, the drift parameters include reference drift parameters to be fitted. However, this is not necessary to achieve the goal of correcting the holographic image, as the effects of the reference drift are smaller than other effects described herein (such as platform drift). It should be clear that only minor modifications to the above description are made when reference drift is not considered.
The above embodiments are described in terms of platform drift. However, the platform is also subject to other disturbances such as platform vibration and the above process may be extended to include correction for motion blur caused by such other disturbances. The following embodiments will describe a generalized method of correcting motion blur due to platform disturbances, wherein a platform disturbance comprises any disturbance in the optical path from the radiation source to the detector/camera; this includes any relative movement between the metrology target and the measured image. Such platform perturbations include, inter alia, one or more of the following: platform drift, platform vibration, detector/camera vibration, movable lens vibration, step disturbance from manufacturing equipment. Thus, in this context, the term "metrology stage" encompasses any relevant element in the optical path that may cause motion blur; for example, in particular one or more of the following: a substrate carrier (wafer stage), an optics stage (sometimes referred to as a "sensor"), any additional movable lenses, mirrors, and/or cameras/detectors. The following concept will be similar to the one already described, since the sidebands and the center strip also experience the effects of these vibrations/disturbances in a different way and thus the effects of the platform disturbance.
The time-dependent systematic behavior of a (sample) platform in terms of disturbances/vibrations (approaching the stable point of the metrology platform) can be modeled in terms of a set of time-dependent analytical functions, each of which has its own weight, which is a free parameter to be estimated via the methods disclosed herein. Platform perturbation of a sample platform can be characterized by measuring a time-dependent displacement field of the platform, which is denoted by δr (t) and modeled as:
wherein f k (t;b k ) Is an analytical function of time t and is defined by b k One or more additional parameters of the representation; and a k Is a 2D vector describing its weights. Referring back to fig. 8, this can be similarly achieved by replacing the object field drift vector v with a time-dependent displacement field δr (t) s (and removing the reference field drift vector v R This is because the reference drift can be ignored in practice and is ignored in such an embodiment).
In this example, the intensity of the time-averaged hologram H (R) during acquisition over the duration Δt can be described mathematically as:
wherein other parameters have been described in the equivalent description of an embodiment for platform drift.
The expression for the time average of the positive sideband can be described by the following equation:
and equivalently, for the center band, the time-averaged expression can be given by:
as with platform drift, to understand the difference in the effects of platform perturbations on the sidebands and the center band, it is convenient to find its corresponding 2D spatial fourier transform, where the 2D spatial frequency coordinates in fourier space are represented by v. For sidebandsThe corresponding fourier transform is given by:
wherein:
and for the center band, the fourier transform is given by:
wherein:
damping function (or damping envelope)And->Reflecting the (transformed) sidebands +.>And a center strapIs in fourier space. The most relevant difference is in the side band +.>In (3), object functionIs subject to a corresponding decay function->A function of direct influence, whereas in the central band, the object function +.>Is subject to an attenuation function->The auto-correlation function of the directly affected object function (and thus not the object function itself).
In the presence of platform disturbances, the standard equation for the center band (i.e., equation [7 ] above]) Is no longer effective. To correct such equations for the presence of platform disturbances, the corresponding decay functions may be relied upon Andusing the above equation for the sideband and center strap [17 ]]And [19 ]]。
Similarly to the embodiment of platform drift, once the attenuation function due to motion blur caused by platform disturbances for sidebands is known, the measured sidebands can be explicitly deconvolved for such attenuation function so that the effect of motion blur is effectively removed in the sense of computational imaging.
The intensity of the hologram from which sidebands can be derived via fourier transformation of the recorded hologram can be measured experimentallyAnd center strap->Experimentally measured values (e.g., for convenience, described below in fourier space). In the presence of motion blur induced by sample platform disturbances, the relationship between the sidebands and the center band is given by the following equation: />
Such an equation implementation is for the platform perturbation parameter a k And b k Is a function of the value of (1). It will be appreciated that these platform perturbation parameters a may be fitted within the region covered by the central band in the spatial frequency space (i.e. fourier space) in a similar manner to that already described k And b k
The same principle can be applied to 2-fold hologram multiplexing as described in accordance with the aforementioned WO2019197117 A1.
In either embodiment, the fitting of the platform drift or platform disturbance parameters may include measuring for the center band from the captured holograms in the presence of platform disturbances (e.g., by obtaining holograms while the platform has not yet been fully stabilized and is still subject to drift and/or vibration)And side band->Is a 2D spatial fourier transform of (c). After this, for example, by combiningDivide by the decay function->As shown by equation [8 ]]Or [17 ]]It has been shown that the field of the transformed object can be determined>Is used for the estimation of the estimated value of (a). In using the field +.>Is to be added to the sum of such estimates for the center band +.>In the case of the measured 2D spatial fourier transform of (c), can be based on equation [12 ]]Executing the parameter v for drift R And v S Or based on equation [21 ]]Executing the disturbance parameter a for the platform k And b k Is used for the fitting of (a).
Fig. 9 is a flowchart illustrating a method of correcting a holographic image according to an embodiment. A holographic camera image 900 as captured on a camera is fourier transformed FT (e.g., two-dimensional fourier transformed) into an image spectrum 910 in the spatial frequency domain. Such an image spectrum 910 comprises a base spatial spectrum or center band CB and two (interrelated) higher order spatial spectrums or sidebands SB-, sb+. Using the relationship between center band and sidebands in the presence of platform disturbances or platform drift (e.g., equation [12 ]Or [21 ]]) The platform drift parameter v may be determined 920, for example, using a fitting technique R And v S Or platform disturbance parameter a k 、b k . At step 930, the above equation [9 ] is used, for example]Or [18 ]]Motion blur due to platform drift or platform disturbance can be determined from platform drift or platform disturbance parametersOr->The resulting sideband decay function. It will be appreciated that all other parameters of such a function (except the now determined platform drift parameter v R 、v S Or platform disturbance parameter a k 、b k Outside) may be measured directly or known. At step 940, any of the sidebands may be corrected using a sideband attenuation function. This may include, for example, dividing the sideband sb+ (or SB-) by the decay function in fourier space after first centering the sideband sb+ in the image spectrum. Alternatively, such a step may also include performing aberration correction on any aberration in the optical system. The primary motivation for holographic metrology tools originally designed for lithographic monitoring and/or control is that access to amplitude and phase information provided by such tools makes it simpler to correct aberrations in the optics (e.g., using methods such as those described in WO2019197117A1, incorporated herein by reference). This enables the aberration performance requirements of the optics of such tools to be relaxed. After the inverse fourier transform IFT into a field in real space, (e.g., by squaring the modulus of the sidebands; i.e., |sb corr (R)| 2 ) Corrected sideband SB corr (R) may be converted into a corrected image 950.
Instead of or in addition to correction of sidebands, the object field platform drift parameter v determined at step 920 S Or platform disturbance parameter a k 、b k But also for correcting the center band (center band information is known to have some use). In such an embodiment, step 930 may include, for example, using equation [11 ]]Or [20 ]]According to the drift parameter v of the object field platform S Or platform disturbance parameter a k 、b k Determining center band decay function due to motion blur caused by platform driftStep 940 may then include correcting the center band using the center band attenuation function (e.g., by deconvolving the center band attenuation function from the transformed center band). It should be noted thatThe center band is corrected accordingly (for completeness) for platform drift or platform disturbance attenuation effects rather than for effects of aberrations of the optics.
The methods disclosed herein may be used to estimate and correct motion blur (due to drift or (more generally) platform disturbances) via computational methods in the case of off-axis holography. By using this approach, constraints on the platform requirements in the holographic metrology tool can be relaxed.
An additional measure that may be beneficial to increase the robustness of the above-described parameter fitting procedure (e.g., step 920 of the above procedure) is to use any platform measurement system data from the platform measurement system (if present). The metrology apparatus generally includes a stage measurement system that can measure stage position as a function of time at a given time resolution. In view of the expected correction of sidebands, the spatial resolution of such platform measurement systems is not generally provided to enable determination of the platform parameter a k And b k The required accuracy. However, despite the limited resolution of such platform measurement system data, such platform measurement system data may still be used as valuable prior information in a bayesian sense (e.g., using the Tikhonov (Tikhonov) regularization method in practical implementations). This will bring additional robustness in the parameter estimation process.
The actual parameterization of the platform movement may include the following. The acquisition time of the hologram may be denoted by Δt. Such acquisition time may be subdivided into smaller time intervals denoted δt such that Δt=nδt, where N is an integer, and where polynomial parameterization up to N orders is suitable for modeling stage movement for the smaller time intervals δt. If M is the number of dimensions to be considered, this will result in a total of NM (n+1) parameters being estimated. For example, in the case where n=1 and n=3 (3-order polynomial), the number of parameters to be fitted is 4M. This is a reasonable number of parameters. The choices n=1 and n=3 are reasonable exemplary choices for an actual platform system at hand, where the acquisition time Δt=1 msec.
FIG. 10 is a block diagram illustrating a computer system 1000 that may facilitate the practice of the disclosed methods and processes. Computer system 1000 includes a bus 1002 or other communication mechanism for communicating information, and a processor 1004 (or multiple processors 1004 and 1005) coupled with bus 1002 for processing information. Computer system 1000 also includes a main memory 1006, such as a Random Access Memory (RAM) or other dynamic storage device, coupled to bus 1002 for storing information and instructions to be executed by processor 1004. Main memory 1006 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 1004. Computer system 1000 also includes a Read Only Memory (ROM) 1008 or other static storage device coupled to bus 1002 for storing static information and instructions for processor 1004. A storage device 1010, such as a magnetic disk or optical disk, is provided and coupled to bus 1002 for storing information and instructions.
Computer system 1000 may be coupled via bus 1002 to a display 1012, such as a Cathode Ray Tube (CRT) or flat panel display or touch panel display, for displaying information to a computer user. An input device 1014, including alphanumeric and other keys, is coupled to bus 1002 for communicating information and command selections to processor 1004. Another type of user input device is a cursor control 1016, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 1004 and for controlling cursor movement on display 1012. Such input devices typically have two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allow the apparatus to specify positions in a plane. A touch panel (screen) display may also be used as an input device.
One or more methods as described herein may be performed by computer system 1000 in response to processor 1004 executing one or more sequences of one or more instructions contained in main memory 1006. Such instructions may be read into main memory 1006 from another computer-readable medium, such as storage device 1010. Execution of the sequences of instructions contained in main memory 1006 causes processor 1004 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 1006. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
The term "computer-readable medium" as used herein refers to any medium that participates in providing instructions to processor 1004 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, and transmission media. Non-volatile media includes, for example, optical or magnetic disks, such as storage device 1010. Volatile media includes dynamic memory, such as main memory 1006. Transmission media includes coaxial cables, copper wire and fiber optics, including the wires that comprise bus 1002. Transmission media can also take the form of acoustic or light waves, such as those generated during Radio Frequency (RF) and Infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 1004 for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system 1000 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus 1002 can receive the data carried in the infrared signal and place the data on bus 1002. Bus 1002 carries the data to main memory 1006, from which processor 1004 retrieves and executes the instructions. The instructions received by main memory 1006 may optionally be stored on storage device 1010 either before or after execution by processor 1004.
Computer system 1000 also preferably includes a communication interface 1018 coupled to bus 1002. Communication interface 1018 provides a two-way data communication coupling to a network link 1020 that is connected to a local network 1022. For example, communication interface 1018 may be an Integrated Services Digital Network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface 1018 may be a Local Area Network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface 1018 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
Network link 1020 typically provides data communication through one or more networks to other data devices. For example, network link 1020 may provide a connection through local network 1022 to a host computer 1024 or to data equipment operated by an Internet Service Provider (ISP) 1026. ISP 1026 in turn provides data communication services through the world wide packet data communication network now commonly referred to as the "Internet" 1028. Local network 1022 and internet 1028 both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network link 1020 and through communication interface 1018, which carry the digital data to and from computer system 1000, are exemplary forms of carrier waves transporting the information.
Computer system 1000 can send messages and receive data, including program code, through the one or more networks, network links 1020 and communication interface 1018. In the Internet example, a server 1030 might transmit requested code for an application program through Internet 1028, ISP 1026, local network 1022 and communication interface 1018. For example, one such downloaded application may provide one or more of the techniques described herein. The received code may be executed by processor 1004 as it is received, and/or stored in storage 1010, or other non-volatile storage for later execution. In this manner, computer system 1000 may obtain application code in the form of a carrier wave.
In this document, reference is made to the term "damping envelope". The damping envelope is an envelope function applied to the center band CB and/or the side band SB and attenuating the signal level in the corresponding CB and/or SB. The damping envelope has a value between 0 and 1. Alternatively, the damping envelope may be referred to as an "amplitude envelope function". In particular, in the context of digital holography, the damping envelope may lead to a reduced contrast of fringes in the hologram. In other words, the envelope results in a reduction in contrast.
Further embodiments are disclosed in the following list of numbered aspects:
1. a method of correcting a holographic image, comprising:
obtaining the holographic image;
determining at least one decay function due to motion blur from the holographic image; and
correcting the holographic image or a portion of the holographic image using the at least one decay function.
2. The method of aspect 1, wherein the determining at least one decay function comprises:
transforming the holographic image into a spatial frequency domain to obtain a transformed holographic image; and
the at least one decay function for at least a portion of the transformed holographic image is determined.
3. The method of aspect 2, wherein the step of determining at least one attenuation function comprises determining a sideband attenuation function for sidebands of the transformed holographic image.
4. The method of aspect 3, wherein the correcting step comprises deconvolving the sideband attenuation function according to sidebands of the transformed holographic image to obtain corrected sidebands.
5. The method according to any one of aspects 2 to 4, wherein:
said step of determining at least one decay function comprises determining a center band decay function for a center band of said transformed holographic image; and is also provided with
The correcting step includes deconvolving the center-band attenuation function from the center-band of the transformed holographic image to obtain a corrected center-band.
6. The method of aspect 4 or 5, comprising transforming the corrected sidebands and/or corrected center bands to real space and converting the corrected sidebands and/or corrected center bands into corrected images.
7. The method of any one of aspects 2 to 6, wherein the step of determining at least one decay function comprises:
Determining at least one field drift vector related to a platform drift of a metrology platform used in obtaining the holographic image; and
the decay function is determined from the at least one field drift vector.
8. The method of aspect 7, wherein the determining at least one field drift vector comprises determining an object field drift vector.
9. The method of aspect 8, wherein the determining at least one field drift vector comprises determining a reference field drift vector.
10. The method of aspects 7, 8 or 9, wherein the determining at least one field drift vector comprises fitting, for each of the field drift vectors, one or more platform drift parameters describing the field drift vector over a region corresponding to the center band in the spatial frequency domain to satisfy a relationship between the sidebands and center band of the transformed holographic image in the presence of platform drift and reference drift.
11. The method of aspect 10, wherein the relationship approximates the platform drift and the reference drift to a constant velocity for each of the reference field drift vector and the object field drift vector during an acquisition time included during acquisition of the holographic image.
12. The method according to any one of aspects 2 to 6, wherein the determining at least one decay function step comprises:
determining a time-dependent displacement field of a metrology platform used in obtaining the holographic image, the time-dependent displacement field being indicative of a platform disturbance of the metrology platform; and
the decay function is determined from the time-dependent displacement field.
13. The method of aspect 12, wherein the platform disturbance of the metrology platform comprises one or more of: vibration of the metrology platform, drift of the metrology platform, vibration of a detector used in capturing the holographic image, vibration of any movable lens used in obtaining the holographic image, any step disturbance from a manufacturing apparatus used to manufacture a substrate being measured to obtain the holographic image.
14. The method of aspect 12 or 13, wherein the time-dependent displacement field is modeled as a time-dependent analytical function parameterized by platform perturbation parameters.
15. The method of aspect 14, wherein the platform perturbation parameters comprise a 2D vector that applies weights to the analytical function.
16. The method of aspect 14 or 15, wherein the determining a time-dependent displacement field comprises fitting the platform perturbation parameters over a region corresponding to the center band in the spatial frequency domain to satisfy a relationship between the sidebands and center band of the transformed holographic image in the presence of platform perturbation.
17. The method of any preceding aspect, comprising performing off-axis holography to obtain the holographic image.
18. A computer program comprising instructions for a processor to cause the processor to perform the method of any preceding aspect.
19. A processing device and associated program memory comprising instructions for a processor to cause the processor to perform the method of any one of aspects 1 to 17.
20. A dark-field digital holographic microscope configured to determine a property of interest of a structure, comprising:
an illumination branch for providing illumination radiation to illuminate the structure;
a detection arrangement for capturing object radiation resulting from diffraction of the illumination radiation by the structure;
A reference branch for providing reference radiation to interfere with the object beam to obtain a holographic image; and
the processing apparatus of aspect 19.
21. The dark-field digital holographic microscope of aspect 20, configured as an off-axis dark-field digital holographic microscope.
22. A metrology apparatus for determining a property of interest of a structure on a substrate comprising a dark field digital holographic microscope according to aspect 20 or 21.
23. An inspection apparatus for inspecting a structure on a substrate comprising a dark field digital holographic microscope according to aspect 20 or 21.
Although specific reference is made to "metrology apparatus/tool/system" or "inspection apparatus/tool/system," these terms may refer to the same or similar type of tool, apparatus or system. Inspection or metrology equipment, including for example embodiments of the present invention, can be used to determine characteristics of structures on a substrate or on a wafer. For example, an inspection apparatus or metrology apparatus including embodiments of the present invention may be used to detect defects in a substrate or in structures on a substrate or on a wafer. In such embodiments, the characteristics of interest of the structure on the substrate may relate to defects in the structure, the absence of a particular portion of the structure, or the presence of an unwanted structure on the substrate or on the wafer.
Although specific reference may be made in this text to the use of lithographic apparatus in the manufacture of ICs, it should be understood that the lithographic apparatus described herein may have other applications. Possible other applications include the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, flat panel displays, liquid Crystal Displays (LCDs), thin film magnetic heads, etc.
Although specific reference may be made herein to embodiments of the invention in the context of a lithographic apparatus, embodiments of the invention may be used in other apparatuses. Embodiments of the invention may form part of a mask inspection apparatus, metrology apparatus, or any apparatus that measures or processes an object such as a wafer (or other substrate) or mask (or other patterning device). These devices may be generally referred to as lithographic tools. Such a lithographic tool may use vacuum conditions or ambient (non-vacuum) conditions.
While specific reference may be made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention is not limited to optical lithography, and may be used in other applications, for example imprint lithography, where the context allows.
While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described. The above description is intended to be illustrative, and not restrictive. Accordingly, it will be apparent to those skilled in the art that modifications may be made to the invention as described without departing from the scope of the claims set out below.

Claims (15)

1. A method of correcting a holographic image, comprising:
obtaining the holographic image;
determining at least one decay function due to motion blur from the holographic, image; and
correcting the holographic image or a portion of the holographic image using the at least one decay function.
2. The method of claim 1, wherein determining at least one decay function comprises:
transforming the holographic image into a spatial frequency domain to obtain a transformed holographic image; and
the at least one decay function for at least a portion of the transformed holographic image is determined.
3. The method of claim 2, wherein the step of determining at least one attenuation function comprises determining a sideband attenuation function for sidebands of the transformed holographic image.
4. The method of claim 3, wherein the correcting step comprises deconvolving the sideband attenuation function from sidebands of the transformed holographic image to obtain corrected sidebands.
5. The method of any one of claims 2 to 4, wherein:
the step of determining at least one decay function comprises determining a center band decay function for a center band of the transformed holographic image; and is also provided with
The correcting step comprises deconvolving the center-band attenuation function from the center-band of the transformed holographic image to obtain a corrected center-band.
6. The method of claim 4 or 5, comprising transforming the corrected sidebands and/or corrected center bands to real space and converting the corrected sidebands and/or corrected center bands into corrected images.
7. The method according to any one of claims 2 to 6, wherein the step of determining at least one decay function comprises:
determining a time-dependent displacement field of a metrology platform used in obtaining the holographic image, the time-dependent displacement field being indicative of a platform disturbance of the metrology platform; and
determining the decay function from the time-dependent displacement field;
wherein the platform perturbation of the metrology platform comprises one or more of: vibration of the metrology platform, drift of the metrology platform, vibration of a detector used in capturing the holographic image, vibration of any movable lens used in obtaining the holographic image, any step disturbance from a manufacturing apparatus used to manufacture a substrate being measured to obtain the holographic image.
8. The method of claim 7, wherein the time-dependent displacement field is modeled as a time-dependent analytic function parameterized by a platform perturbation parameter, and
wherein optionally the platform perturbation parameters comprise a 2D vector that applies a weighting to the analytical function.
9. The method of claim 8, wherein determining a time-dependent displacement field comprises fitting the platform perturbation parameters over a region corresponding to the center band in the spatial frequency domain to satisfy a relationship between the sidebands and center band of the transformed hologram, image in the presence of platform perturbations.
10. The method of any preceding claim, comprising performing off-axis holography to obtain the holographic image.
11. A processing device and associated program memory comprising instructions for the processor to cause the processor to perform the method of any one of claims 1 to 10.
12. A dark-field digital holographic microscope configured to determine a property of interest of a structure, comprising:
an illumination branch for providing illumination radiation to illuminate the structure;
A detection arrangement for capturing object radiation resulting from diffraction of the illumination radiation by the structure;
a reference branch for providing reference radiation to interfere with the object beam to obtain a holographic image; and
the processing apparatus of claim 11.
13. The dark-field digital holographic microscope of claim 12, configured as an off-axis dark-field digital holographic microscope.
14. A metrology apparatus for determining a property of interest of a structure on a substrate comprising a dark field digital holographic microscope as claimed in claim 12 or 13.
15. An inspection apparatus for inspecting a structure on a substrate comprising a dark field digital holographic microscope as claimed in claim 12 or 13.
CN202280023014.8A 2021-03-22 2022-03-04 Digital holographic microscope and associated metrology method Pending CN117063131A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP21163900.0 2021-03-22
US202163210652P 2021-06-15 2021-06-15
US63/210,652 2021-06-15
PCT/EP2022/055506 WO2022200014A1 (en) 2021-03-22 2022-03-04 Digital holographic microscope and associated metrology method

Publications (1)

Publication Number Publication Date
CN117063131A true CN117063131A (en) 2023-11-14

Family

ID=88664941

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280023014.8A Pending CN117063131A (en) 2021-03-22 2022-03-04 Digital holographic microscope and associated metrology method

Country Status (1)

Country Link
CN (1) CN117063131A (en)

Similar Documents

Publication Publication Date Title
US20230044632A1 (en) Dark field digital holographic microscope and associated metrology method
EP3531191A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US20220404718A1 (en) Matching pupil determination
US20220309645A1 (en) Metrology Method and Method for Training a Data Structure for Use in Metrology
US20220350260A1 (en) A method for filtering an image and associated metrology apparatus
EP3605230A1 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3839635A1 (en) Dark field digital holographic microscope and associated metrology method
TWI828087B (en) Method of correcting a holographic image and related processing device and associated program storage, dark field digital holographic microscope, metrology apparatus, and inspection apparatus
EP4063971A1 (en) Digital holographic microscope and associated metrology method
NL2024478A (en) Dark field digital holographic microscope and associated metrology method
CN117063131A (en) Digital holographic microscope and associated metrology method
TWI822310B (en) Metrology method and device
EP4339703A1 (en) Metrology method and associated metrology device
EP4246231A1 (en) A method for determining a vertical position of a structure on a substrate and associated apparatuses
EP4332678A1 (en) Holographic metrology apparatus and method
CN113966488B (en) Metrology method and method for training data structures for metrology
EP4312005A1 (en) Method and apparatuses for fourier transform spectrometry
EP4124909A1 (en) Metrology method and device
EP4318131A1 (en) Sensor module, illuminator, metrology device and associated metrology method
EP4312079A1 (en) Methods of mitigating crosstalk in metrology images
EP4246232A1 (en) Illumination arrangement for a metrology device and associated method
EP4080284A1 (en) Metrology tool calibration method and associated metrology tool
WO2022263231A1 (en) Metrology method and device
TW202409523A (en) Method and apparatuses for fourier transform spectrometry
WO2024056296A1 (en) Metrology method and associated metrology device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination