CN116917539A - Acetylene fluid supply package, system including the same, and method of manufacturing semiconductor device using the same - Google Patents

Acetylene fluid supply package, system including the same, and method of manufacturing semiconductor device using the same Download PDF

Info

Publication number
CN116917539A
CN116917539A CN202280014874.5A CN202280014874A CN116917539A CN 116917539 A CN116917539 A CN 116917539A CN 202280014874 A CN202280014874 A CN 202280014874A CN 116917539 A CN116917539 A CN 116917539A
Authority
CN
China
Prior art keywords
acetylene
solvent
pressure vessel
fluid supply
supply package
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280014874.5A
Other languages
Chinese (zh)
Inventor
阿什维尼·K·辛哈
宋雪梅
W·S·凯恩
Y-J·曹
W·钟
Y·韩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Praxair Technology Inc
Original Assignee
Samsung Electronics Co Ltd
Praxair Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/667,861 external-priority patent/US20220260212A1/en
Application filed by Samsung Electronics Co Ltd, Praxair Technology Inc filed Critical Samsung Electronics Co Ltd
Priority claimed from PCT/US2022/016103 external-priority patent/WO2022177816A1/en
Publication of CN116917539A publication Critical patent/CN116917539A/en
Pending legal-status Critical Current

Links

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

The present invention describes compositions comprising acetylene fluid at least partially dissolved in a modified solvent. The improved solvents exhibit non-toxicity and are further characterized by a low vapor pressure to minimize solvent carryover during acetylene fluid delivery while maintaining suitable acetylene solubilizing ability.

Description

Acetylene fluid supply package, system including the same, and method of manufacturing semiconductor device using the same
Technical Field
The present inventive concept relates to novel compositions of improved solvents for solubilizing acetylene fluids. In particular, the improved solvents exhibit non-toxicity to acetylene fluid storage, dispensing and handling, and are further characterized by a low vapor pressure to minimize solvent carryover during acetylene fluid delivery while maintaining suitable acetylene solubilizing ability.
Background
Acetylene is widely used in a variety of applications in the industry including, for example, welding and chemical synthesis. Of particular interest, acetylene is increasingly used as a source material for depositing carbon and carbon-containing films in the electronics industry. Applications include deposition of amorphous carbon hard mask films.
However, storage of acetylene has presented several challenges due to its thermal instability. Acetylene can explosively decompose into carbon and hydrogen under high pressure and high temperature storage conditions, even in the absence of air or oxygen.
To address thermal instability, acetylene cylinders were uniquely constructed. Each cylinder contains a porous filler (e.g., silica) and a solvent, typically comprising acetone, dimethylformamide (DMF), or N-methyl-2-pyrrolidone (NMP), dispersed throughout the porous filler medium. These solvents have traditionally been selected for their ability to solubilize acetylene. The porous filler medium is a porous block typically having a porosity of about 90% by volume. The function of the porous packing medium is to separate the acetylene into small units that help to inhibit the decomposition of acetylene in the pores. The function of the solvent is to absorb large amounts of acetylene at relatively low pressures to enable high cylinder loading in low pressure cylinders. The solvent is dispersed in the interstices of the porous filler medium and around the porous filler medium.
Despite the improved thermal stability in such cylinder systems, applicants have found that specific modifications to existing acetylene cylinder fluid supply packages result in improved storage, handling and delivery capabilities, as will now be explained.
Disclosure of Invention
In one aspect, an acetylene fluid supply package includes a pressure vessel; a porous packing in the pressure vessel; an improved solvent within the porous packing, the solvent solubilizing acetylene absorbed within the improved solvent; the improved solvent comprises triethyl phosphate (TEP) charged to the pressure vessel in an amount no greater than about 0.64kg TEP per liter of pressure vessel volume.
In a second aspect, a system includes at least one acetylene fluid supply package and an acetylene utilizing processing tool in fluid communication with the at least one acetylene fluid supply package, the at least one acetylene fluid supply package comprising: a pressure vessel; a porous packing in the pressure vessel; an improved solvent within the porous packing, the solvent solubilizing acetylene absorbed within the improved solvent; the improved solvent comprises triethyl phosphate (TEP) charged to the pressure vessel in an amount no greater than about 0.64kg TEP per liter of pressure vessel volume. Wherein the at least one acetylene fluid supply package is configured to allow the acetylene fluid to be discharged under dispensing conditions; and further wherein the acetylene utilizing processing tool is configured to receive the acetylene fluid from the at least one acetylene fluid supply package.
In a third aspect, a method of manufacturing a semiconductor device includes providing a semiconductor substrate; and forming a carbon-containing layer on the semiconductor substrate using an acetylene fluid supply package, wherein the acetylene fluid supply package comprises a pressure vessel, a porous packing in the pressure vessel, and a solvent within the porous packing that solubilizes acetylene absorbed within the solvent, the acetylene fluid supply package comprising a pressure vessel, a porous packing, and a solvent within the porous packing, wherein the solvent solubilizes the acetylene absorbed within the solvent, and wherein the acetylene fluid supply package comprises a carbon-containing layerThe solvent has a vapor pressure of 6 Torr or less at 20 ℃, and a Hansen solubility factor (δh) of 5MPa 0.5 Or higher and the reproductive toxicity of the solvent is lower than that of Dimethylformamide (DMF).
Drawings
The foregoing and other aspects and features of the inventive concept will become more apparent by describing in sufficient detail exemplary embodiments of the invention with reference to the attached drawings in which:
fig. 1-3 are schematic diagrams illustrating various acetylene fluid supply packages according to some embodiments.
Fig. 4 is a schematic diagram illustrating a deposition system including an acetylene fluid supply package according to some embodiments.
Fig. 5-7 are diagrams illustrating the effect of acetylene fluid supply packages according to some embodiments.
Fig. 8-12 illustrate intermediate steps of a method of manufacturing a semiconductor device according to some embodiments.
Fig. 13-19 illustrate intermediate steps of a method of manufacturing a semiconductor device according to some embodiments.
Fig. 20 to 23 are diagrams illustrating intermediate steps of a method of manufacturing a semiconductor device according to some embodiments.
Fig. 24 is an intermediate diagram describing a method of manufacturing a semiconductor device according to some embodiments.
Detailed Description
The compositions, fluid supply packages, and systems disclosed herein can comprise, consist of, or consist essentially of any of the specific components and structures illustratively described herein. The present disclosure further contemplates restrictively defined compositions, fluid supply packages, and systems, for example, wherein one or more of the specifically described components, compositions, and structures may be specifically omitted in defining the operational embodiments of the present disclosure.
The embodiments described below are by way of example only and the inventive concept is not limited to the embodiments shown in the drawings. It should also be understood that the drawings are for purposes of illustrating the embodiments and are not intended to be drawn to scale, and that details not necessary for an understanding of the embodiments have been omitted in some instances.
As used herein and throughout, the term "fluid" is intended to include gases, vapors, liquids, and mixtures of the foregoing. As used herein and throughout, "acetylene fluid" is intended to mean that substantially all acetylene is stored under pressure in the gas phase during storage in the vessel, but may be carried with the incorporation of a small amount of solvent as the acetylene fluid is withdrawn from the vessel. The term "solvent" or "solvent fluid" is intended to refer essentially to a solvent in a liquid phase in substantial equilibrium with a corresponding gas phase of relatively low vapor pressure.
Any of "container" or "cylinder" or "package" or "delivery package" or "fluid supply package" may be used herein and interchangeably throughout refer to any storage, filling, delivery, or transportable container.
As used herein and throughout with respect to vapor pressure, "about" refers to +/-3 torr.
The present inventive concept recognizes the shortcomings of existing acetylene fluid supply packages. For example, the applicant has observed that acetone has unacceptably high vapor pressure when used as a solvent, and thus acetone vapor can be withdrawn from the storage vessel and undesirably transported with the dispensed acetylene. For several applications, such as deposition of carbon and carbon-containing films, including amorphous carbon hard mask films in the electronics industry, acetone solvents become a contaminant in acetylene. Thus, acetone can ultimately reduce the film deposition rate and affect process uniformity and consistency.
To reduce contamination in those applications where solvent impurities cannot be tolerated in the resulting carbon-containing film derived from acetylene, alternative solvents with lower vapor pressures than acetone have been used for acetylene storage and delivery. For example, DMF and N-methyl-2-pyrrolidone (NMP) have been used as solvents as part of acetylene fluid supply packages. However, both DMF and NMP exhibit toxicity. In particular, DMF and NMP are at risk of reproductive toxicity (i.e., teratogenicity). Commercial use of DMF and NMP has been limited to several countries due to such health risks. Materials with risk of reproductive toxicity are classified under the global chemical classification and labeling coordination system (GHS) as having an H360 hazard declaration code. It should be understood that toxicity as used herein refers to those materials classified as having an H360 hazard declaration code.
Thus, in view of such drawbacks of using conventional solvents in acetylene storage and delivery systems, applicants have identified improved solvents that are safer alternatives to conventional solvents for storing and delivering acetylene. The inventive concept requires a combination of certain attributes. The improved properties of the solvent are characterized by having (i) a vapor pressure of about 6 torr or less at 20 ℃; (ii) Higher non-toxicity compared to Dimethylformamide (DMF) and N-methyl-2-pyrrolidone (NMP); (iii) A chemical structure comprising at least one of O, N or F atoms, wherein at least one of the O, N or F atoms is not bonded to a hydrogen atom, and further wherein the chemical structure is characterized by the absence of boron, calcium, and nickel; and (iv) hansen solubility factor (δh) greater than about 5MPa 0.5 . In that the improved solvents with the aforementioned properties can be used as part of acetylene storage and delivery systems that do not exhibit a sharp drop in acetylene solubilization performance relative to conventional solvents.
In contrast, conventional solvents (such as DMF and NMP) are typically classified as H360 under the global chemical classification and tag coordination system (see table 2 below). In other words, they have the risk of reproductive toxicity and teratogenicity. The solvents of the invention do not pose a risk of reproductive toxicity and are therefore characterized by the absence of an H360 hazard declaration code as classified by GHS. Solvents of the present invention are generally more benign due to the absence of the H360 classification.
In addition to being more non-toxic, the solvents of the present invention maintain sufficient solubility and interaction with acetylene. Applicants have identified a combination of properties necessary to provide effective solubility of the solvent in the acetylene fluid. The solvent molecules and acetylene molecules are attracted to each other such that at least a portion of the acetylene molecules may reside in the solvent in a thermodynamically stable state. Conversely, if the solvent molecules and acetylene molecules repel each other, dissolution of acetylene in the solvent is expectedInsufficient (i.e., solubility in acetylene is less than the solubility of the conventionally used solvents DMF, acetone, and NMP). Acetylene has a C with a triple bond between carbon atoms 2 H 2 Is a chemical structure of (a). Each carbon atom is covalently bonded to a hydrogen atom that represents a useful center of molecular interaction with the solvent. The hydrogen atoms may preferably hydrogen bond with negative sites in the solvent molecule to achieve sufficient solubility. Such negative polarity sites can be obtained by selecting a polar solvent having an electronegative site provided via N, O or F atoms. The solubility of acetylene in a solvent increases with the efficiency of hydrogen bonding of the solvent to acetylene molecules. Applicants have found that the efficacy of hydrogen bonding can be reliably assessed by hansen solubility interaction parameters, designated δh, which is defined in the art as the energy from hydrogen bonding between molecules. This parameter indicates how the solvent can form the strength of the intramolecular or intermolecular hydrogen bonds. The higher the δh value, the higher the efficiency of the solvent to form hydrogen bonds with it. With respect to the present inventive concept, for a particular solvent, a higher δh indicates a higher solubility of the acetylene fluid within the solvent molecule. In a preferred embodiment, δh is greater than about 5MPa 0.5
Another attribute necessary for the inventive concept is the avoidance, reduction or minimization of O-H, F-H or N-H bonds within the solvent molecule such that the molecular structure of the solvent contains at least one O, N or F atom that is not bonded to an H atom within the solvent molecule. Applicants have found that an excess of O-H, F-H or N-H bonds within the solvent molecule may lead to a tendency for the solvent molecule to hydrogen bond with itself, potentially limiting the availability of electronegative sites for hydrogen bonding with acetylene molecules, thereby creating intermolecular hydrogen bonds. For example, and not intended to be limiting, if the solvent molecule contains two oxygen atoms, at least one of the two oxygen atoms cannot bond with a hydrogen atom within the molecule to reduce the tendency of self-hydrogen bonding within the solvent molecule.
(i) The combination of a sufficiently high δh with (ii) the molecular structure of a solvent containing at least one O, N or F atom that is not bonded to an H atom therein promotes the ability of the solvent molecule to more effectively interact and solubilize with acetylene molecules. In other words, δh is greater than about 5MPa 0.5 The combination of solvent structures that avoid or minimize intramolecular H-bonding within the solvent molecule may promote H-bonding between the solvent and the acetylene molecule.
While providing non-toxic and effective solubility of the solvent with the acetylene fluid is critical, the inventive concepts also require that the solvent molecules exhibit a relatively low vapor pressure to reduce their carry over during dispensing of acetylene. In this regard, the solvents contemplated by the present invention have a vapor pressure of about 6 torr or less at 20 degrees celsius (°c). The use of solvent molecules with lower vapor pressures minimizes contamination of acetylene dispensed to downstream applications. The relatively low vapor pressure of the solvents contemplated by the present invention minimizes entrainment of the solvent during acetylene partitioning, thereby allowing delivery of high purity acetylene. In contrast, conventional solvents such as acetone have a vapor pressure of 187 torr at 20 ℃ which is at a significant risk of contamination (see table 2 below) due to the tendency of large amounts of acetone to have a tendency to withdraw from the vessel as acetylene.
Having described all the necessary attributes of the solvents of the present invention, table 1 depicts representative, non-limiting examples of solvents according to the principles of the inventive concept. As can be seen, triethyl phosphate (TEP); dihydro-l-glucosone (Cyrene); tetraethylene glycol dimethyl ether; acetic anhydride; cyclohexanone; cyclopentaoxadiazon; and mesityl oxide are solvents that are expected to be safer in handling and that exhibit sufficient solubility with acetylene fluid in the vessel. All solvents of the invention are characterized by the absence of the H360 classification; exhibit a relatively low vapor pressure of about 6 torr or less; has a hansen solubility interaction parameter, designated δh, greater than about 5MPa 0.5 The method comprises the steps of carrying out a first treatment on the surface of the And eliminating, reducing or minimizing the molecular structure of O-H, F-H or N-H bonds within the solvent molecule, such that the molecular structure of the solvent contains at least one O, N or F atom that is not bonded to an H atom within the solvent molecule; and additionally, P, B, ca and Ni atoms are thereby absent from the solvent chemistry. The combination of these attributes represents a significant improvement and departure from conventional solvents for storage and delivery of acetylene. Table 2 lists DMF, acetone, and NMP, which are representative of conventional solvents for storing acetylene under the heading of the prior art. It can be seen that conventional solvents suffer from one or more drawbacks, such as H360 toxicity and/or unacceptably high vapor pressures. Hansen solubility factor (δh) values are obtained using software commercially available as hsPIP software and utilized at https: official website generation of/(www.hansen-solubility.com/contact.php.
TABLE 1]
TABLE 2](Prior Art)
It should be understood that the present inventive concept also contemplates other solvents not listed in table 1. For example, the solvents of the present invention may include, but are not limited to, the following compounds.
(1) N, N, N ', N' -tetramethyl-phosphinodiamide (N, N, N ', N' -tetramethyl-phosphinodiamide)
(2) Tetramethylene sulfoxide
(3) Tetramethyl urea
(4) N-acetylpyrrolidine
(5) Tris (N, N-tetramethylene) phosphoramides
(6) Methylnaphthacene dioxane
(7) Trimethyl phosphite
(8) Tetramethyl phosphoryl fluoride diamine (tetramethyldiamidophosphoryl fluoride)
Fig. 1-3 are schematic diagrams illustrating various acetylene fluid supply packages according to certain embodiments of the inventive concepts.
Referring to fig. 1, an acetylene fluid supply package according to certain embodiments includes a storage vessel (or pressure vessel) 12 having an interior volume occupied by a porous medium (or porous filler) 20 (e.g., silica) preloaded within the vessel 12. One or more solvents 30 of the present inventive concept are dispersed or loaded into the porous medium 20 and surround the porous medium 20. By dissolving C in solvent 30 2 H 2 (32) Solubilization to the required pressure to store C 2 H 2 . Typical packing pressures are in the range of 200-300psig at 21 ℃. A pressure regulating device (52) is mounted at the outlet 14 of the cylinder 12 to reduce the outlet pressure to a desired pressure (about 15-30psig) and then connected to a flow control device for ease of operation. A shut-off valve 16 is shown along the top of the container 12. The acetylene fluid is at least partially dissolved in the modified solvent 30.
The outlet 14 may serve as a gate through which acetylene fluid is discharged from or into the vessel 12. For example, acetylene fluid may be discharged from vessel 12 or may be introduced into vessel 12 through a gas conduit 50 connected to outlet 14. A shut-off valve 16 is installed at the outlet 14 to selectively open/close the outlet 14 so that acetylene fluid can be controlled. In certain embodiments, the first valve 52 may further be disposed on the gas conduit 50. The first valve 52 may control the amount of acetylene fluid flowing through the gas conduit 50 and also act as a pressure regulating device such that the cylinder pressure is reduced to a desired level.
Referring to fig. 2, an acetylene fluid supply package according to some embodiments includes the container 12 of fig. 1 in combination with a solvent trap 60, wherein at least a portion of the acetylene fluid is dissolved in one or more of the solvents 30 of the present invention dispersed within and around the porous medium 20. The cylinder or storage vessel (12) filled with porous filler material (20) is loaded with the desired solvent (30). The solvent is dispersed in the voids within and around the porous filler material. By dissolving C in solvent 2 H 2 Solubilization to the required pressure to store C 2 H 2 . Typical packing pressures are in the range of 200-300psig at 21 ℃. The solvent trap 60 is a tank containing adsorbent material that is mounted upstream of the outlet of the cylinder and the pressure regulating device 52. It should be appreciated that the tank may be loaded with any suitable desired adsorbent media, such as activated carbon, zeolite or metal organic framework, capable of capturing the solvent of the present invention that may pass therethrough as a result of being withdrawn from the interior volume of the vessel 12. In this way, removal of any carrier solvent may be achieved, thereby increasing the purity of the delivered acetylene required for critical applications such as deposition of carbon films for electronic device fabrication.
Referring to fig. 3, an acetylene fluid supply package according to some embodiments includes a solvent trap 60 mounted downstream of the pressure regulating device 52.
It should be appreciated that fig. 1-3 represent a non-limiting example of a storage and delivery package with improved solvent 30, porous medium 20, and acetylene. Other configurations of storage and delivery packages are contemplated without departing from the scope of the inventive concept. For example, the acetylene fluid supply package of the present disclosure may be any configuration suitable for containing acetylene fluid during storage and transport conditions and discharging acetylene fluid from the fluid supply package under dispensing conditions. It should be appreciated that a single solvent 30 may be utilized, or a mixture of two or more solvents 30 may be utilized, wherein the resulting mixture is characterized by each of the desired attributes of the inventive concepts as already discussed above. Dispensing may be accomplished by actuating the fluid dispensing assembly to accommodate dispensing conditions, such as by opening a valve in a valve head of the fluid dispensing assembly of the package.
The present inventive concept contemplates various fields of use for the compositions described herein. For example, some methods include, but are not limited to, chemical vapor deposition, plasma enhanced chemical vapor deposition, beam line ion implantation, and plasma immersion ion implantation. An example of the use of an acetylene delivery package (i.e., an acetylene fluid supply package) contemplated by the present invention is shown in fig. 4. Fig. 4 is a schematic diagram illustrating a deposition system including an acetylene fluid supply package according to some embodiments. FIG. 4 illustrates the use of acetylene delivery packages operably connected to certain processing equipment in a method of depositing a carbon film for electronic device manufacturing applications.
Referring to fig. 4, one or more acetylene delivery packages GP are disposed within gas cabinet 10. Acetylene is withdrawn from the acetylene delivery package of fig. 1-3 and then distributed into the process chamber 80 by a flow control device 70, which may be configured to establish a flow rate of acetylene that may range from 0.1slpm to 10 slpm. The process chamber 80 is preferably maintained at a pressure in the range of 0.1-10 torr. The target substrate W is heated to an elevated temperature in the range of 100 ℃ to 800 ℃ to assist in depositing the high purity carbon film. The process chamber 80 may be equipped with a plasma source to aid in the carbon deposition process. It should be understood that the acetylene delivery package may be operated at other flow rates, temperatures and pressures.
It should further be appreciated that a plurality of acetylene delivery packages as shown in fig. 1 may be loaded into the gas cabinet 10. The outlet of each of the acetylene delivery packages is connected to a dedicated flow control device 70, each leading to the inlet of the process chamber 80. Acetylene can be stored in each delivery package at 21 ℃ at a pressure of up to about 300 psig. Upon actuation of the shut-off valve to the open position, the controlled acetylene flow will be dispensed from its dedicated container.
It is further understood that multiple acetylene delivery packages GP may be connected together to form a package bundle, and then the combined flow lines are split into different flow lines each connected to a dedicated flow control device 70.
In some embodiments, a platen 92 may be disposed in the process chamber 80. For example, the platen 92 may be disposed at a lower portion of the interior space of the process chamber 80. The target substrate W is loaded on the platen 92, and the platen 92 may support the loaded target substrate W. The platen 92 may be an electrostatic chuck that holds the target substrate W using electrostatic force, but the present invention is not limited thereto.
In some embodiments, a showerhead 94 may be disposed in the process chamber 80 and facing the platen 92. For example, the showerhead 94 may be disposed at an upper portion of the inner space of the process chamber 80. The showerhead 94 may provide acetylene on the loaded target substrate W. For example, the showerhead 94 may be connected to an acetylene delivery package GP in the gas cabinet 10 by a gas conduit 50. Acetylene discharged from acetylene delivery package GP may be moved along gas conduit 50 to be provided into process chamber 80 through showerhead 94.
In some embodiments, a second valve 54 may be further disposed in the gas conduit 50. The second valve 54 may control the amount of acetylene fluid flowing into the flow control device 70. For example, the second valve 54 may be disposed in a gas conduit 50 that connects the first valve 52 to the flow control device 70.
In some embodiments, a third valve 56 may be further disposed in the gas conduit 50. Third valve 56 may control the amount of acetylene fluid flowing into spray head 94. For example, the third valve 56 may also be provided in the gas conduit 50 connecting the flow control device 70 to the showerhead 94.
The deposited carbon film may be used in a variety of applications including, but not limited to, a protective layer over the underlying film during subsequent etching method steps, or a conductive carbon film for transporting electrons. Many applications are sensitive to impurities or unwanted contamination and because such contamination can adversely affect film properties, including its optical properties, electrical properties, or robustness in other subsequent processes. In such cases, the supply of acetylene stable in the solvent containing the reactive element may form non-volatile reaction products under deposition conditions, which is undesirable. Even relatively low vapor pressure solvents can be delivered to the process chamber in varying traces and adversely affect film properties. Thus, acetylene solvents containing metallic or inorganic impurities (such as boron, calcium, and nickel) are undesirable for the present application. In this regard, one of the attributes of the inventive concept is: the chemical structure is characterized by the absence of boron, calcium, and nickel.
The ability of the inventive concept to produce high purity films is superior to conventional solvents such as acetone, which act as a contaminant for several of the applications described above where high purity acetylene is desired. Acetone acts as a contaminant, which may adversely affect the properties of carbon films used during the manufacture of electronic devices.
As has been described, the present inventive concept presents a novel solvent that exhibits higher non-toxicity, characterized by the absence of the H360 hazard declaration code, while still maintaining acetylene solubilizing ability in a manner that allows for the extraction of high purity acetylene product from storage and delivery vessels.
Each of the modified solvents 30 has a different solubilizing capacity for acetylene, which translates to specific volume expansion of the solvent 30 within the cylinder 12. The loading of each of the solvents 30 into the cylinder 12 must be determined solvent by solvent and taking into account the volumetric expansion of the particular solvent due to the gaseous acetylene dissolved in the particular solvent 30 so that sufficient free space of at least about 10% of the cylinder free volume is maintained in the cylinder 12. In addition, the loading of each modified solvent 30 must allow sufficient acetylene to be dissolved therein without over-pressurizing cylinder 12. Thus, the importance of the loading parameters of each of the improved solvents 30 of the present inventive concepts into cylinder 12 is a unique value, as has been determined experimentally by the applicant. For example, the amount of triethyl phosphate (TEP) that can be loaded into the cylinder 1 is not greater than about 0.64kg TEP per liter of cylinder volume, preferably not greater than about 0.61kg TEP per liter of cylinder volume, and more preferably not greater than about 0.58kg TEP per liter of cylinder volume.
Fig. 5-7 are diagrams illustrating the effect of acetylene fluid supply packages according to some embodiments. For convenience of description, portions overlapping those described above with reference to fig. 1 to 4 will be briefly described or omitted.
Experimental example 1]
The pressure vessel filled with porous silica particles was filled with triethyl phosphate as a solvent, and acetylene was dissolved at 21 ℃ at a filling pressure of 250psig, thereby preparing an acetylene fluid supply package.
Comparative example 1]
Acetylene fluid supply packages were prepared as described in experimental example 1, except that acetone was used as a solvent.
[ carrying assessment ]]
Solvent carryover of fluid discharged from acetylene fluid supply package manufactured according to experimental example 1 was measured and is shown in fig. 5. In addition, the solvent carryover of the fluid discharged from the acetylene fluid supply packages manufactured according to experimental example 1 and comparative example 1 was measured and is shown in fig. 6.
Referring to fig. 5 and 6, it can be confirmed that the acetylene fluid supply package manufactured according to experimental example 1 shows significantly reduced solvent carryover, compared to the acetylene fluid supply package manufactured according to comparative example 1.
For example, when the vessel pressure was around 70psig, the solvent carry-over (i.e., acetone carry-over) of the fluid discharged from the acetylene fluid supply package according to comparative example 1 was around 42,000ppm, unlike this, it was confirmed that the solvent carry-over (i.e., triethyl phosphate carry-over) of the fluid discharged from the acetylene fluid supply package according to experimental example 1 was significantly reduced to around 66 ppm. It is understood that this is because the vapor pressure of triethyl phosphate (about 0.3 torr at 20 ℃) is significantly lower than the vapor pressure of acetone (about 187 torr at 20 ℃).
[ carbon film evaluation]
A carbon film was deposited on a wafer using each acetylene fluid supply package manufactured according to experimental example 1 and comparative example 1, and physical properties (thickness, reflectivity, and density) of the deposited carbon film were measured and are shown in fig. 7. Carbon film deposition on the wafer was performed using the deposition system described with reference to fig. 4. In addition, as a reference for the carbon film deposited according to each of experimental example 1 and comparative example 1, a pure acetylene gas without solvent was used to deposit the carbon film on the wafer.
Referring to fig. 7, it can be confirmed that the carbon film deposited by the acetylene fluid supply package according to experimental example 1 shows significantly improved physical properties compared to the carbon film deposited by the acetylene fluid supply package according to comparative example 1.
In particular, unlike the carbon film deposited by the acetylene fluid supply package according to comparative example 1, which has a great difference in physical properties from the reference carbon film, it can be confirmed that the carbon film deposited by the acetylene fluid supply package according to experimental example 1 exhibits similar physical properties to the reference carbon film. It can be understood that this is because, as described above, the solvent carry-over of the fluid discharged from the acetylene fluid supply package according to experimental example 1 (i.e., triethyl phosphate carry-over) is significantly smaller than the solvent carry-over of the fluid discharged from the acetylene fluid supply package according to comparative example 1 (acetone carry-over).
As described above, since the solvent has high solubility for acetylene (e.g., about 5MPa 0.5 Or higher) and low vapor pressure (e.g., about 6 torr or less), solvent carryover that is discharged while mixing with acetylene can be significantly reduced. In addition, the solvents have low reproductive toxicity and are therefore easy to apply. Accordingly, an acetylene fluid supply package capable of stably supplying acetylene can be provided.
Hereinafter, referring to fig. 8 to 24, a method of manufacturing a semiconductor device according to an exemplary embodiment will be described. The following embodiments are merely illustrative, and the present disclosure is not limited to the following embodiments.
Fig. 8-12 illustrate intermediate steps of a method of manufacturing a semiconductor device according to some embodiments. For convenience of description, portions overlapping those described above with reference to fig. 1 to 7 will be briefly described or omitted.
Referring to fig. 8, an etching target film 110, a hard mask film 112, and a photoresist film 114 are sequentially formed on a semiconductor substrate 100.
The semiconductor substrate 100 may be bulk silicon or silicon-on-insulator (SOI). Alternatively, the semiconductor substrate 100 may be a silicon substrate, or be formed of a different material, such as silicon germanium, silicon Germanium On Insulator (SGOI), indium antimonide, lead tellurium compound, indium arsenide, indium phosphide, gallium arsenide, or gallium antimonide, but the present disclosure is not limited thereto. For convenience of description, hereinafter, the semiconductor substrate 100 is described as a silicon substrate.
The etching target film 110 may be stacked on the semiconductor substrate 100. The etching target film 110 may include an insulating material, such as at least one of silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof, but the present disclosure is not limited thereto.
The hard mask film 112 may be stacked on the etching target film 110. The hard mask film 112 may be formed by a deposition process using acetylene as a raw material. The deposition process may include, for example, a Chemical Vapor Deposition (CVD) process, but the disclosure is not limited thereto. For example, acetylene may be provided on the etching target film 110 from the acetylene fluid supply package described with reference to fig. 1 to 3, and the hard mask film 112 may be a carbon-containing layer formed of the provided acetylene. The hard mask film 112 may be an Amorphous Carbon Layer (ACL) formed of acetylene, but the present disclosure is not limited thereto.
A photoresist film 114 may be stacked on the hard mask film 112. The photoresist film 114 may be formed on the hard mask film 112 by a coating process (e.g., spin coating, dip coating, or spray coating).
Referring to fig. 9, a photoresist pattern 114p is formed by patterning the photoresist film 114.
For example, the exposure process may be performed on the photoresist film 114. The photoresist film 114 may be divided into an exposed portion and an unexposed portion by an exposure process. Subsequently, a developing process of the photoresist film 114 may be performed. When the developing process is a Positive Tone Developing (PTD) process, a photoresist pattern 114p may be formed, from which an exposed portion is removed and an unexposed portion remains. When the developing process is a Negative Tone Developing (NTD) process, a photoresist pattern 114p may be formed, from which unexposed portions are removed and exposed portions remain.
Referring to fig. 10, a hard mask pattern 112p is formed by patterning the hard mask film 112.
For example, the etching process may be performed using the photoresist pattern 114p as an etching mask. The etching process may include, for example, a dry etching process, but the present disclosure is not limited thereto. Accordingly, the hard mask pattern 112p to which the photoresist pattern 114p is transferred may be formed on the etching target film 110.
Referring to fig. 11, an etching process is performed on the etching target film 110 using the hard mask pattern 112p as an etching mask.
The etching process may include, for example, a dry etching process, but the present disclosure is not limited thereto. As the etching process proceeds, a recess 110r may be formed in the etching target film 110. In some embodiments, the depth of the recess 110r may be less than the thickness of the etch target film 110. After the etching process is performed on the etching target film 110, the hard mask pattern 112p may be removed.
Referring to fig. 12, a conductive pattern 116 is formed in the recess 110r.
For example, a conductive film filling the concave portion 110r may be formed on the etching target film 110. Subsequently, a planarization process may be performed on the conductive film. The planarization process may include, for example, a Chemical Mechanical Polishing (CMP) process, but the disclosure is not limited thereto. Accordingly, a plurality of conductive patterns 116 insulated from each other by the etching target film 110 may be formed.
In some embodiments, the conductive pattern 116 may be used as a conductive line of a semiconductor device. For example, the conductive pattern 116 may be used as a word line of a volatile memory such as a Dynamic Random Access Memory (DRAM), but the present disclosure is not limited thereto.
As semiconductor devices become increasingly highly integrated, a hard mask film having improved etch selectivity is required. Methods of manufacturing a semiconductor device according to some embodiments may provide the hard mask film 112 with improved etch selectivity by using the acetylene fluid supply package described above. In particular, as described above, acetylene fluid supply packages according to some embodiments may significantly reduce solvent carryover during deposition by using solvents. Accordingly, a high-quality carbon-containing layer (e.g., an amorphous carbon layer) can be provided as the hard mask film 112.
Fig. 13-19 illustrate intermediate steps of a method of manufacturing a semiconductor device according to some embodiments. For convenience of description, portions overlapping those described above with reference to fig. 1 to 12 will be briefly described or omitted.
Referring to fig. 13, a first molding layer MS1 is formed on a semiconductor substrate 100.
The first molding layer MS1 may include first molding insulating films 121 and first molding sacrificial films 122 alternately stacked on the semiconductor substrate 100.
The first molding insulating film 121 and the first molding sacrificial film 122 may include an insulating material, for example, at least one of silicon oxide, silicon nitride, and silicon oxynitride, but the present disclosure is not limited thereto. In some embodiments, the first molding sacrificial film 122 may include a material having an etching selectivity to the first molding insulating film 121. In one example, the first mold insulating film 121 may include a silicon oxide film, and the first mold sacrificial film 122 may include a silicon nitride film.
Referring to fig. 14, first holes CHa are formed in the first mold layer MS1.
The first hole CHa may extend in a direction (e.g., a vertical direction) crossing the upper surface of the semiconductor substrate 100 to pass through the first mold layer MS1. Accordingly, the first holes CHa may intersect the plurality of first mold insulating films 121 and the plurality of first mold sacrificial films 122. In some embodiments, the first hole CHa may pass through the first molding layer MS1 to expose a portion of the upper surface of the semiconductor substrate 100.
Referring to fig. 15, a sacrificial pattern 123 is formed in the first hole CHa.
The sacrificial pattern 123 may fill the first hole CHa. The sacrificial pattern 123 may be formed through a deposition process using acetylene as a raw material. The deposition process may include, for example, a Chemical Vapor Deposition (CVD) process, but the disclosure is not limited thereto. For example, on the first molding layer MS1, acetylene may be supplied from the acetylene fluid supply package described above with reference to fig. 1 to 3, and the sacrificial pattern 123 may be a carbon-containing layer formed of the supplied acetylene. Such a sacrificial pattern 123 may have an etching selectivity to the first molding layer MS1.
Referring to fig. 16, a second molding layer MS2 is formed on the first molding layer MS1 and the sacrificial pattern 123.
The second molding layer MS2 may include second molding insulating films 124 and second molding sacrificial films 125 alternately stacked on the semiconductor substrate 100.
The second mold insulating film 124 and the second mold sacrificial film 125 may include an insulating material, for example, at least one of silicon oxide, silicon nitride, and silicon oxynitride, but the present disclosure is not limited thereto. In some embodiments, the second molding sacrificial film 125 may include a material having an etching selectivity to the second molding insulating film 124. In some embodiments, the second mold insulating film 124 may include the same material as the first mold insulating film 121, and the second mold sacrificial film 125 may include the same material as the first mold sacrificial film 122. In one example, the second mold insulating film 124 may include a silicon oxide film, and the second mold sacrificial film 125 may include a silicon nitride film.
Referring to fig. 17, second holes CHb are formed in the second mold layer MS2.
The second hole CHb extends in a direction (e.g., a vertical direction) crossing the upper surface of the semiconductor substrate 100 to pass through the second molding layer MS2. Accordingly, the second holes CHb may intersect the plurality of second mold insulating films 124 and the plurality of second mold sacrificial films 125. In some embodiments, the second hole CHb may pass through the second molding layer MS2 to expose at least a portion of the upper surface of the sacrificial pattern 123.
Referring to fig. 18, the sacrificial pattern 123 is selectively removed.
For example, an etching process for removing the sacrificial pattern 123 using the second hole CHb may be performed. The etching process may include, for example, a wet etching process, but the disclosure is not limited thereto. Since the sacrificial pattern 123 may have etching selectivity to the first and second molding layers MS1 and MS2, the sacrificial pattern may be selectively removed. When the sacrificial pattern 123 is removed, in the first and second molding layers MS1 and MS2, a through hole CH including first and second holes Cha and CHb communicating with each other may be formed.
Referring to fig. 19, a data storage film 126 and a semiconductor film 127 are formed in the through hole CH.
The data storage film 126 and the semiconductor film 127 may be sequentially stacked in the through hole CH.
The data storage film 126 may extend conformally along the contour of the side surface of the through hole CH. The data storage film 126 may include, for example, at least one of silicon oxide, silicon nitride, silicon oxynitride, and a high-dielectric-constant material having a dielectric constant higher than that of silicon oxide.
The semiconductor film 127 may extend along the upper surface of the semiconductor substrate 100 and the side surfaces of the data storage film 126. In some embodiments, the semiconductor film 127 may extend conformally along the contours of the upper surface of the semiconductor substrate 100 and the side surfaces of the data storage film 126. The semiconductor film 127 may include, for example, a semiconductor material such as single crystal silicon, polycrystalline silicon, an organic semiconductor material, and a carbon nanostructure, but the disclosure is not limited thereto.
In some embodiments, the semiconductor film 127 may serve as a channel of a semiconductor device. In one example, the semiconductor film 127 may be used as a channel of a nonvolatile memory device such as a NAND flash memory, but the present disclosure is not limited thereto.
In some embodiments, the filling pattern 128 may be further formed in the via hole CH. After the data storage film 126 and the semiconductor film 127 have been filled, a filling pattern 128 may be formed to fill the remaining via holes CH. The fill pattern 128 may include an insulating material, such as silicon oxide, but the present disclosure is not limited thereto.
As semiconductor devices become increasingly highly integrated, carbon-containing layers have been proposed as sacrificial patterns with improved performance. Methods of fabricating semiconductor devices according to some embodiments may use the acetylene fluid supply package described above to provide a high quality carbon-containing layer as the sacrificial pattern 123.
Fig. 20 to 23 are diagrams illustrating intermediate steps of a method of manufacturing a semiconductor device according to some embodiments. For convenience of description, portions overlapping those described above with reference to fig. 1 to 12 will be briefly described or omitted.
Referring to fig. 20, first and second active patterns 131 and 132 spaced apart from each other are formed on the semiconductor substrate 100.
The first active pattern 131 and the second active pattern 132 may protrude from the upper surface of the semiconductor substrate 100. The first active pattern 131 and the second active pattern 132 may be formed by etching a portion of the semiconductor substrate 100, and may be an epitaxial layer grown from the semiconductor substrate 100.
In some embodiments, the first active pattern 131 may include a first fin pattern 131a and a second fin pattern 131b protruding from an upper surface of the semiconductor substrate 100 to extend in parallel in one direction, and the second active pattern 132 may include a third fin pattern 132a and a fourth fin pattern 132b protruding from the upper surface of the semiconductor substrate 100 to extend in parallel in one direction. Here, the first fin pattern 131a and the third fin pattern 132a may be spaced apart from each other by a distance longer than a distance between the first fin pattern 131a and the second fin pattern 131b and a distance between the third fin pattern 132a and the fourth fin pattern 132 b.
In some embodiments, the first active pattern 131 and the second active pattern 132 may serve as channels of the semiconductor device. In one example, the first active pattern 131 and the second active pattern 132 may serve as channels of Field Effect Transistors (FETs) formed in the logic device, but the present disclosure is not limited thereto.
Referring to fig. 21, a first gap filling film 133a is formed on the semiconductor substrate 100, the first active pattern 131, and the second active pattern 132.
The first gap filling film 133a may fill a region between the first fin pattern 131a and the second fin pattern 131b and a region between the third fin pattern 132a and the fourth fin pattern 132 b. The first gap filling film 133a may be formed by a deposition process using acetylene as a raw material. The deposition process may include, for example, a Chemical Vapor Deposition (CVD) process, but the disclosure is not limited thereto. For example, acetylene may be provided from the acetylene fluid supply package described above with reference to fig. 1 to 3 on the semiconductor substrate 100, the first active pattern 131 and the second active pattern 132, and the first gap filling film 133a may be a carbon-containing layer formed of the provided acetylene. Such a first gap filling film 133a may fill a portion of the region 133G between the first active pattern 131 and the second active pattern 132.
Referring to fig. 22, a second gap filling film 133b is formed on the first gap filling film 133a.
After the first gap-filling film 133a has been filled, the second gap-filling film 133b may fill the remaining region 133G between the first active pattern 131 and the second active pattern 132. The second gap filling film 133b may be formed by a deposition process using acetylene as a raw material. The deposition process may include, for example, a Chemical Vapor Deposition (CVD) process, but the disclosure is not limited thereto. For example, on the first gap filling film 133a, acetylene may be supplied from the acetylene fluid supply package described above with reference to fig. 1 to 3, and the second gap filling film 133b may be a carbon-containing layer formed of supplied acetylene. The first and second gap-filling films 133a and 133b may form a gap-filling insulating film 133 filling a region 133G between the first and second active patterns 131 and 132.
Referring to fig. 23, an etch back process is performed on the gap filling insulating film 133.
When the etch-back process is performed, the gap-filling insulating film 133 may be adjusted to have a predetermined thickness. Unlike the drawings, the thickness of the gap-filling insulating film 133 may be adjusted to expose at least a portion of the first active pattern 131 and at least a portion of the second active pattern 132.
As semiconductor devices become increasingly highly integrated, as gap-fill films with improved gap-fill performance, it is proposed to have carbon-containing layers. Methods of manufacturing semiconductor devices according to some embodiments may use the acetylene fluid supply packages described above to provide a high quality carbon-containing layer as the gap-fill insulating film 133.
Fig. 24 is an intermediate diagram describing a method of manufacturing a semiconductor device according to some embodiments. For convenience of description, portions overlapping those described above with reference to fig. 1 to 12 will be briefly described or omitted.
Referring to fig. 24, a graphene layer 140 is formed on a semiconductor substrate 100.
The graphene layer 140 may include graphene formed through a deposition process using acetylene as a raw material. The deposition process may include, for example, a Chemical Vapor Deposition (CVD) process, but the disclosure is not limited thereto. For example, on the semiconductor substrate 100, acetylene is provided from the acetylene fluid supply package described above with reference to fig. 1 to 3, and the graphene layer 140 may include graphene formed from the provided acetylene.
The graphene layer 140 is shown as a single layer, but this is exemplary, and the graphene layer 140 may also be a multilayer in which a plurality of graphene are stacked. In addition, the graphene layer 140 is only illustrated as being in contact with the upper surface of the semiconductor substrate 100, but this is exemplary, and another material film may be interposed between the semiconductor substrate 100 and the graphene layer 140.
Graphene has been attracting attention as a next-generation material in many fields due to its excellent electrical, mechanical and chemical properties. Methods of fabricating semiconductor devices according to some embodiments may use the acetylene fluid supply package described above to provide high quality graphene layers 140.
While there has been shown and described what are considered to be certain embodiments of the inventive concept, it will, of course, be understood that various modifications and changes in form or detail could readily be made without departing from the spirit and scope of the inventive concept. It is therefore intended that the present inventive concept not be limited to the exact forms and details shown and described herein, nor to anything within the scope of the inventive concept disclosed herein and as hereinafter claimed.

Claims (16)

1. An acetylene fluid supply package comprising
A pressure vessel;
a porous packing in the pressure vessel;
an improved solvent within the porous packing, the solvent solubilizing acetylene absorbed within the improved solvent;
the improved solvent comprises triethyl phosphate (TEP) charged to the pressure vessel in an amount no greater than about 0.64kg TEP per liter of volume of the pressure vessel.
2. The acetylene fluid supply package of claim 1, wherein the TEP is charged into the pressure vessel in an amount no greater than about 0.61kg TEP per liter of the pressure vessel volume.
3. The acetylene fluid supply package of claim 1, wherein the TEP is charged to the pressure vessel in an amount no greater than about 0.58kg TEP per liter of the pressure vessel volume.
4. The acetylene fluid supply package of claim 1, further comprising acetylene in a steady state at 21 ℃ at a pressure of up to 300 psig.
5. A system comprising at least one acetylene fluid supply package and an acetylene utilizing processing tool in fluid communication with the at least one acetylene fluid supply package, the at least one acetylene fluid supply package comprising:
A pressure vessel;
a porous packing in the pressure vessel;
an improved solvent within the porous packing, the solvent solubilizing acetylene absorbed within the improved solvent;
the improved solvent comprises triethyl phosphate (TEP) charged to the pressure vessel in an amount no greater than about 0.64kg TEP per liter of the pressure vessel volume;
wherein the at least one acetylene fluid supply package is configured to allow the acetylene fluid to be discharged under dispensing conditions; and is also provided with
Further, wherein the acetylene utilizing processing tool is configured to receive the acetylene fluid from the at least one acetylene fluid supply package.
6. The system of claim 5, wherein the processing tool comprises a chemical vapor deposition tool.
7. The system of claim 5, wherein the at least one acetylene fluid supply package is housed in a gas cabinet.
8. The system of claim 5, wherein the TEP is charged to the pressure vessel in an amount no greater than about 0.61kg TEP per liter of volume of the pressure vessel.
9. The system of claim 5, wherein the TEP is charged to the pressure vessel in an amount no greater than about 0.58kg TEP per liter of volume of the pressure vessel.
10. A method of manufacturing a semiconductor device, comprising:
providing a semiconductor substrate; and
a carbon-containing layer is formed on the semiconductor substrate using an acetylene fluid supply package,
wherein the acetylene fluid supply package comprises a pressure vessel, a porous packing in the pressure vessel, and a solvent within the porous packing that solubilizes acetylene absorbed within the solvent,
the vapor pressure of the solvent is 6 torr or less at 20 c,
the solvent has a Hansen solubility factor (δh) of 5Mpa 0.5 Or higher, and
the reproductive toxicity of the solvent is lower than that of Dimethylformamide (DMF).
11. The method of claim 10, wherein the solvent comprises triethyl phosphate (TEP) charged to the pressure vessel in an amount no greater than about 0.64kg TEP per liter of the pressure vessel volume.
12. The method of claim 10, wherein the solvent comprises at least one of N, N' -tetramethyl-phosphine diamide, tetramethylene sulfoxide, tetramethylurea, N-acetyl pyrrolidine, tris (N, N-tetramethylene) phosphoramide, methylnaphthodioxane, trimethyl phosphite, and tetramethyl phosphoryl fluoride diamine.
13. The method of claim 10, further comprising:
forming an etching target film on the semiconductor substrate, and
the etching target film is etched using the carbon-containing layer as an etching mask.
14. The method of claim 10, further comprising:
forming a molding layer on the semiconductor substrate, and forming the carbon-containing layer in the molding layer; and
the carbon-containing layer in the molding layer is removed.
15. The method of claim 10, further comprising:
forming a first active pattern and a second active pattern spaced apart from each other on the semiconductor substrate,
wherein the carbon-containing layer fills at least a portion between the first active pattern and the second active pattern.
16. The method of claim 10, wherein the carbon-containing layer comprises graphene.
CN202280014874.5A 2021-02-17 2022-02-11 Acetylene fluid supply package, system including the same, and method of manufacturing semiconductor device using the same Pending CN116917539A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US63/150203 2021-02-17
US17/667861 2022-02-09
US17/667,861 US20220260212A1 (en) 2021-02-17 2022-02-09 Acetylene fluid supply package, system comprising the same and method of fabricating semiconductor device using the same
PCT/US2022/016103 WO2022177816A1 (en) 2021-02-17 2022-02-11 Acetylene fluid supply package, system comprising the same and method of fabricating semiconductor device using the same

Publications (1)

Publication Number Publication Date
CN116917539A true CN116917539A (en) 2023-10-20

Family

ID=88361371

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280014874.5A Pending CN116917539A (en) 2021-02-17 2022-02-11 Acetylene fluid supply package, system including the same, and method of manufacturing semiconductor device using the same

Country Status (1)

Country Link
CN (1) CN116917539A (en)

Similar Documents

Publication Publication Date Title
US10280507B2 (en) Flowable gapfill using solvents
CN206494968U (en) The CVD of low-steam pressure aerosol auxiliary
US10163629B2 (en) Low vapor pressure aerosol-assisted CVD
TWI615497B (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
JP2020155773A (en) Method of manufacturing 3d nand flash memory
CN111630204A (en) Vapor deposition of molybdenum using bis (alkylaromatic) molybdenum precursors
KR102392620B1 (en) Low vapor pressure aerosol-assisted cvd
JP2007138296A (en) Method for thin film vapor deposition of dialkyl amido dihydro aluminum compound
CN116917539A (en) Acetylene fluid supply package, system including the same, and method of manufacturing semiconductor device using the same
TW202302897A (en) Acetylene fluid supply package, system comprising the same and method of fabricating semiconductor device using the same
US20220260212A1 (en) Acetylene fluid supply package, system comprising the same and method of fabricating semiconductor device using the same
KR20110104479A (en) Container containing cobalt carbonyl complex and cobalt carbonyl complex composition
JP2023512959A (en) Improved solvent for acetylene fluid storage
KR20230045031A (en) Heteroalkylcyclopentadienyl indium-containing precursors and methods of using them for deposition of indium-containing layers

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination