CN116564850A - Semiconductor manufacturing system - Google Patents

Semiconductor manufacturing system Download PDF

Info

Publication number
CN116564850A
CN116564850A CN202310387134.2A CN202310387134A CN116564850A CN 116564850 A CN116564850 A CN 116564850A CN 202310387134 A CN202310387134 A CN 202310387134A CN 116564850 A CN116564850 A CN 116564850A
Authority
CN
China
Prior art keywords
gas
layer
wafer
end module
manufacturing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310387134.2A
Other languages
Chinese (zh)
Inventor
吴政隆
徐伊芃
朱延安
刘旭水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/885,199 external-priority patent/US20230369082A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN116564850A publication Critical patent/CN116564850A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl

Abstract

Embodiments of a semiconductor manufacturing system are provided. The semiconductor manufacturing system includes an equipment front end module having a load port for transferring semiconductor wafers from a wafer carrier to the equipment front end module; and a wafer humidity control device embedded in the equipment front-end module and configured to generate an air curtain to protect the semiconductor wafer. The wafer humidity control apparatus further includes a gas inlet layer having a gas inlet to receive a gas; a uniform layer integrated with the gas entry layer and designed to redistribute the gas; and a flow directing structure having multiple pieces assembled together to maintain a uniform layer and integrated with the gas entry layer.

Description

Semiconductor manufacturing system
Technical Field
Embodiments of the present application relate to semiconductor manufacturing systems.
Background
The semiconductor Integrated Circuit (IC) industry has experienced an exponential growth. Technological advances in IC materials and design have resulted in several generations of ICs, each of which has smaller, more complex circuitry than the previous generation. During the development of ICs, it is common for the functional density (i.e., the number of interconnected devices per chip area) to increase, while the geometry (i.e., the smallest component (or wire) that can be created using the manufacturing process) is reduced. Such scaled down processes generally provide benefits by increasing production efficiency and reducing associated costs. This scaling down also increases the complexity of IC processing and manufacturing, and similar developments in IC processing and manufacturing are needed to achieve these advances.
For example, in the manufacture of ICs, the control of particles, moisture and other contaminants is more challenging. Even smaller particles may be a drawback of reduced yield, requiring elimination or substantial reduction. In other examples, existing humidity control devices have structures that may introduce stresses, deformations, and other defects, disrupting the desired function. Accordingly, there is a need for a semiconductor system, a method of manufacturing the semiconductor system, and a method of using the semiconductor system to solve the above-described problems.
Disclosure of Invention
According to one embodiment of the present application, there is provided a semiconductor manufacturing system including: an equipment front end module having a load port for transferring semiconductor wafers from the wafer carrier to the equipment front end module; and a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer. Wherein the wafer humidity control device further comprises a gas inlet layer having a gas inlet to receive a gas; a uniform layer integrated with the gas entry layer and designed to redistribute the gas; and a flow directing structure having multiple pieces assembled together to maintain a uniform layer and integrated with the gas entry layer.
According to another embodiment of the present application, there is provided a semiconductor manufacturing system including: an equipment front end module having a load port for transferring semiconductor wafers from the wafer carrier to the equipment front end module; and a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer. Wherein the wafer humidity control device further comprises a gas inlet layer having a gas inlet to receive a gas; a uniform layer integrated with the gas entry layer and designed to redistribute the gas; a flow guiding structure having a plurality of parts assembled together and maintaining a uniform layer; and a saturation pressure layer designed to maintain the pressure of the gas and disposed between the gas entry layer and the flow directing structure.
According to yet another embodiment of the present application, there is provided a semiconductor manufacturing system including: an equipment front end module having a load port for transferring semiconductor wafers from the wafer carrier to the equipment front end module; a processing tool coupled to the equipment front end module and configured to apply a manufacturing process to the semiconductor wafer; and a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer. Wherein the wafer humidity control device further comprises a gas inlet layer having a gas inlet to receive a gas; a uniform layer integrated with the gas entry layer and designed to redistribute the gas; a flow guiding structure having two L-shaped parts assembled together and accommodating a uniform layer; and a saturation pressure layer designed to maintain the pressure of the gas and fixed between the gas entry layer and the flow guiding structure.
Embodiments of the present application relate to semiconductor systems with integrated wafer humidity control devices.
Drawings
Aspects of the disclosure are best understood from the following detailed description when read with the accompanying drawing figures. It is noted that the various components are not drawn to scale according to industry standard practices. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
Fig. 1 is a block diagram of a semiconductor system with a wafer humidity control device constructed in accordance with various aspects of the present disclosure in one embodiment.
Fig. 2A and 2B are schematic diagrams of the wafer humidity control apparatus of fig. 1 constructed in accordance with various aspects of the present disclosure in some embodiments.
Fig. 2C is a perspective view of the wafer humidity control device of fig. 2B constructed in accordance with some embodiments.
Fig. 2D is a cross-sectional view of a wafer humidity control device constructed in accordance with some embodiments.
Fig. 3 is a top view of a saturation pressure layer of a wafer humidity control device constructed in accordance with various aspects of the present disclosure in one embodiment.
Fig. 4 is a schematic diagram of a uniform layer of a wafer humidity control device constructed in accordance with various aspects of the present disclosure in one embodiment.
FIG. 5 is a perspective view of various parts of a flow directing structure of a wafer humidity control device constructed in accordance with some embodiments.
Fig. 6A and 6B are perspective views of a flow directing structure and a uniform layer of a wafer humidity control device constructed in accordance with some embodiments.
Fig. 7 is a top view of a flow directing structure of a wafer humidity control device constructed in accordance with various aspects of the present disclosure in one embodiment.
Detailed Description
The present disclosure relates generally to a semiconductor manufacturing system. The following disclosure provides many different embodiments, or examples, for implementing different features. Reference numerals and/or letters may be repeated in the various examples described herein. Such repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various disclosed embodiments and/or configurations. Further, specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, in the following description, forming a first component over or on a second component may include embodiments in which the first component and the second component are formed in direct contact, and may also include embodiments in which additional components may be formed between the first component and the second component, such that the first component and the second component may not be in direct contact. Furthermore, in the present disclosure, the formation of components on, connected to, and/or coupled to another component may include embodiments in which the components are formed in direct contact, and may also include embodiments in which additional components may be formed interposed between the components such that the components may not be in direct contact.
In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. Such repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Furthermore, in the present disclosure below, the formation of a component on, connected to, and/or coupled to another component may include embodiments in which the components are formed in direct contact, and may also include embodiments in which additional components may be formed interposed between the components such that the components may not be in direct contact. Furthermore, spatially relative terms, such as "lower," "upper," "horizontal," "vertical," "above … …," "above," "below … …," "below … …," "upper," "lower," "top," "bottom," and the like, as well as derivatives thereof (e.g., "horizontally," "downwardly," "upwardly," and the like), are used to facilitate describing the relationship of one component to another in the present disclosure. Spatially relative terms are intended to encompass different orientations of the device in addition to the component. Furthermore, when a number or range of numbers is described using "about," "approximately," etc., unless otherwise indicated, the generic term is intended to encompass numbers that are within a reasonable range of the number described, such as within +/-10% of the number described or other values understood by those skilled in the art. For example, the term "about 5nm" encompasses a size range from 4.5nm to 5.5 nm.
The present disclosure provides various embodiments of an Integrated Circuit (IC) system (or semiconductor system) with integrated wafer humidity control devices. An integrated wafer humidity control device has a design, a structure, and a method of assembling the device to have reduced stress and deformation.
Fig. 1 is a schematic diagram of an Integrated Circuit (IC) system (also referred to as a semiconductor system) 10 constructed in accordance with various aspects of the disclosure in one embodiment. In some embodiments, semiconductor system 10 is designed for semiconductor manufacturing. The semiconductor system 10 includes an Equipment Front End Module (EFEM) 12, which Equipment Front End Module (EFEM) 12 is designed as a module for transporting semiconductor wafers (or photomasks) between an ultra-clean storage carrier and various systems for processing, measurement and testing (also referred to as processing systems). Processes implemented in the processing system include deposition, etching, ion implantation, photolithography processes, and combinations thereof.
The EFEM 12 includes one or more load ports 14, the load ports 14 being designed to receive semiconductor wafers and transfer the semiconductor wafers from the wafer carrier 16 to the processing tool 24. Wafer carrier 16 is a container designed to hold and transfer one or more semiconductor wafers 17 and protect them during transport. In the disclosed embodiment, the wafer carrier 16 is a front opening unified pod (front opening unified pod, FOUP) designed to hold semiconductor wafers 17, such as 300mm silicon wafers.
The semiconductor system 10 also includes one or more processing tools 24 coupled to the EFEM 12 via an interface 22 to enable transfer of semiconductor wafers between the EFEM 12 and the processing tools 24. The processing tool is a platform having one or more processes (such as manufacturing, measuring, testing, and combinations thereof) to apply to the semiconductor wafer 17. In some examples, the fabrication includes deposition, etching, ion implantation, chemical Mechanical Polishing (CMP), a photolithographic process, other suitable process, or a combination thereof. In some examples, measuring includes measuring resistance, reflectivity, particles, and contamination; electrically measuring; other suitable measurements or combinations thereof. In some examples, testing includes screening for faulty chips after IC fabrication is completed and before dicing.
In the embodiment disclosed for illustration, the processing tool 24 is a deposition apparatus, such as Chemical Vapor Deposition (CVD) or Physical Vapor Deposition (PVD). In further embodiments, the deposition apparatus 24 includes one or more wafer tables 26, the wafer tables 26 being designed to hold one or more semiconductor wafers during deposition and being capable of movement, such as rotation and/or transitional movement. The deposition apparatus 24 may also include one or more robots 28 to transfer semiconductor wafers between the EFEM 12 and the wafer table 26 or between the wafer tables 26.
Returning to the EFEM 12, the EFEM 12 includes a Wafer Humidity Control Device (WHCD) 20 embedded in the EFEM 12 and integrated with the EFEM 12. WHCD 20 is a device designed to control the humidity of semiconductor wafers 17, and semiconductor wafers 17 are stored in wafer carriers 16 mounted on load ports 14. The WHCD 20 includes various components integrated with the mechanism to control humidity. In particular, the WHCD 20 includes a gas inlet 18 and a gas outlet 19 connected to a gas source to provide a gas 23 such that the gas 23 is directed out of the WHCD 20 in a suitable gas flow direction, pressure, and distribution to form an air curtain (or gas wall) 21 to isolate the semiconductor wafers 17 stored in the wafer carrier 16 from ambient humidity and to protect the semiconductor wafers 17 stored in the wafer carrier 16 from ambient humidity. The gas 23 may include extremely clean dry air (XCDA), nitrogen (N) 2 ) Other suitable gases, or combinations thereof. WHCD 20 is further described in fig. 2A and 2B.
Fig. 2A and 2B are schematic diagrams of a WHCD 20 constructed in accordance with some embodiments. Fig. 2C is a perspective view of the WHCD 20 of fig. 2B constructed in accordance with some embodiments. Fig. 2D is a cross-sectional view of WHCD 20 of fig. 2A or 2B constructed in accordance with some embodiments.
In this embodiment, the WHCD 20 includes a gas entry layer 30, the gas entry layer 30 having a gas inlet 18 to introduce the gas 23 into the WHCD 20. The gas inlet 18 may include one or more gas nozzles 34 designed to dispense air, such as toward the saturation pressure layer. In the disclosed embodiment, the gas inlet 18 includes a gas nozzle 34, as shown in FIG. 2A. Alternatively, the gas inlet includes a plurality of gas nozzles 34, such as three gas nozzles 34 shown in FIG. 2B. The gas entry layer 30 also serves as a top or lid for the WHCD 20. The gas entry layer 30 is made of one or more metallic materials (such as stainless steel or aluminum alloy), other suitable materials (such as glass, quartz, alumina), other suitable materials, or combinations thereof.
Still referring to fig. 2a, the whcd 20 includes a saturation pressure layer 36 having a plurality of pores 38 formed therein. The saturation pressure layer 36 is designed to maintain or even increase gas pressure and control gas distribution through the holes 38. In particular, the pores 38 are unevenly distributed over the saturation pressure layer 36, having different pore sizes and different pore densities. In the disclosed embodiment, the holes 38 are formed in two areas: a first region 36A proximate to the gas inlet 18 and a second region 36B distal to the gas inlet 18. For example, the first region 36A is spaced a first distance from the gas inlet 18 and the second region 36B is spaced a second distance from the gas inlet 18, the second distance being greater than the first distance. In a further example, the maximum distance between the gas inlet 18 and the first region 36A is less than the minimum distance between the gas inlet 18 and the second region 36B. In this case, the gas inlet 18 is arranged in the gas inlet layer 30 on the side closer thereto, as shown in fig. 2D. In the disclosed construction of WHCD 20, gas inlet 18 is configured in one side, and saturation pressure layer 36 is divided into two regions: a first region 36A adjacent the gas inlet 18, and a second region 36B remote from the gas inlet 18.
The holes 38 include a first set of holes having a first hole size and a first hole density in the first region 36A and a second set of holes having a second hole size smaller than the first hole size and a second hole density smaller than the first hole density in the second region 36B. The design of the holes 38 helps to achieve a uniform air flow. The design of the holes 38 in the saturation pressure layer 36 is further described with reference to fig. 3. Fig. 3 is a top view of the saturation pressure layer 36, including the first set of apertures 38A disposed in the first region 36A and the second set of apertures 38B disposed in the second region 36B, as described above. The saturation pressure layer 36 may also include a third set of apertures 38C formed on four corners of the saturation pressure layer 36.
In the disclosed embodiment, each set of holes is configured as a line oriented in the Y-direction. In particular, the first set of holes 38A is designed to have a first aperture diameter h1 and a first hole pitch W1 (the size of the holes from hole to adjacent hole); the second set of holes 38B are designed to have a second aperture h2 and a second hole pitch W2; the third set of holes 38C is designed to have a third aperture h3 and a third hole pitch W3, where W1> W2> W3 and h1< h2< h3. In some embodiments, W1 is in a range between 1mm and 50mm, and h3 is in a range between 0.1mm and 3 mm. In some embodiments, the diameter ratio h2/h1=h3/h 2 is in the range between 1.2 and 1.6; the pitch ratio W1/w2=w2/w3 is in the range between 1.3 and 1.8. Because the pressure is higher when approaching the gas inlet 18, this configuration is designed to reduce the higher pressure area and distribute the gas to maintain the pressure uniform.
In some embodiments, the holes 38C in the corner regions include an appropriate number of holes 38C in each corner, such as 4 or more than 4 holes 38C in each corner. In some embodiments, the holes 38 are designed with a stepped configuration, with the hole size and hole density increasing progressively as the distance of the holes 38 from the gas inlet 18 increases. This configuration provides greater freedom to distribute the airflow and maintain uniform pressure.
The saturation pressure layer 36 is made of any suitable material including plastic or polymer, metal, glass, quartz, ceramic, or combinations thereof. In some embodiments, the plastic or polymer forming the saturation pressure layer 36 includes polyethylene terephthalate (PET), high Density Polyethylene (HDPE), polyvinyl chloride (PVC), low Density Polyethylene (LDPE), polypropylene (PP), polystyrene (PS), ultra-high molecular weight polyethylene (UPE), polyethylene (PE), or a combination thereof. In some embodiments, the metal forming the saturation pressure layer 36 includes an aluminum alloy, stainless steel, a titanium alloy, other suitable metals, or combinations thereof. In some embodiments, the ceramic forming the saturation pressure layer 36 includes alumina (Al 2 O 3 ) Zirconium oxide (ZrO) 2 ) Other suitable ceramics, or combinations thereof.
Still referring to fig. 2a, the WHCD 20 includes two O-rings 44 disposed on either side of the saturation pressure layer 36 such that the saturation pressure layer 36 is seamlessly integrated with other components of the WHCD 20 to reduce leakage. The O-ring 44 is made of a soft material such as rubber, other suitable polymeric material, or a combination thereof. The O-ring 44 will be further described with other components by way of description of the WHCD 20.
Still referring to fig. 2a, whcd 20 includes a uniform layer 40. The uniform layer 40 is designed to have a structure to further control the flow rate, distribution, density or pressure, flow direction or combinations thereof of the gas 23. In particular, the shape of the uniform layer 40 has a rugged surface to increase control of the gas 23. The uniform layer 40 of this design effectively compresses the gas 23 to increase the gas pressure and also distributes the gas 23 uniformly. In the disclosed embodiment, the uniform layer 40 includes pleats 42 having a height H and a pitch P. This is further illustrated in fig. 4, wherein a portion 40A of the uniform layer 40 is enlarged and shown on the right side of fig. 4. In some examples, a portion with a ratio of H/P greater than 20 is configured closer to the gas inlet 18. In some examples, the height H is in a range between 2mm and 40 mm. The pitch P is in the range between 0.1mm and 2 mm. In still other examples, the length of the uniform layer 40 is greater than 400mm and the number of pleats is greater than 400. The uneven surface of the uniform layer 40 provides more interaction between the gas flow and the uniform layer 40 and thus more control over the gas flow rate and flow direction. The design of the above uniformity layer 40 and its effectiveness are determined by theoretical analysis, experimentation and simulation.
The homogeneous layer 40 is made of any suitable material, including plastics or polymers, such as polyethylene terephthalate (PET), high Density Polyethylene (HDPE), polyvinyl chloride (PVC), low Density Polyethylene (LDPE), polypropylene (PP), polystyrene (PS), ultra-high molecular weight polyethylene (UPE), polyethylene (PE), or combinations thereof. The uniform layer 40 is fixed in the guide layer, which will be described in detail later.
Still referring to fig. 2a, the WHCD 20 includes a baffle 46 integrated with other components of the WHCD 20. The guiding layer 46 is used for accommodating the uniform layer 40; directing the air flow; and further serves as a base frame for WHCD 20. The baffle 46 also provides more space for the gas 23 flowing through the uniformity layer 40 so that the gas 23 can be more uniformly distributed before exiting the WHCD 20. The flow directing layer 46 comprises an aluminum alloy, stainless steel, titanium alloy, other suitable metals, other suitable metal alloys, or combinations thereof.
The flow guiding layer 46 is not an integral part. Instead, the baffle 46 comprises multiple pieces that are assembled together. The design of the deflector layer 46 with multiple pieces provides greater freedom for adjusting the configuration of the deflector layer 46 and greater freedom for installation of the uniform layer 40 with reduced or eliminated stress and deformation, which further ensures a sealing structure of the WHCD 20 to improve the filtering performance of the WHCD 20. Thus, the guiding layer 46 is also referred to as guiding structure 46. Experiments, simulations and analyses show that if the guiding layer 46 is integral, the uniform layer 40 is difficult to install in the guiding layer 46 and may result in stress and deformation of the uniform layer 40. If the uniform layer is too small, a gap may remain between the inner wall of the guiding layer and the uniform layer. If the uniform layer is too large, the uniform layer may deform, such as bending and protruding the rectangular frame of the flow guiding layer. In particular, installing the uniform layer 40 in the guiding layer may take longer and may create installation variations from engineer to engineer, which is not cost-effective and introduces quality control concerns. With the disclosed deflector structure 46 having multiple pieces, the installation of the uniform layer 40 can be performed in well-defined procedures with well-controlled quality, reduced stress, and cost-effectiveness.
In some embodiments, the baffle 46 includes two end members 48 and two side members 50 having structures such as screws or other suitable fixtures to assemble the various parts together with the uniform layer 40 secured therein. Since the flow guiding layer 46 includes a plurality of parts, the spacing between adjacent parts is adjustable to reduce stress and deformation, thus optimizing the configuration.
In some embodiments, the various components of the flow directing structure 46 may include some recesses designed and configured to secure the uniform layer 40. This will be further described with reference to fig. 5, 6A and 6B. FIG. 5 illustrates a perspective view of various components of a flow directing structure 46 constructed in accordance with some embodiments. In the disclosed embodiments, fig. 6A and 6B illustrate perspective views of the flow directing structure 46 and the uniform layer 40 constructed in accordance with some embodiments. In the disclosed embodiment, the flow directing structure 46 includes two end members 48 and two side members 50. The end member 48 and the side member 50 include a recess 46A, the recess 46A being configured to maintain a space for the uniform layer 40 when assembled together, as shown in fig. 6A and 6B. In some embodiments, recess 46A includes H1 as shown in fig. 5. According to some embodiments, the height H1 is in a range between 5mm and 20 mm.
In some embodiments, other components or materials may additionally or alternatively be applied to secure the uniform layer 40 in the flow directing structure 46. In some embodiments, the flow directing structure 46 further includes a spacer interposed between the end member 48 and the side member 50. The spacer 52 is a cushion made of a suitable material such as rubber, other suitable polymeric material, or a combination thereof. The spacer 52 is similar in function and composition to the O-ring 44 and is designed to provide a sealing effect and reduce leakage. The spacer 52 may also reduce stress and deformation due to its flexibility.
In some embodiments, the gas entry layer 30 includes a recess (such as a groove) at the bottom surface of the gas entry layer 30, the recess (such as a groove) having a shape and size that enables the O-ring 44 to fit therein. Similarly, the flow directing structure 46 also includes a recess (such as a groove) 46B at the top surface, the recess (such as a groove) 46B having a shape and size that enables the O-ring 44 to fit therein. In this case, the recess 46B of the flow guiding structure 46 for the O-ring 44 is formed on each component, such as the end part 48 and the side part 50 of the flow guiding structure 46. The recess 4B includes a height H2 as shown in fig. 6A. According to some embodiments, the height H2 is in a range between 0.1mm and 5 mm.
The flow directing structure 46 may include a greater or lesser number of parts designed and configured to perform the same function. In some embodiments, the baffle 46 includes two L-shaped members 54, as shown in the top view of fig. 7. Each L-shaped member 54 serves as a combination of one end member 48 and one side member 50. In further embodiments, the flow directing structure 46 may further include two spacers 56 interposed between the interfaces of the two L-shaped members 54. The spacer 56 is similar in composition and function to the spacer 52. The L-shaped member 54 also includes a groove for the O-ring 44 on the top and a recess on the inner wall designed with a space to accommodate the uniform layer 40.
The invention provides a structure of a wafer humidity control device embedded in a front-end module of equipment. The wafer humidity control apparatus is designed to have a structure of an air curtain to generate proper air flow, air pressure and air distribution to effectively isolate and protect semiconductor wafers stored in a wafer carrier that is positioned on a load port of an equipment front end module. The wafer humidity control device comprises a gas inlet layer, a saturation pressure structure, a uniform layer and a flow guiding structure which are integrated together. In particular, the flow guiding structure comprises a plurality of pieces that are assembled together such that the uniform layer can be easily installed and maintained in the flow guiding structure. Various embodiments of wafer humidity control devices, and in particular, various embodiments of flow directing structures thereof, are provided. Various advantages may be present in various embodiments. By utilizing the structure of the disclosed wafer humidity control apparatus, the installation of the uniform layer can be performed in well-defined procedures and with well-controlled quality. Furthermore, the multi-piece deflector structure provides greater freedom to adjust and reduces stress and deformation of the uniform layers when mounted inside the deflector structure.
In one example aspect, the present disclosure provides a semiconductor manufacturing system. The semiconductor manufacturing system includes an equipment front end module having a load port for transferring semiconductor wafers from a wafer carrier to the equipment front end module; and a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer. The wafer humidity control apparatus further includes a gas inlet layer having a gas inlet to receive a gas; a uniform layer integrated with the gas entry layer and designed to redistribute the gas; and a flow directing structure having multiple pieces assembled together to maintain a uniform layer and integrated with the gas entry layer. In some embodiments, wherein the gas inlet is connected to a gas source to receive a gas, the gas comprises one of nitrogen and very clean dry air. In some embodiments, the semiconductor manufacturing system further includes a saturation pressure layer integrated with the gas entry layer and the flow directing structure, the saturation pressure layer being designed to have a structure that maintains the pressure of the gas. In some embodiments, wherein the flow directing structure comprises two end members and two side members that are assembled together and maintain a uniform layer. In some embodiments, wherein the flow directing structure further comprises spacers, each spacer is inserted in an interface between one of the end members and one of the side members. In some embodiments, wherein the flow directing structure comprises two L-shaped members that are assembled together and maintain a uniform layer. In some embodiments, the semiconductor manufacturing system further comprises two O-rings configured on opposite sides of the saturation pressure layer such that a first side of the saturation pressure layer is connected to the flow guiding structure by one of the two O-rings and another side of the saturation pressure layer is connected to the gas entry layer by the other of the two O-rings. In some embodiments, wherein the uniform layer comprises a combination of a plastic material and a polymeric material; the uniform layer is formed of a plurality of plates having a height H and a pitch P; and the ratio H/P is greater than 20. In some embodiments, wherein the saturation pressure layer includes a plurality of pores; the plurality of holes includes a first set of holes proximate to the gas inlet and a second set of holes distal to the gas inlet. The first set of holes having a first hole size and a first hole density; and the second set of pores has a second pore size greater than the first pore size and a second pore density greater than the first pore density. In some embodiments, wherein the saturation pressure layer comprises a material selected from the group consisting of metal, glass, quartz, ceramic materials, polymers, and combinations thereof. In some embodiments, a semiconductor manufacturing system includes a processing tool integrated with an equipment front end module and designed to apply a process to a semiconductor wafer. In some embodiments, wherein the processing tool is designed to apply at least one of a manufacturing process, measurement, and test to the semiconductor wafer. In some embodiments, wherein the fabrication process comprises deposition, etching, ion implantation, chemical Mechanical Polishing (CMP), a photolithographic process, or a combination thereof.
Another aspect of the present disclosure relates to a semiconductor manufacturing system. The semiconductor manufacturing system includes an equipment front end module having a load port for transferring semiconductor wafers from a wafer carrier to the equipment front end module; and a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer. The wafer humidity control apparatus further includes a gas inlet layer having a gas inlet to receive a gas; a uniform layer integrated with the gas entry layer and designed to redistribute the gas; a flow guiding structure having a plurality of parts assembled together and maintaining a uniform layer; and a saturation pressure layer designed to maintain the pressure of the gas and disposed between the gas entry layer and the flow directing structure.
In some embodiments, wherein the flow directing structure comprises two end members and two side members that are assembled together and maintain a uniform layer; and four spacers, each spacer being inserted in an interface between one of the end members and one of the side members.
In some embodiments, wherein the flow directing structure comprises two L-shaped members assembled together and maintaining a uniform layer; and two spacers, each of which is inserted in an interface between the two L-shaped members.
In some embodiments, wherein the uniform layer comprises a combination of a plastic material and a polymeric material; the uniform layer is formed of a plurality of plates having a height H and a pitch P; and the ratio H/P is greater than 20.
In some embodiments, wherein the saturation pressure layer includes a plurality of pores formed thereon; the plurality of holes includes a first set of holes proximate to the gas inlet and a second set of holes distal to the gas inlet. The first set of holes having a first hole size and a first hole density; and the second set of pores has a second pore size greater than the first pore size and a second pore density greater than the first pore density.
Yet another aspect of the present disclosure relates to a semiconductor manufacturing system. The semiconductor manufacturing system includes an equipment front end module having a load port for transferring semiconductor wafers from a wafer carrier to the equipment front end module; a processing tool coupled to the equipment front end module and configured to apply a manufacturing process to the semiconductor wafer; and a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer. The wafer humidity control apparatus further includes a gas inlet layer having a gas inlet to receive a gas; a uniform layer integrated with the gas entry layer and designed to redistribute the gas; a flow guiding structure having two L-shaped parts assembled together and accommodating a uniform layer; and a saturation pressure layer designed to maintain the pressure of the gas and fixed between the gas entry layer and the flow guiding structure. In some embodiments, wherein the uniform layer is formed from a plurality of plates having a height H, a pitch P, and a ratio H/P greater than 20; and the saturation pressure layer includes a plurality of pores formed thereon, and the plurality of pores are unevenly distributed, having different pore diameters and different pore pitches.
The foregoing outlines components of several embodiments. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the disclosure.

Claims (10)

1. A semiconductor manufacturing system, comprising:
an equipment front end module having a load port for transferring semiconductor wafers from a wafer carrier to the equipment front end module; and
a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer, wherein the wafer humidity control device further comprises
A gas entry layer having a gas inlet to receive a gas;
a uniform layer integrated with the gas entry layer and designed to redistribute the gas; and
there are multiple pieces assembled together to maintain the uniform layer and a flow directing structure integrated with the gas entry layer.
2. The semiconductor manufacturing system according to claim 1, wherein the gas inlet is connected to a gas source to receive the gas, the gas comprising one of nitrogen and ultra clean dry air.
3. The semiconductor manufacturing system according to claim 2, further comprising a saturation pressure layer integrated with the gas entry layer and the flow guiding structure, the saturation pressure layer being designed to have a structure that maintains the pressure of the gas.
4. A semiconductor manufacturing system according to claim 3, wherein the flow guiding structure comprises two end pieces and two side pieces that are assembled together and hold the uniform layer.
5. The semiconductor manufacturing system according to claim 4, wherein the flow guiding structure further comprises spacers, each spacer being inserted in an interface between one of the end members and one of the side members.
6. A semiconductor manufacturing system according to claim 3, wherein the flow guiding structure comprises two L-shaped components assembled together and holding the uniform layer.
7. The semiconductor manufacturing system according to claim 3, further comprising two O-rings disposed on opposite sides of the saturation pressure layer such that a first side of the saturation pressure layer is connected to the flow guiding structure by one of the two O-rings and another side of the saturation pressure layer is connected to the gas entry layer by the other of the two O-rings.
8. The semiconductor manufacturing system according to claim 7, wherein
The uniform layer comprises a combination of a plastic material and a polymeric material;
the uniform layer is formed from a plurality of plates having a height H and a pitch P; and
the ratio H/P is greater than 20.
9. A semiconductor manufacturing system, comprising:
an equipment front-end module having a load port for transferring semiconductor wafers from a wafer carrier to the equipment front-end module; and
a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer, wherein the wafer humidity control device further comprises
A gas entry layer having a gas inlet to receive a gas;
a uniform layer integrated with the gas entry layer and designed to redistribute the gas;
a flow directing structure having a plurality of parts assembled together and maintaining the uniform layer; and
a saturation pressure layer designed to maintain the pressure of the gas and disposed between the gas entry layer and the flow directing structure.
10. A semiconductor manufacturing system, comprising:
an equipment front end module having a load port for transferring semiconductor wafers from a wafer carrier to the equipment front end module;
a processing tool coupled to the equipment front-end module and configured to apply a manufacturing process to the semiconductor wafer; and
a wafer humidity control device embedded in the equipment front end module and configured to generate an air curtain to protect the semiconductor wafer, wherein the wafer humidity control device further comprises
A gas entry layer having a gas inlet to receive a gas;
a uniform layer integrated with the gas entry layer and designed to redistribute the gas;
a flow guiding structure having two L-shaped parts assembled together and accommodating the uniform layer; and
a saturation pressure layer designed to maintain the pressure of the gas and fixed between the gas entry layer and the flow guiding structure.
CN202310387134.2A 2022-04-12 2023-04-12 Semiconductor manufacturing system Pending CN116564850A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US63/329,977 2022-04-12
US63/340,243 2022-05-10
US17/885,199 US20230369082A1 (en) 2022-05-10 2022-08-10 Semiconductor System with an Integrated Wafer Humidity Control Device
US17/885,199 2022-08-10

Publications (1)

Publication Number Publication Date
CN116564850A true CN116564850A (en) 2023-08-08

Family

ID=87500924

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310387134.2A Pending CN116564850A (en) 2022-04-12 2023-04-12 Semiconductor manufacturing system

Country Status (1)

Country Link
CN (1) CN116564850A (en)

Similar Documents

Publication Publication Date Title
KR100638865B1 (en) Smif pod including independently supported wafer cassette
JP5391070B2 (en) Stocker and method for storing a plurality of flat substrates
US10403526B2 (en) Substrate storage and processing
JP5787331B2 (en) Apparatus for transporting a substrate in a lithography system
JP2011517134A (en) Clean transfer robot
KR100921519B1 (en) Substrate transfering apparatus and facility for treating with the same, and method for trasfering substrate with the apparatus
CN104867853A (en) FOUP structure and FOUP cleaning method
CN116564850A (en) Semiconductor manufacturing system
JP5867916B2 (en) Exposure apparatus and exposure method
TWI716983B (en) Workpiece storage system, method of storing workpiece, and method of transferring workpiece using the same
US20230369082A1 (en) Semiconductor System with an Integrated Wafer Humidity Control Device
US20210323756A1 (en) Substrate container system
KR20230146462A (en) Semiconductor system with an integrated wafer humidity control device
US20040238324A1 (en) Wafer carrying apparatus and wafer carrying method
CN115565907A (en) Tuyere diffuser
KR100877740B1 (en) Plasma processing device for Semi-conductor
US6843833B2 (en) Front opening unified pod and associated method for preventing outgassing pollution
US20210118714A1 (en) Conversion plate for reticle pod storage and a reticle pod storage system
JP6083662B2 (en) Exposure equipment
US20230207359A1 (en) Humidity control device for equipment front end module of semiconductor processing or characterization tool
US11735455B2 (en) Systems, devices, and methods for air flow optimization including adjacent a FOUP
TWI773536B (en) Substrate container system
CN116508141A (en) Apparatus and system for delivering gas to a process chamber
CN115763326A (en) System for transferring wafer substrate, method for reducing relative humidity and method for reducing gas flow
KR20230169852A (en) Gas feeder, substrate processing apparatus, and substrate conveyor

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination