CN116508134A - Deposition method of high aspect ratio structures using inhibitor molecules - Google Patents

Deposition method of high aspect ratio structures using inhibitor molecules Download PDF

Info

Publication number
CN116508134A
CN116508134A CN202180077331.3A CN202180077331A CN116508134A CN 116508134 A CN116508134 A CN 116508134A CN 202180077331 A CN202180077331 A CN 202180077331A CN 116508134 A CN116508134 A CN 116508134A
Authority
CN
China
Prior art keywords
inhibitor
precursor
film
reactant
deposition method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180077331.3A
Other languages
Chinese (zh)
Inventor
卢沅泰
李柱昊
朴镇亨
让-马克·吉拉尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Publication of CN116508134A publication Critical patent/CN116508134A/en
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Abstract

A deposition method for improving thickness control of a film deposited on High Aspect Ratio (HAR) holes in a substrate is disclosed. The method comprises the following steps: i) Exposing the substrate to the vapor of the inhibitor, the vapor of the precursor, and the vapor of the co-reactant, either sequentially or simultaneously; and ii) depositing a film having a desired thickness control over the HAR holes by a vapor deposition process, wherein the inhibitor contains O, N, S, P, B, C, F, cl, br or I.

Description

Deposition method of high aspect ratio structures using inhibitor molecules
Cross Reference to Related Applications
The present application claims the benefit of U.S. application number 17,072,882, filed on 10/16/2020, which is incorporated herein by reference in its entirety for all purposes.
Technical Field
Methods of depositing high aspect ratio structures using inhibitor molecules and precursors in semiconductor applications are disclosed. In particular, the disclosed methods relate to vapor deposition processes using organometallic precursors and inhibitor molecules containing O, N, S, P, B, C, F, cl, br or I to improve thickness control including step coverage and gap filling in substrates.
Background
As devices in semiconductors scale down, precise thickness control becomes a critical challenge. Atomic Layer Deposition (ALD) may be preferred over Chemical Vapor Deposition (CVD) in the sense that the growth rate per cycle (GPC) of ALD is much lower than that of CVD per unit time, making it relatively easy to obtain the desired thickness, which is limited by layer-by-layer deposition, resulting in a very pronounced linear relationship of thickness to ALD cycle number. However, even in ALD, GPC is highly dependent on the precursor species, process type, and deposited materials. To date, there has been no report of a general or easy way to control deposition rate or thickness in a given process while maintaining ALD behavior. To reduce GPC in ALD, a small amount of precursor or co-reactant may be applied to result in a sub-ALD state, but due to the lack of precursor this will result in a very unstable process, as GPC can vary significantly depending on the process temperature.
As device dimensions in semiconductors continue to scale down, step coverage is a critical factor in the integration of High Aspect Ratio (HAR) structures. For example, a narrow and deep trench is formed in a semiconductor substrate, and gap filling is performed with an insulating material to form an insulating film deposited in sequence from the bottom surface of the trench so that the trench is completely covered. Deposition on HAR step surfaces presents challenges for uneven gap filling, which may create poor step coverage and may contain voids and/or weak spots.
As device dimensions in semiconductors continue to scale down, vapor deposition is one of the most important techniques for depositing thin films of new materials. In particular ALD becomes a key contributor to highly conformal deposition and gap filling. A big challenge of gap filling is to seal the two layers so that there is no empty space between them, a so-called void or seam (which is a narrow opening line during the deposition process). In general, filling gaps in high aspect ratio structures or complex 3D structures is even more challenging.
There have been various attempts to improve thickness control, step coverage and gap filling.
US 20070141779 to Abelson et al discloses a method for coating and filling High Aspect Ratio (HAR) recessed features and conformally or super-conformally coating and/or uniformly filling structures with continuous, conformal or super-conformal layers, wherein a bottom-up grown CrB is deposited on a trench substrate by conventional Chemical Vapor Deposition (CVD) methods with the aid of a hydrogen plasma as a containment agent (suppleser) 2 And (3) a film.
U.S. Pat. No. 3,182,62 to Henri et al discloses silicon-containing films (e.g., siN x Film) is provided. The selective inhibition involves exposing the adsorbed layer of the silicon-containing precursor to a hydrogen-containing inhibitor and then exposing the adsorbed layer to a second reactant. Exposure to the hydrogen-containing inhibitor may be performed with a plasma.
Moon et al US 10103026 and US 20170040172 disclose a method of forming a material layer, and more particularly a method of forming a material layer by which a material layer having good step coverage can be stably manufactured regardless of variations in other process parameters.
Talukdar T K (doctor article, ill. Noil university, ebana-Shang Paen division, 2018) discloses coating and filling nanoscale structures using CVD, wherein bottom-up trench filling is provided by a super-conformal CVD method using an oxide.
Jin et al, KR 102095710, disclose a method of forming a thin film using a surface protective material to achieve good step coverage, the method comprising a supply step of supplying the surface protective material so as to be adsorbed on a substrate.
In the field of semiconductor processes, the development of processes with good step coverage has attracted attention, and the use of Atomic Layer Deposition (ALD) processes has received particular attention.
Disclosure of Invention
Disclosed is a deposition method for improving thickness control of a film deposited on High Aspect Ratio (HAR) holes in a substrate, the method comprising:
i) Exposing the substrate to the vapor of the inhibitor, the vapor of the precursor, and the vapor of the co-reactant, either sequentially or simultaneously; and
ii) depositing a film having a desired thickness control over the HAR holes by a vapor deposition process, wherein the inhibitor contains O, N, S, P, B, C, F, cl, br or I.
The disclosed deposition methods may include one or more of the following:
further comprising maintaining the temperature of the substrate in a range from room temperature to 650 ℃;
the inhibitor is selected from one or more of the following:
a) Oxygen-based aliphatic and aromatic inhibitors including alcohols, diols, ethers, epoxides, aldehydes, ketones, carboxylic acids, enols, esters, anhydrides, phenols, substituted phenols;
b) Nitrogen-based aliphatic and aromatic inhibitors including amines, imines, imides, amides, azides, cyanate esters, nitriles, nitrates, nitrites, nitrogen-containing heterocyclic compounds;
c) Sulfur-based aliphatic and aromatic inhibitors including thiols, sulfides, disulfides, sulfoxides, sulfones, thiocyanates, isothiocyanates, thioesters;
d) Phosphorus-based aliphatic and aromatic inhibitors including phosphines, phosphonic acids, phosphodiesters;
e) Boron-based aliphatic and aromatic inhibitors including boric acid, boric acid esters, dihydrocarbyl boric acid esters (borinic esters); carbon-based aliphatic inhibitors including alkane, alkene, alkyne and benzene derivatives;
f) Organic molecule-containing halides and inorganic halides, which include I 2 The method comprises the steps of carrying out a first treatment on the surface of the Or alternatively
g)H 2 O vapor, H 2 Gas, CO gas, CS gas, and nitrogen oxides (NO x ) A gas;
h) And a) to g);
the inhibitor is in the form of a free radical of a vapor or gas of the inhibitor, produced in the presence or absence of a plasma, in a temperature range from room temperature to about 650 ℃;
the inhibitor is selected from Tetrahydrofuran (THF), dimethoxyethane (DME), triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA) or tris (2-aminoethyl) amine;
the inhibitor is THF;
the inhibitor is DME;
the inhibitor is TEA;
tetramethyl ethylenediamine (TMEDA);
dimethyl ethylenediamine (DMEDA);
tris (2-aminoethyl) amine;
the precursor is an organometallic precursor selected from alkylamino and cyclopentadienyl (cyclopendadienyl) derivatives of transition metals and of elements of the main group, these derivatives containing homoleptic or heteroleptic ligands selected from alkylamines, alkoxy, amidino (amidinate) or halides;
these transition metals and main group elements are selected from Hf, zr, nb, ti, lanthanoids, rare earth elements, al or Si;
the precursor is ZrCp (NMe 2 ) 3
The precursor is HfCp (NMe 2 ) 3
The precursor is Nb (=ntbu) Cp (NMe 2 ) 2
The precursor is TiCp (OMe) 3
Thickness control is step coverage control;
thickness control is gap filling control;
the desired thickness control is the desired step coverage;
the desired thickness control is the desired gap filling;
step coverage is more than or equal to 100%;
step coverage is greater than step coverage without inhibitor;
the film is gap fill (HAR hole) without seams;
gap filler is formed by bottom-up deposition;
HAR is in the range from 5:1 to 200:1;
the substrate is a patterned or 3D structure;
holes are holes, vias, trenches, gaps, or openings formed in the substrate from previous manufacturing steps;
the film deposited on the HAR structure is a metal film, a metal oxide film, a silicon-containing film, an alloy, or the like;
the film deposited on the HAR structure is ZrO 2
The film deposited on the HAR structure is HfO 2
The film deposited on the HAR structure is Nb 2 O 5
The film deposited on the HAR structure is TiO 2
The vapor deposition process is ALD, CVD or a combination thereof;
the vapor deposition processes are spatial ALD, thermal ALD, plasma enhanced ALD and plasma enhanced CVD;
the vapor deposition process is spatial ALD;
the vapor deposition process is thermal ALD;
The vapor deposition process is plasma enhanced ALD;
the vapor deposition process is plasma enhanced CVD;
coreactant is O 3 、O 2 、H 2 O、H 2 O 2 、D 2 O, alcohol and NH 3 、N 2 、N 2 H 2 、H 2 Or its free radicals generated by plasma;
coreactant is O 3 Or O generated by plasma 3 A free radical;
the sequence of exposure of the substrate to the inhibitor, precursor and coreactant includes
i) Sequentially exposing to the inhibitor, the precursor and the co-reactant;
ii) sequentially exposing the precursor, the inhibitor and the co-reactant;
iii) Sequentially exposing to the precursor, the co-reactant and the inhibitor; or alternatively
iv) simultaneously exposing the inhibitor and the precursor and then the co-reactant;
the order in which the substrate is exposed to the inhibitor, precursor and co-reactant is in turn the inhibitor, precursor and co-reactant;
the order in which the substrate is exposed to the inhibitor, precursor and co-reactant is precursor, inhibitor and co-reactant in that order;
the order in which the substrate is exposed to the inhibitor, precursor and co-reactant is precursor, co-reactant and inhibitor in that order;
the sequence of exposure of the substrate to the inhibitor, precursor and co-reactant is simultaneous exposure to the inhibitor and precursor and then to the co-reactant; and is also provided with
Further include
Purging and removing one or more of the excess inhibitor, excess precursor and excess co-reactant after each exposure using a purge gas,
wherein the purge gas is selected from N 2 An inert gas, ar, kr, or a combination thereof;
the growth rate of the film continuously decreases with precursor addition time;
the film growth rate continuously decreases with respect to the amount of precursor;
the growth rate of the film is reduced compared to the growth rate of the film in the absence of the inhibitor;
the growth rate of the film is reduced relative to the amount of precursor compared to the growth rate in the absence of inhibitor;
the precursor, the inhibitor, or both are plasma activated; and
the precursor, the inhibitor, or both are not plasma activated.
Also disclosed is a method for improving ZrO deposition on holes in a substrate wherein the aspect ratio is from about 5:1 to about 200:1 2 、HfO 2 、Nb 2 O 5 Or TiO 2 A method of deposition of a film having controlled thickness, the method comprising:
i) Exposing the substrate to a vapor of an inhibitor selected from the group consisting of: tetrahydrofuran (THF), dimethoxyethane (DME) and Triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA) and tris (2-aminoethyl) amine;
ii) exposing the substrate to a vapor of a precursor selected from the group consisting of: zrCp (NMe) 2 ) 3 、HfCp(NMe 2 ) 3 、Nb(=NtBu)Cp(NMe 2 ) 2 And TiCp (OMe) 3
iii) Exposing the substrate to co-reactant O 3 Is a vapor of (2); and
iv) repeating these steps i) to iii) until the ZrO deposited on the hole is formed by an ALD process at a temperature ranging from room temperature to 650 ℃ 2 、HfO 2 、Nb 2 O 5 Or TiO 2 The desired thickness control of the film is achieved,
wherein ZrO is 2 、HfO 2 、Nb 2 O 5 Or TiO 2 The step coverage of the film is more than or equal to 100 percent;
wherein ZrO is 2 、HfO 2 、Nb 2 O 5 Or TiO 2 Film Growth Per Cycle (GPC) decreases relative to the amount of precursor;
wherein ZrO is 2 、HfO 2 、Nb 2 O 5 Or TiO 2 The film is a gap filler without seams,
wherein after each exposure, N is used separately 2 The excess inhibitor, excess precursor and excess co-reactant are purged and removed.
Also disclosed is a method for improving ZrO deposition on holes in a substrate wherein the aspect ratio is from about 5:1 to about 200:1 2 A method of deposition of a film having controlled thickness, the method comprising:
i) Exposing the substrate to a vapor of an inhibitor selected from the group consisting of: tetrahydrofuran (THF), dimethoxyethane (DME) and Triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA) and tris (2-aminoethyl) amine;
ii) exposing the substrate to a solvent selected from ZrCp (NMe) 2 ) 3 Vapor of a precursor of the group consisting;
iii) Exposing the substrate to co-reactant O 3 Is a vapor of (2); and
iv) repeating steps i) to iii) until ZrO deposited on the holes is formed by ALD process at a temperature ranging from room temperature to 650 DEG C 2 The desired thickness control of the film is achieved,
wherein ZrO is 2 The step coverage of the film is more than or equal to 100 percent;
wherein ZrO is 2 Film Growth Per Cycle (GPC) decreases relative to the amount of precursor;
wherein ZrO is 2 The film is a gap filler without seams,
wherein after each exposure, N is used separately 2 The excess inhibitor, excess precursor and excess co-reactant are purged and removed.
Also disclosed is a method for improving HfO deposited on holes in a substrate wherein the aspect ratio is from about 5:1 to about 200:1 2 A method of deposition of a film having controlled thickness, the method comprising:
i) Exposing the substrate to a vapor of an inhibitor selected from the group consisting of: tetrahydrofuran (THF), dimethoxyethane (DME) and Triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA) and tris (2-aminoethyl) amine;
ii) exposing the substrate to a solvent selected from the group consisting of HfCp (NMe) 2 ) 3 Vapor of a precursor of the group consisting;
iii) Exposing the substrate to co-reactant O 3 Is a vapor of (2); and
iv) repeating steps i) through iii) until the HfO deposited on the holes is formed by an ALD process at a temperature ranging from room temperature to 650 ℃ 2 The desired thickness control of the film is achieved,
wherein HfO is 2 The step coverage of the film is more than or equal to 100 percent;
wherein HfO is 2 Film Growth Per Cycle (GPC) decreases relative to the amount of precursor;
wherein HfO is 2 The film is a gap filler without seams,
wherein after each exposure, N is used separately 2 The excess inhibitor, excess precursor and excess co-reactant are purged and removed.
Also disclosed is a method for improving Nb deposition on pores in a substrate wherein the aspect ratio is from about 5:1 to about 200:1 2 O 5 A method of deposition of a film having controlled thickness, the method comprising:
i) Exposing the substrate to a vapor of an inhibitor selected from the group consisting of: tetrahydrofuran (THF), dimethoxyethane (DME) and Triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA) and tris (2-aminoethyl) amine;
ii) exposing the substrate to a compound selected from the group consisting of Nb (=NtBu) Cp (NMe) 2 ) 2 Vapor of a precursor of the group consisting;
iii) Exposing the substrate to co-reactant O 3 Is a vapor of (2); and
iv) repeating steps i) to iii) until Nb deposited on the pores is formed by ALD process at a temperature ranging from room temperature to 650 °c 2 O 5 The desired thickness control of the film is achieved,
wherein Nb is 2 O 5 The step coverage of the film is more than or equal to 100 percent;
wherein Nb is 2 O 5 Film Growth Per Cycle (GPC) decreases relative to the amount of precursor;
wherein Nb is 2 O 5 The film is a gap filler without seams,
wherein after each exposure, N is used separately 2 Purging and removing excess inhibitor, excess precursor and excess co-reactionAnd (5) a reactant.
Also disclosed is a method for improving TiO deposited on holes in a substrate wherein the aspect ratio is from about 5:1 to about 200:1 2 A method of deposition of a film having controlled thickness, the method comprising:
i) Exposing the substrate to a vapor of an inhibitor selected from the group consisting of: tetrahydrofuran (THF), dimethoxyethane (DME) and Triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA) and tris (2-aminoethyl) amine;
ii) exposing the substrate to a chemical vapor deposition selected from the group consisting of TiCp (OMe) 3 Vapor of a precursor of the group consisting;
iii) Exposing the substrate to co-reactant O 3 Is a vapor of (2); and
iv) repeating steps i) to iii) until TiO is formed deposited on the pores by ALD process at a temperature ranging from room temperature to 650 DEG C 2 The desired thickness control of the film is achieved,
wherein TiO is 2 The step coverage of the film is more than or equal to 100 percent;
wherein TiO is 2 Film Growth Per Cycle (GPC) decreases relative to the amount of precursor;
Wherein TiO is 2 The film is a gap filler without seams,
wherein after each exposure, N is used separately 2 The excess inhibitor, excess precursor and excess co-reactant are purged and removed.
Annotation and naming
The following detailed description and claims make use of numerous abbreviations, symbols and terms generally known in the art, and include:
as used herein, the indefinite article "a" or "an" means one or more.
As used herein, "about" or "about" in the text or claims means ± 10% of the value.
As used herein, "room temperature" in the text or claims means from about 20 ℃ to about 25 ℃.
As disclosedAs used in the examples, the term "independently" when used in the context of describing R groups is to be understood to mean that the subject R groups are not only independently selected with respect to other R groups bearing the same or different subscripts or superscripts, but also independently selected with respect to any other species of the same R group. For example, in MR 1 x (NR 2 R 3 ) (4-x) Wherein x is 2 or 3, two or three R 1 The radicals may, but need not, be identical to one another or to R 2 Or R is 3 The same applies. Furthermore, it is to be understood that the values of the R groups are independent of each other when used in the different formulae, unless otherwise specifically stated.
Standard abbreviations for elements from the periodic table of elements are used herein. It is understood that elements may be referred to by these abbreviations (e.g., si refers to silicon, N refers to nitrogen, O refers to oxygen, C refers to carbon, H refers to hydrogen, F refers to fluorine, etc.).
As used herein, the abbreviation "Me" refers to methyl; the abbreviation "Et" refers to ethyl; the abbreviation "Pr" refers to propyl (i.e., n-propyl or isopropyl); the abbreviation "iPr" refers to isopropyl; the abbreviation "Bu" refers to any butyl (n-butyl, isobutyl, tert-butyl, sec-butyl); the abbreviation "tBu" refers to tert-butyl; the abbreviation "sBu" refers to sec-butyl; the abbreviation "iBu" refers to isobutyl; the abbreviation "Ph" refers to phenyl.
The term "substrate" refers to one or more materials upon which a process is performed. A substrate may refer to a wafer having one or more materials on which a process is performed. The substrate may be any suitable wafer used in semiconductor, photovoltaic, flat panel, or LCD-TFT device fabrication. The substrate may also have one or more layers of different materials already deposited thereon from previous manufacturing steps. For example, the wafer may include a silicon layer (e.g., crystalline, amorphous, porous, etc.), a silicon-containing layer (e.g., siO 2 SiN, siON, siCOH, etc.), a metal-containing layer (e.g., copper, cobalt, molybdenum, tungsten, platinum, palladium, nickel, ruthenium, gold, etc.), an organic layer such as amorphous carbon, or a photoresist, or a combination thereof. Furthermore, the substrate may be planar or patterned. The substrate may include a device used as MEMS, 3D NAND, MIM, DRAM, or FeRamDielectric materials in applications (e.g. based on ZrO 2 Is based on HfO 2 Based on TiO 2 A rare earth oxide-based material, a ternary oxide-based material, etc.) or a nitride-based film (e.g., taN, tiN, nbN) used as an electrode. One of ordinary skill in the art will recognize that the term "film" or "layer" as used herein refers to a thickness of a material laid or spread over a surface and that the surface may be a groove or line. Throughout the specification and claims, a wafer and any associated layers thereon are referred to as a substrate.
The term "wafer" or "patterned wafer" refers to a wafer having a stack of silicon-containing films on a substrate and a patterned hard mask layer on the formed stack of silicon-containing films for pattern etching. The term "wafer" or "patterned wafer" may also refer to a trench wafer having an aspect ratio.
The term "aspect ratio" refers to the ratio of the height of a trench (or hole) to the width of the trench (or diameter of the hole).
It is noted herein that the terms "hole", "gap", "via", "hole", "opening", "trench", and "structure" may be used interchangeably to refer to an opening formed in a semiconductor substrate.
It is noted herein that the terms "film" and "layer" may be used interchangeably. It should be understood that the film may correspond to or be associated with a layer, and that layer may refer to the film. Further, one of ordinary skill in the art will recognize that the term "film" or "layer" as used herein refers to a thickness of a material laid or spread on a surface and that the surface may range from as large as the entire wafer to as small as a trench or line. Furthermore, the term "film" or "layer" as used herein may also refer to a gap filler, more specifically a gap filler formed by a bottom-up deposition process. Herein, "gap" refers to a hole, via, hole, opening, trench, or the like.
It is noted herein that the terms "deposition temperature", "substrate temperature" and "process temperature" may be used interchangeably. It should be understood that the substrate temperature may correspond to or be related to a deposition temperature or a process temperature, and that deposition temperature or process temperature may refer to the substrate temperature.
It is noted herein that the terms "precursor" and "deposition compound" and "deposition gas" may be used interchangeably when the precursor is in a gaseous state at room temperature and ambient pressure. It is to be understood that the precursor may correspond to or be associated with a deposition compound or deposition gas, and that the deposition compound or deposition gas may refer to the precursor.
As used herein, the abbreviation "NAND" refers to a "NAND (" negted AND "or" Not AND ")" gate; the abbreviation "2D" refers to a 2-dimensional gate structure on a planar substrate; the abbreviation "3D" refers to a 3-dimensional or vertical gate structure, wherein the gate structures are stacked in a vertical direction.
The term "inhibitor" as used herein refers to a modifier, a containment agent, or a promoter, etc., which includes the concept of inhibiting, containment, or promotion to improve step coverage. Inhibitors are additives or intercalated chemicals during the vapor deposition process that are removed after film deposition. The inhibitor enhances the conformality of the film deposited on the HAR structure and/or helps to remove voids or weak spots in gap-filling applications. The thickness of the inhibitor on the HAR structure may be a very thin layer, possibly a single layer or a thinner layer.
Note that silicon-containing films, such as SiN and SiO, are listed throughout the specification and claims without reference to their proper stoichiometry. The silicon-containing film may include a pure silicon (Si) layer, such as crystalline Si, polycrystalline Si (p-Si or polycrystalline Si), or amorphous Si; silicon nitride (Si) k N l ) A layer; or silicon oxide (Si) n O m ) A layer; or mixtures thereof, wherein k, I, m, and n range from 0.1 to 6 (inclusive). Preferably, the silicon nitride is Si k N l Wherein k and I each range from 0.5 to 1.5. More preferably, the silicon nitride is Si 3 N 4 . In this context, siN in the following description may be used to denote Si-containing k N l Is a layer of (c). Preferably, the silicon oxide is Si n O m Wherein n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, the silicon oxide is SiO 2 . In this context, siO in the following description may be used to denote Si-containing n O m Is a layer of (c). The silicon-containing film may also be a silicon oxide-based dielectric material, such as an organic-based or silicon oxide-based low-k dielectric material, such as the Black Diamond II or III material (having the formula SiOCH) of applied materials company (Applied Materials, inc.). The silicon-containing film may also include Si a O b N c Wherein a, b, c range from 0.1 to 6. The silicon-containing film may also include dopants, such as B, C, P, as and/or Ge.
Ranges may be expressed herein as from about one particular value, and/or to about another particular value. When such a range is expressed, it is to be understood that another embodiment is from the one particular value and/or to the other particular value, along with all combinations within the range. Any and all ranges recited in the disclosed embodiments are inclusive of the endpoints thereof (i.e., x=1 to 4 or x includes any value therebetween in the range from 1 to 4) regardless of whether the term "inclusive" is used.
Reference herein to "one embodiment" or "an embodiment" means that a particular feature, structure, or characteristic described in connection with the embodiment can be included in at least one embodiment of the invention. The appearances of the phrase "in one embodiment" in various places in the specification are not necessarily all referring to the same embodiment, nor are separate or alternative embodiments necessarily mutually exclusive of other embodiments. The above applies to the term "implementation".
As used herein, the word "exemplary" is used herein to mean serving as an example, instance, or illustration. Any aspect or design described herein as "exemplary" is not necessarily to be construed as preferred or advantageous over other aspects or designs. Rather, the use of the word exemplary is intended to present concepts in a concrete fashion.
In the claims, "comprising" is an open transition term, which means that the claim element subsequently identified is a nonexclusive list, i.e., anything else may additionally be included and kept within the scope of "comprising". "comprising" is defined herein to necessarily encompass the more limited transitional terms "consisting essentially of … …" and "consisting of … …"; thus "comprising" may be replaced by "consisting essentially of … …" or "consisting of … …" and remain within the clearly defined scope of "comprising".
Furthermore, the term "or" is intended to mean an inclusive "or" rather than an exclusive "or". That is, unless otherwise indicated or clear from the context, "X employs a or B" is intended to mean any of the natural inclusive permutations. That is, if X employs A; x is B; or X employs both A and B, then "X employs A or B" is satisfied in any of the foregoing cases. Furthermore, the articles "a/an" as used in this application and the appended claims should generally be construed to mean "one or more" unless specified otherwise or clear from context to be directed to a singular form.
Drawings
For a further understanding of the nature and objects of the present invention, reference should be made to the following detailed description, taken in conjunction with the accompanying drawings in which like elements are given the same or similar reference numerals, and in which:
FIG. 1 is a schematic diagram showing poor step coverage of HAR holes;
FIG. 2 is a schematic diagram illustrating the inhibitory effect of inhibitors on step coverage of HAR holes according to the disclosed methods;
fig. 3a is a schematic diagram showing perfect conformal step coverage of a HAR hole with 100% film thickness ratio (bottom thickness/top thickness 100%);
FIG. 3b is a schematic diagram showing super conformal step coverage of >100% film thickness ratio for HAR holes;
FIG. 3c is a schematic diagram showing a gap filling process by bottom-up deposition;
FIG. 3d is a schematic diagram showing gap filler deposited from bottom to top;
FIG. 4a is an exemplary timing diagram of the sequence of introduction of inhibitors, precursors and coreactants according to the disclosed methods;
FIG. 4b is another exemplary timing diagram of the sequence of introduction of inhibitors, precursors and coreactants according to the disclosed methods;
FIG. 4c is another exemplary timing diagram of the sequence of introduction of inhibitors, precursors and coreactants according to the disclosed methods;
FIG. 4d is another exemplary timing diagram of the order of introduction of inhibitors, precursors and coreactants according to the disclosed methods;
FIG. 5 is a SEM image showing about 60% step coverage of an aspect ratio 20:1 hole without inhibitor;
FIG. 6 is a SEM image showing about 100% step coverage of an aspect ratio 25:1 aperture with inhibitor Dimethoxyethane (DME);
FIG. 7 is an SEM image showing about 100% step coverage of an aspect ratio 25:1 hole with inhibitor Triethylamine (TEA);
FIG. 8 is a SEM image showing about 100% step coverage of an aspect ratio 20:1 hole with inhibitor Tetrahydrofuran (THF);
FIG. 9 is a continuous decrease in growth rate at 300℃under saturation with precursor addition time;
FIG. 10 is a comparison of GPC with or without inhibitor (DMEDA) at ALD saturation;
FIG. 11 is a bottom-up growth in an 11:1 aspect ratio structure at 300 ℃; and is also provided with
Fig. 12 is a full gap fill in a 25:1 aspect ratio patterned structure.
Detailed Description
Methods of depositing High Aspect Ratio (HAR) structures using inhibitor molecules and precursors in semiconductor applications are disclosed. More specifically, the disclosed inhibitor deposition methods involve vapor deposition processes using organometallic precursors and inhibitor molecules containing O, N, S, P, B, C, F, cl, br or I to improve thickness control of deposited films, such as step coverage of the film in the substrate and gap filling in the substrate. The disclosed inhibitor deposition methods include vapor deposition processes involving inhibitors to improve thickness control, step coverage, and gap filling. In addition, the disclosed inhibitor deposition methods include ALD processes involving inhibitors to improve thickness control, step coverage, and gap filling. The disclosed inhibitors include the concept of deposition inhibition, containment or promotion to improve the thickness of the resulting deposited film, including step coverage and gap filling. More specifically, the disclosed inhibitor deposition methods include the selection of inhibitor molecules and the selection of inhibitor doses to improve thickness control (e.g., step coverage, gap filling) of the deposited film, thereby preventing overhang films (so-called "pinch off") in HAR structures, and preventing void and/or weak spots from forming in the deposited film and/or gap filling. HAR structures include HAR holes, gaps, holes, vias, trenches, openings, etc., which are fabricated in a substrate by previous fabrication processes. The substrate typically has one or more layers of different materials already deposited thereon from a previous manufacturing process.
The disclosed deposition precursor may be an organometallic precursor. More specifically, the disclosed precursors may include alkylamino and cyclopentadienyl derivatives of transition metals and main group elements containing homoleptic or heteroleptic ligands selected from alkylamines, alkoxy, amidino or halides. The transition metal and main group element may be Hf, zr, nb, ti, lanthanoid, rare earth element, al, si, or the like. The disclosed precursors are suitable for vapor deposition processes.
The disclosed deposition precursors include typical and well known precursors for vapor deposition applications, for example,
M(CpR 1 R 2 R 3 R 4 R 5 )(NR’ 2 ) 3 wherein m=group 4 element, R 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Straight or branched alkyl, R' is C 1 To C 4 Linear or branched alkyl;
M(CpR 1 R 2 R 3 R 4 R 5 )(OR’) 3 wherein m=group 4 element, R 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Straight or branched alkyl, R' is C 1 To C 4 Linear or branched alkyl;
M(CpR 1 R 2 R 3 R 4 R 5 )X 3 wherein m=group 4 element, R 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Linear or branched alkyl, x=halide;
M(=NtBu)(NR 2 ) 3 wherein m=group 5 element, r=c 1 To C 6 An alkyl group;
M(=NtBu)(CpR 1 R 2 R 3 R 4 R 5 )(NR’ 2 ) 3 wherein m=group 5 element, R 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Straight or branched alkyl, R' is C 1 To C 4 Linear or branched alkyl;
M(NR 2 ) 5 Wherein m=group 5 element, r=c 1 To C 6 An alkyl group;
AlR 3 wherein r=c 1 To C 6 An alkyl group;
Ln(CpR 1 R 2 R 3 R 4 R 5 ) 3 wherein ln=lanthanoid, R 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Linear or branched alkyl;
Ln(CpR 1 R 2 R 3 R 4 R 5 ) 2 (R' -amd), wherein ln=lanthanoid, R 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Straight or branched alkyl, R' is C 1 To C 4 Linear or branched alkyl;
Ln(CpR 1 R 2 R 3 R 4 R 5 ) 2 (R' -fmd), wherein ln=lanthanoid, R 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Straight or branched alkyl, R' is C 1 To C 4 Linear or branched alkyl;
Ln(NR 2 ) 3 wherein ln=lanthanoid, r=c 1 To C 6 An alkyl group;
(3, 3-dimethyl-1-butyne) cobalt hexacarbonyl (CCTBA, CAS number 56792-69-9);
Ru(CpR 1 R 2 R 3 R 4 R 5 ) 2 wherein R is 1 、R 2 、R 3 、R 4 And R is 5 Each independently is H or C 1 To C 6 Linear or branched alkyl;
ru (R-Cyclohexadiene) (CO) 3 Wherein r=c 1 To C 6 An alkyl group;
oxygen and chlorine and molybdenum compounds MoO x Cl y Wherein x is>0,y>0;
An organometallic molybdenum compound; and
other silicon-containing precursors.
Illustrative examples of the disclosed deposition precursors include organometallic precursors selected from alkylamino and cyclopentadienyl derivatives of transition metals and main group elements containing homoleptic or heteroleptic ligands selected from alkylamines, alkoxy, amidino or halides, wherein these transition metals and main group elements are selected from Hf, zr, nb, ti, lanthanoids, rare earth elements, al or Si.
Illustrative examples of the disclosed deposition precursors include HfCp (NMe 2 ) 3 、ZrCp(NMe 2 ) 3 、NbCp(NMe 2 ) 3 And TiCp (NMe) 2 ) 3
The most important feature of the disclosed inhibitor deposition method is the choice of inhibitor. The inhibitor should not react with the precursors used in the deposition process and should be physically adsorbed on the surface of the HAR structure, but not so strongly (mild adsorption) that the physically adsorbed inhibitor can then be purged and removed by a subsequent purge step. Furthermore, in order to avoid any unnecessary reaction with the entering co-reactant, the purging step after the inhibitor addition and should be separate from the precursor addition and purging step. In HAR structures, the inhibitor layer may form a vertical gradient adsorption along the pores, with high adsorption near the top inlet, low adsorption deep in the bottom (see fig. 1), which may compete with the incoming precursor for active binding sites on the surface, resulting in improved step coverage and/or perfect step coverage, e.g. 100% step coverage, see fig. 2 and 3a and 3b.
The disclosed inhibitors may contain O, N, S, P, B, C or halogen elements (e.g., F, cl, br, I).
The disclosed inhibitors include oxygen-based inhibitors, nitrogen-based inhibitors, sulfur-based inhibitors, phosphorus-based inhibitors, boron-based inhibitors, carbon-based inhibitors, organic and inorganic molecular containing halides, H 2 O vapor, H 2 Gas, CO gas, CS gas, nitrogen oxides (NO x ) Gas, and any free radical form of gas at room temperature or higher.
The disclosed oxygen-based inhibitors include aliphatic and aromatic inhibitors such as alcohols, glycols, ethers, epoxides, aldehydes, ketones, carboxylic acids, enols, esters, anhydrides, phenols, substituted phenols, and the like. Illustrative examples of the disclosed oxygen-based inhibitors include Tetrahydrofuran (THF), dimethoxyethane (DME), diethyl ether and dioxane.
The disclosed nitrogen-based inhibitors include aliphatic and aromatic inhibitors such as amines, imines, imides, amides, azides, cyanates, nitriles, nitrates, nitrites, nitrogen containing heterocyclic compounds, and the like. Illustrative examples of the disclosed nitrogen-based inhibitors include Triethylamine (TEA), trimethylamine, dimethylethylenediamine (DMEDA), tetramethylethylenediamine (TMEDA), and NH 3
The sulfur-based inhibitors disclosed include aliphatic and aromatic inhibitors such as thiols, sulfides, disulfides, sulfoxides, sulfones, thiocyanates, isothiocyanates, thioesters, and the like. By a means ofIllustrative examples of disclosed sulfur-based inhibitors include H 2 S、Me 2 S、Et 2 S、Me 2 S-SMe 2 And Et 2 S-SEt 2
The disclosed phosphorus-based inhibitors include aliphatic and aromatic inhibitors such as phosphines, phosphonic acids, phosphodiesters, and the like. Illustrative examples of the disclosed phosphorus-based inhibitors include pH 3 、PMe 3 And P (OMe) 3
The boron-based inhibitors disclosed include aliphatic and aromatic inhibitors such as boric acid, boric acid esters, dihydrocarbyl boric acid esters, and the like. Illustrative examples of the disclosed boron-based inhibitors include BMe 3 、BEt 3 Borazine, B (OMe) 3 And B (OEt) 3
The disclosed carbon-based inhibitors include aliphatic inhibitors such as alkanes, alkenes, alkynes, benzene derivatives, and the like. Illustrative examples of the disclosed carbon-based inhibitors include acetylene and olefins.
The disclosed organic molecule-containing halides and inorganic halides include I 2
The disclosed inhibitors may be in the form of free radicals in a gas at room temperature. The disclosed inhibitors are in any free radical form of a gas at a temperature ranging from room temperature to about 650 ℃; preferably, the disclosed inhibitors are compounds that can interact with surfaces having relatively high electronegativity or lone pair electrons. Such as THF, DME, TEA, etc.
The disclosed precursors and inhibitors have a purity of greater than 95% w/w (i.e., 95.0% w/w to 100.0% w/w), preferably greater than 98% w/w (i.e., 98.0% w/w to 100.0% w/w), and more preferably greater than 99% w/w (i.e., 99.0% w/w to 100.0% w/w). One of ordinary skill in the art will recognize that purity can be determined by H NMR and gas-liquid chromatography along with mass spectrometry. The disclosed precursors and inhibitors may contain organic, inorganic, and metal molecule-containing impurities. The total amount of these impurities is preferably below 5% w/w (i.e. 0.0% w/w to 5.0% w/w), preferably below 2% w/w (i.e. 0.0% w/w to 2.0% w/w), and more preferably below 1% w/w (i.e. 0.0% w/w to 1.0% w/w). Cape for holding person The precursor and inhibitor of the dew may be prepared by recrystallization, sublimation, distillation and/or passage of gases, liquids through suitable adsorbents (e.gMolecular sieves) purification.
Purification of the disclosed precursors and inhibitors may also result in metal impurities at a level of 0 to 1ppmw, preferably 0 to 500ppbw (parts per million by weight). These metal impurities may include, but are not limited to, aluminum (Al), arsenic (As), barium (Ba), beryllium (Be), bismuth (Bi), cadmium (Cd), calcium (Ca), chromium (Cr), cobalt (Co), copper (Cu), gallium (Ga), germanium (Ge), hafnium (Hf), zirconium (Zr), iron (Fe), lead (Pb), lithium (Li), magnesium (Mg), manganese (Mn), tungsten (W), nickel (Ni), potassium (K), sodium (Na), strontium (Sr), thorium (Th), tin (Sn), titanium (Ti), uranium (U), zinc (Zn), and the like.
In addition to the disclosed precursors and inhibitors, co-reactants may be used. The coreactant may be O 3 、O 2 、H 2 O、H 2 O 2 、D 2 O, alcohol and NH 3 、N 2 、N 2 H 2 、H 2 And its free radicals. Preferably, the coreactant is O 3 Or NH 3 . Preferably, the radicals are any radical form of a gas generated by plasma formed at room temperature or higher. For ALD processes that do not involve a plasma, the radicals of the co-reactant may be formed prior to introducing the co-reactant into the reactor. Preferably, the coreactants are in gaseous or vapor form. In the case of liquid coreactants, it is necessary to produce the vapor form of the coreactant prior to introducing the coreactant into the reactor. In some embodiments, the co-reactant and the inhibitor may be the same molecule, such as ammonia. For example, ammonia may be used as a co-reactant with the metal organic precursor for forming the SiNx film, and then ammonia may be used as an inhibitor in a separate step of the deposition sequence.
The purity of the disclosed coreactants is greater than 95% v/v (or by volume) (i.e., 95.0% v/v to 100.0% v/v), preferably greater than 98% v/v (i.e., 98.0% v/v to 100.0% v/v), and more preferably greater than 99% v/v (i.e., 99.0% v/v)v/v to 100.0% v/v). One of ordinary skill in the art will recognize that purity can be determined by H NMR and gas-liquid chromatography along with mass spectrometry. The disclosed coreactants may contain impurities of organic molecules. The total amount of these impurities is preferably below 5% v/v (i.e. 0.0% v/v to 5.0% v/v), preferably below 2% v/v (i.e. 0.0% v/v to 2.0% v/v), and more preferably below 1% v/v (i.e. 0.0% v/v to 1.0% v/v). By distillation and/or passing the gaseous liquid through a suitable adsorbent (e.gMolecular sieves) to purify the disclosed co-reactants.
The disclosed inhibitor deposition methods may be used to deposit films and fill gaps on high aspect ratio structures using any deposition method known to those skilled in the art. Examples of suitable vapor deposition methods include CVD and ALD. Exemplary CVD methods include thermal CVD, plasma Enhanced CVD (PECVD), pulsed CVD (PCVD), low Pressure CVD (LPCVD), subatmospheric CVD (SACVD), atmospheric Pressure CVD (APCVD), hot filament CVD (HWCVD, also known as cat-CVD, wherein the hot filament serves as an energy source for the deposition process), radical-bonded CVD, and combinations thereof. Exemplary ALD methods include thermal ALD, plasma Enhanced ALD (PEALD), spatial ALD, hot Wire ALD (HWALD), radical-bonded ALD, and combinations thereof, supercritical fluid deposition may also be used. The deposition method is preferably ALD, PE-ALD, spatial ALD, so as to provide suitable step coverage and film thickness control.
The film deposited on the HAR structure using the disclosed inhibitor deposition methods may be a metal film, a metal oxide film, a silicon-containing film, an alloy, or the like. An exemplary film deposited on the HAR structure is ZrO 2 And HfO 2
For suitable vapor deposition processes, the disclosed precursors and inhibitors may be supplied in pure form or as a blend with suitable solvents such as ethylbenzene, xylenes, mesitylene, decalin, decane, dodecane. The disclosed precursors and inhibitors may be present in the solvent at different concentrations. The pure or blended precursor and the pure or blended precursor may be combined by conventional means such as pipes and/or flow metersThe blended inhibitors are introduced separately into the reactor in vapor form. Vapor forms may be produced by vaporizing pure or blended precursors and inhibitors via conventional vaporization steps (e.g., direct vaporization, distillation, bubbling, or through the use of sublimators). The pure or blended precursor and inhibitor may be fed in liquid form to a gasifier where it is gasified prior to its introduction into the reactor. Alternatively, the pure or blended precursor and inhibitor may be vaporized by bubbling the carrier gas into the composition by delivering the carrier gas into a container containing the composition. The carrier gas may include but is not limited to Ar, he, N 2 And mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the neat or blended precursor and inhibitor. The carrier gas and precursor, and the carrier gas and suppressor are then introduced separately as vapors into the reactor. Similarly, if a liquid co-reactant is used, the vapor form of the co-reactant will be produced in the same manner as the precursor and inhibitor.
If necessary, the vessel containing the disclosed precursors, inhibitors and coreactants may be heated to a temperature that allows the disclosed precursors, inhibitors and coreactants to be in their liquid phase and have sufficient vapor pressure. The vessel may be maintained at a temperature, for example, in the range of about 0 ℃ to about 200 ℃. Those skilled in the art recognize that the vessel temperature may be adjusted in a known manner to control the amount of precursor vaporized.
The reactor or reaction chamber may be any closed chamber within the apparatus in which the deposition process is performed, such as, but not limited to: parallel plate type reactors, cold wall type reactors, hot wall type reactors, single wafer reactors, multicrystalline wafer reactors, other types of deposition systems under conditions suitable to cause the compounds to react and form layers. One of ordinary skill in the art will recognize that any of these reactors may be used in ALD or CVD deposition processes.
The reactor contains one or more substrates on which a film is to be deposited. A substrate is generally defined as the material upon which a process is performed. The substrate may be any suitable substrate used in semiconductor, photovoltaic, flat panel, LCD-TFT device fabrication. Practical substrateExamples include wafers such as silicon, silicon dioxide, glass, gaAs wafers. The wafer may have one or more layers of different materials deposited thereon from previous manufacturing steps. For example, the wafer may include a dielectric layer. In addition, the wafer may include a silicon layer (crystalline, amorphous, porous, etc.), a silicon oxide layer, a silicon nitride layer, a silicon oxynitride layer, a carbon doped silicon oxide (SiCOH) layer, a metal oxide, a metal nitride layer (Ti, ru, ta, etc.), and combinations thereof. In addition, the wafer may include copper layers, noble metal layers (e.g., platinum, palladium, rhodium, gold). The wafer may include a barrier layer such as manganese, manganese oxide, and the like. Plastic layers, such as poly (3, 4-ethylenedioxythiophene) poly (styrenesulfonate) [ PEDOT: PSS, can also be used]. The layers may be planar or patterned. The patterned layer may be two specific layers (In as used In 3D NAND 2 O 3 And ZrO(s) 2 ) Is a layer of alternating layers of (a). The wafer may have one or more holes formed therein from previous manufacturing steps. The holes may be holes, vias, trenches, gaps, etc. formed in the wafer or substrate. The aspect ratio of the holes may range from about 5:1 to about 200:1. The disclosed method may deposit a film directly on the holes of the wafer. Furthermore, one of ordinary skill in the art will recognize that the terms "film," "layer," as used herein, refer to a thickness of some material that is placed, spread over a surface and that the surface may be a groove or line. Throughout the specification and claims, a wafer and any associated layers thereon are referred to as a substrate.
The temperature and pressure within the reactor or reaction chamber are maintained under conditions suitable for vapor deposition such as ALD and CVD. In other words, after the vaporized precursor, inhibitor, and coreactant are introduced into the reactor, the conditions within the reactor are such that at least a portion of the precursor is deposited onto the substrate to form a layer. For example, the pressure within the reactor or deposition pressure may be maintained at about 10, as required according to the deposition parameters -3 Between the tray and about 100 tray, more preferably about 10 -2 Between the tray and about 100 tray. The temperature of the reactor may be controlled by controlling the temperature of the substrate holder, controlling the temperature of the reactor wall. Devices for heating substrates are known in the art. Reverse-rotation The reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with the desired physical state and composition. Non-limiting exemplary temperature ranges to which the reactor walls may be heated include from room temperature to about 650 ℃. When a plasma deposition process is used, the reactor temperature may be in the range from about 100 ℃ to about 500 ℃. Alternatively, when a thermal process is performed, the reactor temperature may be in the range from about 100 ℃ to about 650 ℃.
Alternatively, the substrate may be heated to a sufficient temperature to obtain a desired film of sufficient growth rate and having a desired physical state and composition. Non-limiting exemplary temperature ranges to which the substrate may be heated include from room temperature to about 650 ℃. Furthermore, one skilled in the art will appreciate that the temperature of the reactor and the temperature of the substrate will reach an equilibrium temperature during the deposition process. Throughout the specification and claims, reactor temperature and substrate temperature refer to deposition temperature. Thus, the deposition temperature of the disclosed method is in the range from room temperature to about 650 ℃. When a plasma deposition process is used, the deposition temperature may be in the range from about 100 ℃ to about 500 ℃. Alternatively, the deposition temperature may be in the range from about 100 ℃ to about 650 ℃ when performing the thermal process.
The disclosed precursors, inhibitors and coreactants may be introduced into the reactor simultaneously (CVD) or sequentially (ALD) in various orders of supply of inhibitors, precursors and coreactants. An inert gas (e.g., N) may be used between the introduction of the inhibitor, precursor and coreactant 2 Ar, kr, xe) to purge the reactor. Alternatively, the inhibitor, precursor, and coreactant may be mixed together to form an inhibitor/precursor/coreactant mixture and then introduced into the reactor as a mixture. Alternatively, the inhibitor and precursor may be mixed together to form an inhibitor/precursor mixture, introduced into the reactor as a mixture, and then the co-reactants introduced into the reactor.
In the disclosed process, the substrate exposure time may range from 0.1 seconds to 30 minutes, preferably from 0.5 seconds to 10 minutes; the exposure time of the disclosed precursors may range from 0.1 seconds to 30 minutes, preferably from 0.5 seconds to 10 minutes; the exposure time of the disclosed inhibitors may range from 0.1 seconds to 30 minutes, preferably from 0.5 seconds to 10 minutes; the exposure time of the coreactants may range from 0.1 seconds to 30 minutes, preferably from 0.5 seconds to 10 minutes. In the disclosed process, the dosage of the inhibitor may be greater than the dosage of the precursor, and vice versa; the dosage of inhibitor may be greater than the dosage of the co-reactant and vice versa.
Alternatively, vaporized inhibitors, precursors, and co-reactants (without a mixture of inhibitors, precursors, and co-reactants) may be injected simultaneously from different portions of the showerhead under which the susceptor holding several wafers is rotated (spatial ALD).
Deposition may be performed for different lengths of time depending on the specific process parameters. Typically, deposition can be continued for as long as desired as necessary to produce a film or to produce a gap filler having the requisite characteristics. Typical film thicknesses may vary from a few angstroms to a few hundred microns, and typically from 2 to 100nm, depending on the particular deposition process. Typical gap depths to be filled may vary from a few hundred nanometers to a few hundred micrometers, and typically from 100nm to 100um, depending on the particular deposition process. If necessary, the deposition process may also be performed as many times as necessary (e.g., ALD cycles) to obtain the desired film with improved or perfect step coverage (e.g., > 100%) and desired gap filling without forming voids and/or weak spots in the deposited film and gap filling. In some cases, the disclosed inhibitor deposition methods can improve step coverage and gap filling by adding inhibitors compared to the case where no inhibitors are applied, although the target step coverage does not reach perfect step coverage (e.g., > 100%).
In a non-limiting exemplary ALD process for forming a metal oxide film, a three-step process may be performed. First, the vapor phase of the disclosed inhibitors, such as Dimethoxyethane (DME), is introduced into a reactor where it is physically adsorbed on the surface. The reactor may then be removed from the reactor by purging and/or evacuating the reactorExcess inhibitor, i.e. by reaction with an inert gas (e.g. N 2 Ar, kr, xe) to purge the reactor or pass the substrate through a zone under high vacuum and/or a curtain of carrier gas. Second, the precursor (e.g., zrCp (NMe) 2 ) 3 Or HfCp (NMe) 2 ) 3 ) Is introduced into a reactor where it is adsorbed (chemisorbed and physisorbed) on a substrate. Excess precursor may then be removed from the reactor by purging the reactor with an inert gas and/or evacuating the reactor. Third, co-reactants (e.g., O 3 ) The co-reactant is introduced into a reactor where it reacts with the adsorbed precursor to deposit a film on the surface in a self-limiting manner and reacts with the physisorbed inhibitor to remove it from the surface. Any excess co-reactant is then removed from the reactor by purging and/or evacuating the reactor. If the desired film is an oxide (e.g., zrO 2 ) The three-step process, i.e. inhibitor-precursor-co-reactant, may then be repeated until a film or gap is filled having the necessary thickness and desired step coverage is obtained. Alternatively, the order of introduction of inhibitors, precursors and coreactants into the reactor of the three-step process may vary. For example, the three-step process may be a sequential precursor-inhibitor-coreactant; sequentially inhibitor-precursor-coreactant; a precursor-co-reactant-inhibitor in sequence; sequentially inhibitor-co-reactant-precursor, while inhibitor and precursor and then co-reactant; and so on. The three-step process can provide a desired film thickness and film conformality, as well as a desired gap fill.
In some embodiments, the disclosed methods relate to vapor deposition processes, such as CVD or ALD, that employ the disclosed inhibitors to improve step coverage of deposited films in a substrate.
Fig. 1 is a schematic diagram showing the poor step coverage of HAR holes. The substrate 102 has a hole 104 therein. A film 106 is deposited on the top and sidewalls of the holes 104 using a vapor deposition process, such as ALD. In this case, the film 106 is deposited mainly on top of the holes and no film is deposited on the bottom of the holes, because the deposition precursor cannot reach the deep part of the holes during the deposition process. The holes 104 may be holes, vias, trenches, gaps, openings, etc. formed in the substrate 102 from previous manufacturing processes. The aspect ratio of the holes 104 may be in the range from about 5:1 to about 200:1. Without the use of inhibitors, the film 106 deposited on the wells is non-conformal. Step coverage is defined herein as b/a×100% (bottom thickness/top thickness×100%); the aspect ratio is defined as L/d. As shown, in this case no inhibitor is used, b < a, and thus the step coverage is less than 100%.
Fig. 2 is a schematic diagram illustrating the inhibitory effect of inhibitors on step coverage of HAR holes according to the disclosed methods. The holes 204 may be holes, vias, trenches, gaps, etc. formed in the substrate 202 from previous manufacturing processes. The aspect ratio of the holes 204 may be in the range from about 5:1 to about 200:1. The inhibitor adsorption layer (not shown) may form a vertical gradient of physical adsorption along the pores with high adsorption near the top entrance and low adsorption deep at the bottom of the pores. Due to the physical adsorption of inhibitors thereon (not shown), the incoming deposition precursor may be able to reach the deeper parts of the pores and adsorb thereon. Deposition precursor adsorption includes physical adsorption and chemical adsorption. The inhibitor adsorption layer may compete with the incoming deposition precursor for active binding sites on the pore surface, allowing the deposition precursor to adsorb in the deep portion of the pore. The concentration of precursor in the bottom of the hole 204 is higher than the concentration of precursor in the top of the hole 204. The entering co-reactant reacts with the adsorbed precursor to form a film 206 from the deep portion of the pores. This cycle is repeated a further number of times, with the film 206 growing from the deep portion of the well 204 toward the top of the well 204 and gradually reaching the top entrance of the well. The final formation of film 206 with the desired thickness and perfect step coverage is shown in fig. 3a (a schematic diagram showing step coverage of 100% (b=a) of HAR holes with conformal film) and fig. 3b (a schematic diagram showing HAR holes with super conformal film) >100%(b>a) Schematic of step coverage) is shown. As shown, the holes 304 may be holes, vias, trenches, gaps, etc. formed in the substrate 302 from previous manufacturing processes. The entering co-reactant reacts with the adsorbed precursor to form a film 306 from the deep portion of the pores. This cycle is repeated a further number of times, with the film 306 growing from the deep portion of the well 304 toward the top of the well 304 and gradually reaching the top entrance of the well. Here, the hole is exposedUsing an inert gas (e.g. N 2 Ar, kr, etc.) to remove excess inhibitor. A purge step is performed to remove excess deposition precursor prior to exposing the wells to the co-reactant. For the next cycle, a purge step was performed to remove excess co-reactant before exposing the wells to inhibitor. In this way, the disclosed inhibitor deposition method is capable of producing perfect conformal step coverage and super conformal step coverage, i.e. step coverage of ≡100% film thickness over holes having aspect ratios ranging from about 5:1 to about 200:1.
Continuing the repeated cycle even more times, the film 306 grows from the deep bottom of the hole 304 to the top of the hole 304 and gradually fills the hole 304 from the bottom of the hole 304, as shown in fig. 3c, wherein the hole 304 has been filled to the middle of the hole 304. Continuing to repeat the cycle even more times, the film 306 remains growing toward the top of the hole 304 and gradually fills the hole 304, as shown in fig. 3d, where the hole 304 has been filled to the top of the hole 304 and the hole 304 is completely filled. The cycle may stop until the hole 304 is completely filled.
Fig. 4 a-4 d are different exemplary timing diagrams of methods and sequences for supplying inhibitors, precursors, and coreactants according to the disclosed inhibitor deposition methods, wherein each feed (i.e., inhibitor, precursor, and coreactant) may be pulsed. The flow rate and supply time of the corresponding feed may not be proportional to the pulse height and width shown in fig. 4 a-4 c.
Figure 4a refers to a three-step deposition process performed in inhibitor-precursor-coreactant order. Although the formation of a metal oxide film is described above, a more detailed description is described herein. When the inhibitor is introduced into the reactor, the inhibitor may be physically adsorbed on the surface of the substrate in the reactor. The reactor may then be purged with a purge gas so that excess inhibitor is removed and the physisorbed inhibitor may remain as a very thin layer (possibly a monolayer or thinner).
After that, the precursor is added. The precursor may be physically and chemically adsorbed on the surface of the pores in the substrate, while the chemisorption of the precursor on the substrate is controlled by the physically adsorbed inhibitor layer. Since a large portion of the surface of the pores is covered by the inhibitor, the precursor may be physically adsorbed on the surface by the inhibitor. When the reactor is purged again with the purge gas, the excess precursor is removed, and an adsorption layer can be obtained by adsorbing the precursor on the surface. The adsorbent layer may be at the level of a very thin layer, either a single layer or less. More specifically, in this way, excessive adsorption of the precursor can be controlled considerably at the inlet and at the top of the pores. The precursor is able to reach the deep part of the hole.
Thereafter, the coreactant is introduced. The co-reactant may react with the adsorbed precursor to form a film and react with the co-reactant to remove it. Then, by purging, excess co-reactants and reaction byproducts can be removed from the reactor.
Figure 4b refers to a three-step deposition process performed in the order of precursor-inhibitor-coreactants. The precursor is first introduced into the reactor. The precursor may be adsorbed on the surface of the substrate. In this case, a substantial amount of the precursor may be chemisorbed directly on the surface of the pores in the substrate, while the additional precursor may be physisorbed on the surface by the chemisorbed precursor. Thereafter, by purging, the precursors that are physically adsorbed on the substrate may be partially removed while some of the physically adsorbed precursors may remain on the chemisorbed precursors. Since the physisorbed precursor is an excess of the adsorbed source material, it may be desirable to remove the physisorbed precursor to form a conformal film. Thus, the inhibitor is added in the next step. Inhibitors may bind to the precursor due to van der waals attraction. More specifically, the inhibitor may be bound to the central atom of the precursor. The physically adsorbed precursor may be released as a result of this binding, and the excess adsorbed precursor may be largely removed. Thereafter, by purging, excess inhibitors and reaction byproducts can be removed from the reactor, and a layer of the precursor chemisorbed at the level of a very thin layer (one monolayer or thinner) can be obtained. Reaction by-products may include a combination of inhibitors and precursors.
Subsequently, the coreactant is introduced. The co-reactant may react with the chemisorbed monolayer state precursor to form a film, and the inhibitor may react with the co-reactant and be removed. Excess co-reactants and reaction by-products can be removed from the reactor by purging.
Fig. 4c refers to a three-step deposition process performed in the order of precursor-co-reactant-inhibitor. In this case, the precursor is first added and deposited on the surface of the pores as in fig. 4 b. Next, after purging to remove excess physically adsorbed precursor, the co-reactant is introduced. The co-reactant may react with the chemisorbed precursor to form a film. Then, by purging, excess reaction by-products can be removed from the reactor. Subsequently, an inhibitor is introduced. The inhibitor may be adsorbed on the membrane on the pore surface as well as on the chemisorbed precursor. More specifically, the inhibitor may be bound to the central atom of the precursor. Because the inhibitor can form a vertical gradient adsorption along the pores, the adsorption near the top inlet is high, the adsorption deep in the bottom is low, and because of this combination, the chemisorbed precursor can be released near the top inlet of the pores and the excess adsorbed precursor can be largely removed by purging and a layer of the precursor chemisorbed at a single layer or thinner level can be obtained. Reaction by-products may include a combination of inhibitors and precursors.
Figure 4d refers to a deposition process where the inhibitor and precursor are introduced simultaneously and the co-reactant is added afterwards. When first introduced simultaneously into the reactor, the precursors may combine with each other and form dimers or trimers. The dimer or trimer then adsorbs onto the pore surface, possibly adsorbing more layers of precursor in excess. When both the inhibitor and the precursor are added, the inhibitor may reduce the tendency of the precursor to form dimers or trimers. Thus, excessive adsorption of the precursor can be reduced, especially near the entrance of the pores. Excess precursor, inhibitors and unwanted byproducts can be removed from the reactor by purging. Thereafter, the coreactant is added. The co-reactant may react with the adsorbed precursor to form a film, and the inhibitor in the reactor may react with the co-reactant and be removed. Excess co-reactants and reaction by-products can be removed from the reactor by purging.
The process described with reference to fig. 4a to 4d may be performed dynamically to prevent excessive adsorption of the precursor. By purging with a purge gas, excess precursors, inhibitors and co-reactants can be removed from the reactor, and also unwanted byproducts can be removed from the reactor.
The combination of order and time of introduction of inhibitors, precursors and coreactants into the reactor is not limited to those shown in fig. 4a to 4 d. The disclosed inhibitor deposition method includes all possible combinations of order and time of introduction of inhibitors, precursors and coreactants into the reactor.
In a non-limiting exemplary ALD process, the disclosed ALD process includes i) supplying an inhibitor into a reactor containing a substrate having holes to be deposited, wherein the inhibitor molecules are physically adsorbed on the surfaces of the holes; ii) purging the inhibitor by a purge gas and removing excess inhibitor; iii) Supplying a precursor to the reactor, wherein the precursor molecules are adsorbed on the surface of the pores; iv) purging the precursor with a purge gas and removing excess precursor; v) supplying a co-reactant to the reactor, wherein the co-reactant reacts with the adsorbed precursor to form a film on the surface; vi) purging the co-reactant by a purge gas and removing by-products and excess co-reactant; vii) repeating steps i) to vi) to achieve a desired thickness of film deposited on the holes or a desired gap filling in the substrate. The deposited films and bottom-up deposited gap fillers produced by the disclosed inhibitor deposition methods have improved step coverage and improved gap filling, and have no voids, no weak spots, and/or no seams as compared to deposited films and gap fillers produced in the absence of the inhibitor.
In some embodiments, the disclosed methods relate to a novel method of reducing the growth rate or Growth Per Cycle (GPC) or thickness in a vapor deposition process by inserting a so-called "inhibitor" step, while maintaining CVD or ALD behavior without losing any benefit therefrom. The disclosed methods may be adapted to adjust growth rate or GPC to better control the thickness of very thin layers and good gap-fill quality.
In some embodiments, the disclosed methods relate to a novel method of gap filling by bottom-up super-conformal thin film deposition with additives or inhibitors, by vapor deposition methods (e.g., ALD and CVD) in the absence of plasma. Referring to fig. 3c and 3d, as the number of ALD cycles increases, the gap in the substrate may be filled by bottom-up deposition. By adjusting the number of cycles, the disclosed inhibitor deposition methods can improve deposited film thickness control and step coverage, and enhance gap filling by bottom-up deposition.
After the desired film thickness, improved step coverage, and desired gap filling are achieved, the deposited film and gap filler may be subjected to further processing such as thermal annealing, furnace annealing, rapid thermal annealing, UV, electron beam curing, and/or plasma gas exposure. Those skilled in the art recognize systems and methods for performing these additional processing steps. For example, zrO under an inert atmosphere or an O-containing atmosphere, combinations thereof 2 The film may be exposed to a temperature ranging from about 200 ℃ to about 1000 ℃ for a time ranging from about 0.1 seconds to about 7200 seconds. Most preferably, the temperature is 400 ℃ for 3600 seconds under an inert atmosphere or an O-containing atmosphere. The resulting film may contain fewer impurities and, thus, may have improved density, resulting in improved leakage current. The annealing step may be performed in the same reaction chamber in which the deposition process is performed or in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, have been found to be effective in reducing the ZrO 2 Carbon and nitrogen contamination of the membrane. This in turn tends to improve the resistivity of the film.
Examples
The following non-limiting examples are provided to further illustrate embodiments of the present invention. However, these examples are not intended to include all examples and are not intended to limit the scope of the invention described herein.
Example 1: after passing ZrCp (NMe 2 ) 3 ZrO with ozone 2 In the case of ALD, there is no step coverage of inhibitor.
The precursor container was heated at 80 ℃. Using O 3 As co-oxidation reactant and ZrCp (NMe 2 ) 3 As a precursor. ZrO is prepared by ALD process 2 The film was deposited on a patterned wafer with about 20:1 aspect ratio holes. The reactor pressure was maintained at about 1 torr. Step coverage was tested under the same deposition conditions without inhibitor on patterned wafers with about 20:1 aspect ratio holes in a temperature window of 200-400 ℃. Fig. 5 is an SEM image showing about 60% step coverage of an aspect ratio 20:1 hole without inhibitor.
Example 2: after passing ZrCp (NMe 2 ) 3 ZrO with ozone 2 In the case of ALD, there is a step coverage of the inhibitor DME.
The precursor container was heated at 80 ℃. Using O 3 As co-oxidation reactants DME was used as inhibitor and ZrCp (NMe 2 ) 3 As a precursor. ZrO is prepared by ALD process 2 The film was deposited on a patterned wafer with about 25:1 aspect ratio holes. The reactor pressure was maintained at about 1 torr. Step coverage was tested under the same deposition conditions with inhibitor on patterned wafers with about 25:1 aspect ratio holes in a temperature window of 200-400 ℃. Fig. 6 is an SEM image showing about 100% step coverage of the aspect ratio 25:1 aperture with inhibitor DME.
Example 3: after passing ZrCp (NMe 2 ) 3 ZrO with ozone 2 Step coverage with inhibitor TEA in the case of ALD
The precursor container was heated at 80 ℃. Using O 3 TEA as inhibitor and ZrCp (NMe) 2 ) 3 As a precursor. ZrO is prepared by ALD process 2 The film was deposited on a patterned wafer with about 25:1 aspect ratio holes. The reactor pressure was maintained at about 1 torr. Step coverage was tested under the same deposition conditions with inhibitor on patterned wafers with about 25:1 aspect ratio holes in a temperature window of 200-400 ℃. Fig. 7 is an SEM image showing about 100% step coverage of the 25:1 aspect ratio holes with inhibitor TEA.
Example 4: after passing ZrCp (NMe 2 ) 3 ZrO with ozone 2 Stage with inhibitor THF in case of performing ALDStep coverage
The precursor container was heated at 80 ℃. Using O 3 As co-reactant THF was used as inhibitor and ZrCp (NMe 2 ) 3 As a precursor. ZrO is prepared by ALD process 2 The film was deposited on a patterned wafer with about 25:1 aspect ratio holes. The fixed reactor pressure was maintained at about 1 torr. Step coverage was tested under the same deposition conditions with inhibitor on patterned wafers with about 20:1 aspect ratio holes in a temperature window of 200-400 ℃. Fig. 8 is an SEM image showing about 100% step coverage of the 20:1 aspect ratio holes with inhibitor THF.
Example 5: ALD with inhibitor addition
The ALD process is performed at 300 ℃. Using O 3 As co-oxidation reactant and Tetrahydrofuran (THF) as inhibitor. The reactor pressure was maintained at about 1 torr. The partial pressure of THF was 0.3 Torr. SiO (SiO) 2 The aspect ratio of the holes in the layer was 25:1 and the Critical Dimension (CD) was 100nm. The ALD process was repeated as follows: i) A THF stream of 5 seconds and a purge of 30 seconds; ii) 1 second precursor flow and 30 second purge; and iii) a 1 second co-reactant stream and a 30 second purge.
Example 6: in HfO 2 With thickness control of the inhibitor tetramethyl ethylenediamine (TMEDA) in the case of ALD
Use of tris (dimethylamino) cyclopentadienyl hafnium HfCp (NMe) 2 ) 3 ALD tests were performed with ozone as the co-reactant and TMEDA as the inhibitor. In the inhibited ALD, the reactor pressure was set at about 0.6 Torr. FIG. 9 is a continuous decrease in growth rate at 300℃with precursor addition time. Here, the precursor addition time is shown as the source lead time (sec).
Example 7: in HfO 2 With thickness control of the inhibitor dimethylethylenediamine (DMEDA) in the case of ALD
Use of tris (dimethylamino) cyclopentadienyl hafnium HfCp (NMe) 2 ) 3 ALD tests were performed with ozone as the co-reactant and DMEDA as the inhibitor. In the inhibited ALD, the reactor pressure was set at about 0.6 Torr. FIG. 10 is a comparison of GPC with or without inhibitor (DMEDA) at ALD saturation; which showsSimilar growth rates decrease with precursor addition time at 300 c are shown. Here, the precursor addition time is shown as the source lead time (sec).
Example 8: in the reaction of HfCp (NMe) with cyclopentadienyl-tris (dimethylamido) hafnium (IV) 2 ) 3 To HfO with ozone 2 Bottom-up gap filling with inhibitor tris (2-aminoethyl) amine in the case of ALD
ALD test using cyclopentadienyl-tris (dimethylamido) hafnium (IV) in which the container containing the precursor is heated to up to 80℃using O 3 As oxidation reactant and tris (2-aminoethyl) amine as inhibitor. The reactor pressure was fixed at about 1 torr. Figure 11 is a bottom-up growth in an 11:1 aspect ratio structure at 300 ℃. Gap filling is performed as the open CD decreases. Fig. 12 is a complete gap fill in a 25:1 aspect ratio patterned structure, showing a complete gap fill without seams in a 25:1 aspect ratio structure at 300 ℃.
It will be appreciated that numerous additional changes in the details, materials, steps and arrangement of parts, which have been herein described and illustrated to explain the nature of the invention, may be made by those skilled in the art within the principle and scope of the invention as expressed in the appended claims. Therefore, the present invention is not intended to be limited to the specific embodiments in the examples given above and/or in the drawings.
Although embodiments of the invention have been shown and described, modifications thereof can be made by one skilled in the art without departing from the spirit or teaching of this invention. The embodiments described herein are exemplary only and are not limiting. Many variations and modifications of the compositions and methods are possible and are within the scope of the invention. The scope of protection is therefore not limited to the embodiments described herein, but is only limited by the claims that follow, the scope of which shall include all equivalents of the subject matter of the claims.

Claims (20)

1. A deposition method for improving thickness control of a film deposited on High Aspect Ratio (HAR) holes in a substrate, the method comprising:
i) Exposing the substrate to the vapor of the inhibitor, the vapor of the precursor, and the vapor of the co-reactant, either sequentially or simultaneously; and
ii) depositing a film having a desired thickness control over the HAR holes by a vapor deposition process,
wherein the inhibitor contains O, N, S, P, B, C, F, cl, br or I.
2. The deposition method of claim 1, further comprising: the temperature of the substrate is maintained in the range from room temperature to 650 ℃.
3. The deposition method of claim 1, wherein the inhibitor is selected from one or more of the following:
a) Oxygen-based aliphatic and aromatic inhibitors including alcohols, diols, ethers, epoxides, aldehydes, ketones, carboxylic acids, enols, esters, anhydrides, phenols, substituted phenols;
b) Nitrogen-based aliphatic and aromatic inhibitors including amines, imines, imides, amides, azides, cyanate esters, nitriles, nitrates, nitrites, nitrogen-containing heterocyclic compounds;
c) Sulfur-based aliphatic and aromatic inhibitors including thiols, sulfides, disulfides, sulfoxides, sulfones, thiocyanates, isothiocyanates, thioesters;
d) Phosphorus-based aliphatic and aromatic inhibitors including phosphines, phosphonic acids, phosphodiesters;
e) Boron-based aliphatic and aromatic inhibitors including boric acid, boric acid esters, dihydrocarbyl boric acid esters; carbon-based aliphatic inhibitors including alkane, alkene, alkyne and benzene derivatives;
f) Organic molecule-containing halides and inorganic halides, which include I 2 The method comprises the steps of carrying out a first treatment on the surface of the Or alternatively
g)H 2 O vapor, H 2 Gas, CO gas, CS gas, and nitrogen oxides (NO x ) A gas;
h) And a) to g).
4. The deposition method of claim 1, wherein the inhibitor is in the form of a free radical of a vapor or gas of the inhibitor generated with or without a plasma in a temperature range from room temperature to about 650 ℃.
5. The deposition method of claim 1, wherein the inhibitor is selected from Tetrahydrofuran (THF), dimethoxyethane (DME), triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA), or tris (2-aminoethyl) amine.
6. The deposition method of claim 1, wherein the precursor is an organometallic precursor selected from the group consisting of alkylamino and cyclopentadienyl derivatives of transition metals and main group elements, the derivatives containing homoleptic or heteroleptic ligands selected from alkylamines, alkoxy, amidino or halides.
7. The deposition method of claim 6, wherein the transition metals and main group elements are selected from Hf, zr, nb, ti, lanthanoid, rare earth elements, al or Si.
8. The deposition method of claim 1, wherein the precursor is HfCp (NMe 2 ) 3 、ZrCp(NMe 2 ) 3 、Nb(=NtBu)Cp(NMe 2 ) 2 Or TiCp (OMe) 3
9. The deposition method of claim 1, wherein the step coverage of the film is ≡100%.
10. The deposition method of claim 9, wherein the step coverage is greater than a step coverage without the inhibitor.
11. The deposition method of claim 1, wherein the film is a gap filler of the HAR holes without seams.
12. The deposition method of claim 1, wherein the gap filler is formed by bottom-up deposition.
13. The deposition method of claim 1, wherein the HAR is in a range from 5:1 to 200:1.
14. The deposition method of claim 1, wherein the vapor deposition process is ALD, CVD, or a combination thereof.
15. The deposition method of claim 1 wherein the co-reactant is O 3 、O 2 、H 2 O、H 2 O 2 、D 2 O, alcohol and NH 3 、N 2 、N 2 H 2 、H 2 Radicals or mixtures thereof generated by plasma.
16. The deposition method of claim 1 wherein the co-reactant is O 3 Or O generated by plasma 3 And (3) free radicals.
17. The deposition method of claim 1, wherein the sequence of exposing the substrate to the inhibitor, the precursor, and the co-reactant comprises
a) Sequentially exposing to the inhibitor, the precursor and the co-reactant;
b) Sequentially exposing to the precursor, the inhibitor and the co-reactant;
c) Sequentially exposing to the precursor, the co-reactant and the inhibitor; or alternatively
d) Simultaneously exposed to the inhibitor and the precursor and then to the co-reactant,
wherein after each exposure, one or more of the excess inhibitor, excess precursor and excess co-reactant are purged and removed using a purge gas,
wherein the purge gas is selected from N 2 Inert gas, ar, kr, or combinations thereof.
18. The deposition method of any one of claims 1 to 17, wherein the growth rate of the film is reduced compared to the growth rate in the absence of the inhibitor.
19. The deposition method of any of claims 1 to 17, wherein the precursor, the inhibitor, or both are plasma activated or not plasma activated.
20. A method for improving ZrO deposited on holes in a substrate wherein the aspect ratio is from about 5:1 to about 200:1 2 、HfO 2 、Nb 2 O 5 Or TiO 2 A method of deposition of a film having controlled thickness, the method comprising:
i) Exposing the substrate to a vapor of an inhibitor selected from the group consisting of: tetrahydrofuran (THF), dimethoxyethane (DME) and Triethylamine (TEA), tetramethyl ethylenediamine (TMEDA), dimethyl ethylenediamine (DMEDA) and tris (2-aminoethyl) amine;
ii) exposing the substrate to a vapor of a precursor selected from the group consisting of: zrCp (NMe) 2 ) 3 、HfCp(NMe 2 ) 3 、Nb(=NtBu)Cp(NMe 2 ) 2 And TiCp (OMe) 3
iii) Exposing the substrate to co-reactant O 3 Is a vapor of (2); and
iv) repeating these steps i) to iii) until the ZrO deposited on the hole is formed by an ALD process at a temperature ranging from room temperature to 650 ℃ 2 、HfO 2 、Nb 2 O 5 Or TiO 2 The desired thickness control of the film is achieved,
wherein the ZrO 2 、HfO 2 、Nb 2 O 5 Or TiO 2 The step coverage of the film is more than or equal to 100 percent;
wherein the ZrO 2 、HfO 2 、Nb 2 O 5 Or TiO 2 The amount of Growth Per Cycle (GPC) of the film relative to the precursor decreases;
wherein the ZrO 2 、HfO 2 、Nb 2 O 5 Or TiO 2 The film is a gap filler without seams,
wherein after each exposure, N is used separately 2 The excess inhibitor, excess precursor and excess co-reactant are purged and removed.
CN202180077331.3A 2020-10-16 2021-10-13 Deposition method of high aspect ratio structures using inhibitor molecules Pending CN116508134A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/072,882 US20220119939A1 (en) 2020-10-16 2020-10-16 Method of improving step coverage using an inhibitor molecule for high aspect ratio structures
US17/072,882 2020-10-16
PCT/US2021/054655 WO2022081620A1 (en) 2020-10-16 2021-10-13 Deposition methods for high aspect ratio structures using an inhibitor molecule

Publications (1)

Publication Number Publication Date
CN116508134A true CN116508134A (en) 2023-07-28

Family

ID=81185039

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180077331.3A Pending CN116508134A (en) 2020-10-16 2021-10-13 Deposition method of high aspect ratio structures using inhibitor molecules

Country Status (7)

Country Link
US (1) US20220119939A1 (en)
EP (1) EP4229672A1 (en)
JP (1) JP2023545471A (en)
KR (1) KR20230118803A (en)
CN (1) CN116508134A (en)
TW (1) TW202223134A (en)
WO (1) WO2022081620A1 (en)

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160126101A1 (en) * 2014-10-29 2016-05-05 Carolyn Rae Ellinger Method for forming a variable thickness dielectric stack
US10174423B2 (en) * 2017-06-28 2019-01-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Niobium-containing film forming compositions and vapor deposition of Niobium-containing films
US11293098B2 (en) * 2018-07-11 2022-04-05 Lam Research Corporation Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
TW202046395A (en) * 2019-02-28 2020-12-16 日商東京威力科創股份有限公司 Substrate processing method and substrate processing apparatus

Also Published As

Publication number Publication date
EP4229672A1 (en) 2023-08-23
TW202223134A (en) 2022-06-16
KR20230118803A (en) 2023-08-14
US20220119939A1 (en) 2022-04-21
JP2023545471A (en) 2023-10-30
WO2022081620A1 (en) 2022-04-21

Similar Documents

Publication Publication Date Title
US11605535B2 (en) Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
JP2021503547A (en) Methods for ALD of metal oxides on metal surfaces
US20140242298A1 (en) Nickel bis diazabutadiene precursors, their synthesis, and their use for nickel containing films depositions
US9416443B2 (en) Method for the deposition of a ruthenium containing film using arene diazadiene ruthenium(0) precursors
US20090130414A1 (en) Preparation of A Metal-containing Film Via ALD or CVD Processes
JP6492178B2 (en) Zirconium-containing film forming composition for depositing zirconium-containing film
JP6730429B2 (en) Method for depositing conformal metal or metalloid silicon nitride film
TW201410690A (en) Organosilane precursors for ALD/CVD silicon-containing film applications
JP6681398B2 (en) Zirconium-containing film-forming composition for depositing zirconium-containing film
TW202300500A (en) Stable bis(alkyl-arene) transition metal complexes and methods of film deposition using the same
TWI784098B (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
US20160032455A1 (en) High through-put and low temperature ald copper deposition and integration
WO2014118751A1 (en) Manganese-containing compounds, their synthesis, and use in manganese-containing film deposition
TW202348607A (en) Activator, method for forming thin film using the same, semiconductor substrate and semiconductor device prepared therefrom
US20220205099A1 (en) Group iv element containing precursors and deposition of group iv element containing films
US20220119939A1 (en) Method of improving step coverage using an inhibitor molecule for high aspect ratio structures
JP7426538B2 (en) Heteroalkylcyclopentadienyl indium-containing precursors and methods of use thereof for the deposition of indium-containing layers
WO2022243274A1 (en) Selective deposition of ruthenium film by utilizing ru(i) precursors
TW202016342A (en) Deposition and etch processes of chromium-containing thin films for semiconductor manufacturing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination