CN116090372B - 一种基于fpga的芯片仿真加速方法和系统 - Google Patents

一种基于fpga的芯片仿真加速方法和系统 Download PDF

Info

Publication number
CN116090372B
CN116090372B CN202310292028.6A CN202310292028A CN116090372B CN 116090372 B CN116090372 B CN 116090372B CN 202310292028 A CN202310292028 A CN 202310292028A CN 116090372 B CN116090372 B CN 116090372B
Authority
CN
China
Prior art keywords
accelerator
simulation
debugging
module
rtl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202310292028.6A
Other languages
English (en)
Other versions
CN116090372A (zh
Inventor
冯元辉
李立
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hunan Panlian Xin'an Information Technology Co ltd
Original Assignee
Hunan Panlian Xin'an Information Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hunan Panlian Xin'an Information Technology Co ltd filed Critical Hunan Panlian Xin'an Information Technology Co ltd
Priority to CN202310292028.6A priority Critical patent/CN116090372B/zh
Publication of CN116090372A publication Critical patent/CN116090372A/zh
Application granted granted Critical
Publication of CN116090372B publication Critical patent/CN116090372B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)

Abstract

本发明公开了一种基于FPGA的芯片仿真加速方法和系统,包括主机利用预设的综合工具将用户设计的逻辑电路综合为RTL级表示文件;通过编写处理逻辑,将RTL级表示文件映射为带有特定功能的调试和控制用途的模块,并存储为预设格式的表示文件;获取用来辅助调试的电路模块,结合工具链将预设格式的表示文件生成位流,并下载到仿真加速器的FPGA系统中;在主机中加载加速器控制程序,主机的加速器控制程序通过物理通信方式和仿真加速器交换信号完成对用户设计逻辑的加速仿真。可对任意的用户RTL设计进行仿真,对运行在FPGA中的用户设计进行调试和控制,以及可对调试和控制的多项过程进行加速,调试以及控制灵活且快速高效。

Description

一种基于FPGA的芯片仿真加速方法和系统
技术领域
本发明属于电子设计自动化技术领域,特别是涉及一种基于FPGA的芯片仿真加速方法和系统。
背景技术
随着片上可编程技术的发展,出现了多种基于FPGA原型系统的验证和仿真技术,这些平台以高于软件模拟几个数量级的速度为规模级以上的设计提供硅前验证服务。
然而,目前的FPGA原型系统只能提供非常有限的调试能力,用户难以快速检查设计中的问题和执行各种测试。
另外一些仿真加速器平台无法提供对用户设计的完整控制,不能提供调试和控制操作,或只能提供完全领域和场景特定的系统。因此亟需一种可快速且灵活进行调试和测试的仿真加速方法。
发明内容
针对以上技术问题,本发明提供一种基于FPGA的芯片仿真加速方法和系统。
本发明解决其技术问题采用的技术方案是:
一种基于FPGA的芯片仿真加速方法,方法包括以下步骤:
S100:主机利用预设的综合工具将用户设计的逻辑电路综合为RTL级表示文件;
S200:通过编写处理逻辑,将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,并存储为预设格式的表示文件;
S300:获取用来辅助调试的电路模块,结合工具链将S200生成的表示文件生成位流,并将位流下载到仿真加速器的FPGA系统中;
S400:在主机中加载加速器控制程序,主机的加速器控制程序通过物理通信方式和仿真加速器交换信号完成对用户设计逻辑的加速仿真。
优选地,预设的综合工具包括FPGA厂商综合工具、开源综合工具、通用综合工具和特定目标的综合工具。
优选地,预设格式的表示文件包括Verilog表示、EDIF表示、BLIF表示、AIG表示、netlist表示和二进制表示。
优选地,辅助调试的电路模块包括片内主控模块、片间通信模块、时钟模块、断言支持模块、系统调用支持模块、探针支持模块、AXI交互接口、DMA交互接口和其他外设通信接口。
优选地,S200中将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,包括:
S210:将RTL级表示文件嵌入到仿真加速器提供的主框架电路中;
S220:将嵌入到主框架电路中的RTL级表示文件内部的互联有选择性的断开,在断开的位置插入用于调试和控制用途的电路模块,将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块;
S230:将嵌入到主框架电路中的RTL级表示文件替换为带有特定功能的调试和控制用途的电路模块。
优选地,带有特定功能的调试和控制用途的模块中的特定功能包括:控制时钟、执行指令、存储波形、执行断言和修改数据。
优选地,S400中在主机中加载加速器控制程序,包括:
当用户设计的逻辑电路中包括测试驱动代码时,将测试驱动代码通过软件仿真工具编译为运行在主机上的可执行或可解释内容,作为加速器控制程序;
当用户设计的逻辑电路中不包括测试驱动代码时,则使用预设的加速器控制程序作为加速器控制程序,其中,预设的加速器控制程序包括与仿真加速器配套的软件仿真程序、仿真加速器控制台程序、使用仿真加速器API编写的其他用户程序。
优选地,S400中主机的加速器控制程序通过物理通信方式和仿真加速器交换信号,其中,物理通信方法包括Ethernet、PCIe、GTH、同轴电缆、光纤和GPIO,通信过程中所用到的通信协议包括RPC、Socket、中断、DMA、AXI、I2C和SPI。
优选地,信号包括控制指令和数据指令,控制指令用于设置仿真加速器的执行状态和执行指令,数据指令用于给仿真加速器中运行的被测逻辑电路设置输入激励或读取输出数据。
一种基于FPGA的芯片仿真加速系统,包括主机和仿真加速器,主机利用预设的综合工具将用户设计的逻辑电路综合为RTL级表示文件;通过编写处理逻辑,将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,并存储为预设格式的表示文件;获取用来辅助调试的电路模块,结合工具链将S200生成的表示文件生成位流,并将位流下载到仿真加速器的FPGA系统中;在主机中加载加速器控制程序,主机的加速器控制程序通过物理通信方式和仿真加速器交换信号完成对用户设计逻辑的加速仿真。
上述一种基于FPGA的芯片仿真加速方法和系统,可以对任意的用户RTL设计进行仿真,并且支持对运行在FPGA中的用户的设计进行调试和控制,以及可对调试和控制的多项过程进行加速,调试以及控制灵活且快速高效。
附图说明
图1为本发明一实施例中一种基于FPGA的芯片仿真加速方法的流程图;
图2为本发明一实施例中一种基于FPGA的芯片仿真加速系统的结构框图。
具体实施方式
为了使本技术领域的人员更好地理解本发明的技术方案,下面结合附图对本发明作进一步的详细说明。
在一个实施例中,如图1所示,一种基于FPGA的芯片仿真加速方法,方法包括以下步骤:
S100:主机利用预设的综合工具将用户设计的逻辑电路综合为RTL级表示文件。
具体地,还可以将用户设计的逻辑电路综合为门级表示文件,用户设计的逻辑电路包括DUT部分,也可以包括TB部分,DUT(即Device Under Test),指的是描述即将生产为硬件实物的被测逻辑电路;TB(即Test Bench),指的是为了测试DUT所编写的测试驱动代码。其中,RTL(Register Transfer Level)表示寄存器转换级电路。
在一个实施例中,预设的综合工具包括FPGA厂商综合工具、开源综合工具、通用综合工具和特定目标的综合工具。
S200:通过编写处理逻辑,将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,并存储为预设格式的表示文件。
在一个实施例中,预设格式的表示文件包括Verilog表示、EDIF表示、BLIF表示、AIG表示、netlist表示和二进制表示。
具体地,根据工具链最终设计以及根据用户选择,将会以多种格式存储,包括但不限于:私有格式、Structure Verilog、EDIF、BLIF、AIG、BitStream等。
在一个实施例中,S200中将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,包括:
S210:将RTL级表示文件嵌入到仿真加速器提供的主框架电路中;
S220:将嵌入到主框架电路中的RTL级表示文件内部的互联有选择性的断开,在断开的位置插入用于调试和控制用途的电路模块,将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块;
S230:将嵌入到主框架电路中的RTL级表示文件替换为带有特定功能的调试和控制用途的电路模块。
在一个实施例中,带有特定功能的调试和控制用途的模块中的特定功能包括:控制时钟、执行指令、存储波形、执行断言和修改数据。
具体地,根据用户的选择,映射后的电路将提供信号修改、信号固化、波形存储等功能。
S300:获取用来辅助调试的电路模块,结合工具链将S200生成的表示文件生成位流,并将位流下载到仿真加速器的FPGA系统中。
具体地,将S200生成的表示文件,连同额外的用来辅助调试的电路模块,使用FPGA厂商提供的或第三方工具链生成bitstream。将生成的一个或多个bitstream下载到FPGA系统中。
在一个实施例中,辅助调试的电路模块包括片内主控模块、片间通信模块、时钟模块、断言支持模块、系统调用支持模块、探针支持模块、AXI交互接口、DMA交互接口和其他外设通信接口。
具体地,在这个维度上辅助调试的电路模块分可选和固有两类,可选的包括:断言支持模块、波形存储模块、系统调用模块、探针模块、外设桥等;固有的包括主控模块、时钟模块、通信模块等。
S400:在主机中加载加速器控制程序,主机的加速器控制程序通过物理通信方式和仿真加速器交换信号完成对用户设计逻辑的加速仿真。
在一个实施例中,S400中主机的加速器控制程序通过物理通信方式和仿真加速器交换信号,其中,物理通信方法包括Ethernet、PCIe、GTH、同轴电缆、光纤和GPIO,通信过程中所用到的通信协议包括RPC、Socket、中断、DMA、AXI、I2C和SPI。
在一个实施例中,信号包括控制指令和数据指令,控制指令用于设置仿真加速器的执行状态和执行指令,数据指令用于给仿真加速器中运行的逻辑描述代码设置输入激励或读取输出数据。
在一个实施例中,S400中在主机中加载加速器控制程序,包括:
当用户设计的逻辑电路中包括测试驱动代码时,将测试驱动代码通过软件仿真工具编译为运行在主机上的可执行或可解释内容,作为加速器控制程序;
当用户设计的逻辑电路中不包括测试驱动代码时,则使用预设的加速器控制程序作为加速器控制程序,其中,预设的加速器控制程序包括与仿真加速器配套的软件仿真程序、仿真加速器控制台程序、使用仿真加速器API编写的其他用户程序。
具体地,将用户TB部分通过软件仿真工具编译为运行在通用或专用计算设备上的可执行或可解释内容,或者使用特定的加速器控制程序,以达到向加速器系统传输信号的目的。主机和仿真加速器间的物理通信方式包括但不限于Ethernet、PCIe、GTH、同轴电缆、光纤、GPIO等,通信协议包括但不限于RPC、Socket、中断、DMA、AXI、I2C、SPI等。
进一步地,主机包括PC机系统、单个或多个服务器、特定或通用硬件设备等具有与加速器通信能力的硬件或软件系统。
具体地,通过操作S400中的加速器控制程序中各项调试、跟踪、检查、断言、快照等功能实现等效于软件仿真器的功能,同时获得硬件的加速。
在一个详细的实施例中,一种基于FPGA的芯片仿真加速方法,包括步骤1:利用综合工具将用户的设计(包括DUT部分,也可以包括TB部分)综合为RTL级表示或门级表示。综合工具包括但不限于:FPGA厂商综合工具、开源综合工具、通用综合工具和特定目标的综合工具。
步骤2:通过编写处理逻辑,将步骤1生成的表示文件进行技术映射,技术映射的方法包括但不限于:在步骤1生成的表示文件前后插入调试和控制用途的IP、将步骤1生成的表示文件映射为特定功能的调试和控制用途的IP,并存储为某种表示,包括但不限于:Verilog表示、EDIF表示、BLIF表示、AIG表示、netlist表示、二进制表示等,或者直接将步骤1生成的表示文件替换为特定功能的调试和控制用途的IP。
步骤3:将步骤2生成的表示文件,连同额外的用来辅助调试的IP,使用FPGA厂商提供的或第三方工具链生成bitstream。辅助调试的IP包括但不限于片内主控模块、片间通信模块、时钟模块、断言支持模块、系统调用支持模块、探针支持模块、AXI交互接口、DMA交互接口、其他外设通信接口等;将生成的一个或多个bitstream下载到FPGA系统中。
步骤4:将用户TB部分通过软件仿真工具编译为运行在通用或专用计算设备上的可执行或可解释内容,或者使用特定的加速器控制程序,以达到向加速器系统交换信号的目的。两者设备的物理通信方式包括但不限于Ethernet、PCIe、GTH、同轴电缆、光纤、GPIO等,通信协议包括但不限于RPC、Socket、中断、DMA、AXI、I2C、SPI等。
步骤5:通过操作运行步骤4的程序中各项调试、跟踪、检查、断言、快照等功能实现等效于软件仿真器的功能,同时获得硬件的加速。
上述一种基于FPGA的芯片仿真加速方法,可以对任意的RTL设计进行仿真,支持对运行在FPGA中的用户设计进行暂停、修改、快照等操作,可以对用户DUT仿真、调试、跟踪、测试等多项过程进行加速,调试以及控制灵活且快速高效。
在一个实施例中,一种基于FPGA的芯片仿真加速系统,如图2所示,包括主机和仿真加速器,主机利用预设的综合工具将用户设计的逻辑电路综合为RTL级表示文件;通过编写处理逻辑,将RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,并存储为预设格式的表示文件;获取用来辅助调试的电路模块,结合工具链将S200生成的表示文件生成位流,并将位流下载到仿真加速器的FPGA系统中;在主机中加载加速器控制程序,主机的加速器控制程序通过物理通信方式和仿真加速器交换信号完成对用户设计逻辑的加速仿真。
进一步地,在主机中运行的软件仿真程序通过调用API发送激励或指令;软件协议层将请求打包为二进制数据再交给硬件接口;硬件接口通过直连、单层或多层网络路由将数据包投递到目标加速器单元;目标加速器单元的硬件系统接收到数据包后转交给软件协议层进行解包;将解包后的激励或指令传递给主控程序;主控程序依据内容执行相应动作,或将数据透传到被加速的用户逻辑中;用户逻辑返回计算结果到主控程序;计算或执行结果通过软件协议层的打包解包以及硬件链路层的路由和传输到达软件仿真应用程序。
关于一种基于FPGA的芯片仿真加速系统的具体限定可以参见上文中对于一种基于FPGA的芯片仿真加速系统的限定,在此不再赘述。
以上对本发明所提供的一种基于FPGA的芯片仿真加速方法和系统进行了详细介绍。本文中应用了具体个例对本发明的原理及实施方式进行了阐述,以上实施例的说明只是用于帮助理解本发明的核心思想。应当指出,对于本技术领域的普通技术人员来说,在不脱离本发明原理的前提下,还可以对本发明进行若干改进和修饰,这些改进和修饰也落入本发明权利要求的保护范围内。

Claims (8)

1.一种基于FPGA的芯片仿真加速方法,其特征在于,所述方法包括以下步骤:
S100:主机利用预设的综合工具将用户设计的逻辑电路综合为RTL级表示文件;
S200:通过编写处理逻辑,将所述RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,并存储为预设格式的表示文件;其中,所述带有特定功能的调试和控制用途的模块中的特定功能包括:控制时钟、执行指令、存储波形、执行断言和修改数据;
S300:获取用来辅助调试的电路模块,结合工具链将S200生成的表示文件生成位流,并将所述位流下载到仿真加速器的FPGA系统中;
S400:在所述主机中加载加速器控制程序,所述主机的加速器控制程序通过物理通信方式和所述仿真加速器交换信号完成对用户设计逻辑的加速仿真;
S200中将所述RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,包括:
S210:将所述RTL级表示文件嵌入到所述仿真加速器提供的主框架电路中;
S220:将嵌入到所述主框架电路中的所述RTL级表示文件内部的互联有选择性的断开,在断开的位置插入用于调试和控制用途的电路模块,将所述RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块;
S230:将嵌入到所述主框架电路中的所述RTL级表示文件替换为带有特定功能的调试和控制用途的电路模块。
2.根据权利要求1所述的方法,其特征在于,所述预设的综合工具包括FPGA厂商综合工具、开源综合工具、通用综合工具和特定目标的综合工具。
3.根据权利要求1所述的方法,其特征在于,所述预设格式的表示文件包括Verilog表示、EDIF表示、BLIF表示、AIG表示、netlist表示和二进制表示。
4.根据权利要求1所述的方法,其特征在于,所述辅助调试的电路模块包括片内主控模块、片间通信模块、时钟模块、断言支持模块、系统调用支持模块、探针支持模块、AXI交互接口、DMA交互接口和其他外设通信接口。
5.根据权利要求1所述的方法,其特征在于,S400中在所述主机中加载加速器控制程序,包括:
当所述用户设计的逻辑电路中包括测试驱动代码时,将所述测试驱动代码通过软件仿真工具编译为运行在主机上的可执行或可解释内容,作为加速器控制程序;
当所述用户设计的逻辑电路中不包括测试驱动代码时,则使用预设的加速器控制程序作为加速器控制程序,其中,所述预设的加速器控制程序包括与仿真加速器配套的软件仿真程序、仿真加速器控制台程序、使用仿真加速器API编写的其他用户程序。
6.根据权利要求1所述的方法,其特征在于,S400中所述主机的加速器控制程序通过物理通信方式和所述仿真加速器交换信号,其中,所述物理通信方式包括Ethernet、PCIe、GTH、同轴电缆、光纤和GPIO,通信过程中所用到的通信协议包括RPC、Socket、中断、DMA、AXI、I2C和SPI。
7.根据权利要求6所述的方法,其特征在于,所述信号包括控制指令和数据指令,所述控制指令用于设置所述仿真加速器的执行状态和执行指令,所述数据指令用于给所述仿真加速器中运行的被测逻辑电路设置输入激励或读取输出数据。
8.一种基于FPGA的芯片仿真加速系统,其特征在于,包括主机和仿真加速器,所述主机利用预设的综合工具将用户设计的逻辑电路综合为RTL级表示文件;通过编写处理逻辑,将所述RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,并存储为预设格式的表示文件;获取用来辅助调试的电路模块,结合工具链将S200生成的表示文件生成位流,并将所述位流下载到仿真加速器的FPGA系统中;在所述主机中加载加速器控制程序,所述主机的加速器控制程序通过物理通信方式和所述仿真加速器交换信号完成对用户设计逻辑的加速仿真;其中,所述带有特定功能的调试和控制用途的模块中的特定功能包括:控制时钟、执行指令、存储波形、执行断言和修改数据;
将所述RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块,包括:
将所述RTL级表示文件嵌入到所述仿真加速器提供的主框架电路中;
将嵌入到所述主框架电路中的所述RTL级表示文件内部的互联有选择性的断开,在断开的位置插入用于调试和控制用途的电路模块,将所述RTL级表示文件映射为带有特定功能的调试和控制用途的电路模块;
将嵌入到所述主框架电路中的所述RTL级表示文件替换为带有特定功能的调试和控制用途的电路模块。
CN202310292028.6A 2023-03-23 2023-03-23 一种基于fpga的芯片仿真加速方法和系统 Active CN116090372B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310292028.6A CN116090372B (zh) 2023-03-23 2023-03-23 一种基于fpga的芯片仿真加速方法和系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202310292028.6A CN116090372B (zh) 2023-03-23 2023-03-23 一种基于fpga的芯片仿真加速方法和系统

Publications (2)

Publication Number Publication Date
CN116090372A CN116090372A (zh) 2023-05-09
CN116090372B true CN116090372B (zh) 2023-06-27

Family

ID=86187148

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310292028.6A Active CN116090372B (zh) 2023-03-23 2023-03-23 一种基于fpga的芯片仿真加速方法和系统

Country Status (1)

Country Link
CN (1) CN116090372B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106155855A (zh) * 2015-04-07 2016-11-23 龙芯中科技术有限公司 对微处理器进行功能验证的方法及服务器
US10180850B1 (en) * 2015-11-03 2019-01-15 Xilinx, Inc. Emulating applications that use hardware acceleration
CN114297962A (zh) * 2021-12-08 2022-04-08 北京轩宇信息技术有限公司 一种自适应接口fpga软硬件协同仿真加速系统

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8413106B1 (en) * 2004-10-28 2013-04-02 Synopsys, Inc. Transaction level model synthesis
US8781808B2 (en) * 2005-10-10 2014-07-15 Sei Yang Yang Prediction-based distributed parallel simulation method
CN102411535B (zh) * 2011-08-02 2014-04-16 上海交通大学 导航SoC芯片仿真、验证和调试平台
US8873209B2 (en) * 2011-12-19 2014-10-28 Arm Limited Integrated circuit and method of providing electrostatic discharge protection within such an integrated circuit
US9684743B2 (en) * 2015-06-19 2017-06-20 Synopsys, Inc. Isolated debugging in an FPGA based emulation environment
CN106445640B (zh) * 2016-10-20 2019-06-18 南京南瑞继保电气有限公司 一种嵌入式虚拟装置运行方法和系统
US10192013B1 (en) * 2016-12-12 2019-01-29 Cadence Design Systems, Inc. Test logic at register transfer level in an integrated circuit design
US10255400B1 (en) * 2017-03-30 2019-04-09 Xilinx, Inc. Debugging system and method
WO2019167081A1 (en) * 2018-03-02 2019-09-06 Apasangi Akash System and method for emulation and simulation of rtl (design under test) using fpga
CN110750946B (zh) * 2018-07-19 2023-08-18 澜至电子科技(成都)有限公司 集成电路网表仿真加速方法及其系统
CN112036104A (zh) * 2020-09-09 2020-12-04 湖南泛联新安信息科技有限公司 一种基于rtl网表的有限状态机识别及提取方法
US20230043751A1 (en) * 2021-07-20 2023-02-09 Synopsys, Inc. Unified power format annotated rtl image recognition to accelerate low power verification convergence

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106155855A (zh) * 2015-04-07 2016-11-23 龙芯中科技术有限公司 对微处理器进行功能验证的方法及服务器
US10180850B1 (en) * 2015-11-03 2019-01-15 Xilinx, Inc. Emulating applications that use hardware acceleration
CN114297962A (zh) * 2021-12-08 2022-04-08 北京轩宇信息技术有限公司 一种自适应接口fpga软硬件协同仿真加速系统

Also Published As

Publication number Publication date
CN116090372A (zh) 2023-05-09

Similar Documents

Publication Publication Date Title
US7124376B2 (en) Design tool for systems-on-a-chip
CN102508753B (zh) Ip核验证系统
US20020183956A1 (en) Testing compliance of a device with a bus protocol
US20110307847A1 (en) Hybrid system combining TLM simulators and HW accelerators
US10180850B1 (en) Emulating applications that use hardware acceleration
US20040237062A1 (en) Method and apparatus for emulating a hardware/software system using a computer
KR20020069631A (ko) 고수준 프로그래밍 언어를 이용한 회로내 에뮬레이션을위한 장치 및 방법
CN115146568A (zh) 一种基于uvm的芯片验证系统及验证方法
US10664563B2 (en) Concurrent testbench and software driven verification
US20050144436A1 (en) Multitasking system level platform for HW/SW co-verification
Wagner et al. Strategies for the integration of hardware and software IP components in embedded systems-on-chip
US20100274550A1 (en) Integrated development structure having virtual inputs/outputs for embedded hardware/software
CN116090372B (zh) 一种基于fpga的芯片仿真加速方法和系统
Connell et al. Early hardware/software integration using SystemC 2.0
US6868545B1 (en) Method for re-using system-on-chip verification software in an operating system
Fummi et al. ISS-centric modular HW/SW co-simulation
CN107526585B (zh) 基于Scala的FPGA开发平台及其调试、测试方法
Willems et al. Virtual prototypes for software-dominated communication system designs
US20230267253A1 (en) Automated synthesis of virtual system-on-chip environments
JP2004310568A (ja) シミュレータ装置、シミュレーション方法および性能解析方法
Caba et al. Rapid prototyping and verification of hardware modules generated using hls
CN113673106B (zh) 一种fpga内核可编程仿真器
Kirchhof et al. Simulation of model execution for embedded systems
Singh et al. A pragmatic approach leveraging portable stimulus from subsystem to SoC level and SoC emulation
Karmitsa Pre-validation of SoC via hardware and software co-simulation

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant