CN115758976A - Method for comparing device differences in PDK, electronic device, and computer-readable medium - Google Patents

Method for comparing device differences in PDK, electronic device, and computer-readable medium Download PDF

Info

Publication number
CN115758976A
CN115758976A CN202211458681.7A CN202211458681A CN115758976A CN 115758976 A CN115758976 A CN 115758976A CN 202211458681 A CN202211458681 A CN 202211458681A CN 115758976 A CN115758976 A CN 115758976A
Authority
CN
China
Prior art keywords
pdk
library
compared
device information
comparison method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211458681.7A
Other languages
Chinese (zh)
Inventor
周光义
朱能勇
牛欢欢
郭春晖
陶涛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Huada Jiutian Technology Co ltd
Original Assignee
Shenzhen Huada Jiutian Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Huada Jiutian Technology Co ltd filed Critical Shenzhen Huada Jiutian Technology Co ltd
Priority to CN202211458681.7A priority Critical patent/CN115758976A/en
Publication of CN115758976A publication Critical patent/CN115758976A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Stored Programmes (AREA)

Abstract

A method, electronic device, and computer readable medium for comparing device differences in PDK are disclosed. The comparison method comprises the following steps: selecting an unselected parameter combination from the plurality of parameter combinations; respectively executing different installation scripts based on the selected parameter combinations to respectively generate a PDK library and a PDK reference library to be compared; respectively extracting device information contained in a PDK library to be compared and a PDK reference library; and comparing the device information contained in the PDK library to be compared with the device information contained in the PDK reference library to obtain a difference report between the two. The method converts the comparison of the device information into the comparison of the directory and the file under the appointed directory, and realizes the comparison by a computer program, thereby realizing the high-efficiency comparison.

Description

Method for comparing device differences in PDK, electronic device, and computer-readable medium
Technical Field
The invention relates to the field of chip design, in particular to a method for comparing device differences in PDK, electronic equipment and a computer readable medium.
Background
The Process Design Kit (PDK) is a set of documentation that describes the details of semiconductor processes and is a bridge between IC Design companies, foundry and Electronic Design Automation (EDA) companies for information communication. The PDK comprises an installation script of the PDK library, and the PDK library under different process parameters can be installed under a specified directory according to needs. As the development of PDK is iterated, the number and types of devices included in the PDK library are also changing. For a set of complete PDK libraries to be issued, comparison and verification are required according to the number and the types of devices in the referenced PDK libraries to ensure that a set of complete PDK libraries meeting the requirements of customers are finally sent to the customers. It is therefore necessary to form a complete set of solutions for automatically comparing the device differences between the PDK library to be published and the PDK library referenced.
Disclosure of Invention
In view of the above, embodiments of the present invention provide a method for comparing device differences in PDK, an electronic device, and a computer-readable medium.
According to a first aspect of the present invention, there is provided a method for comparing device differences in a PDK library, comprising:
selecting an unselected parameter combination from the plurality of parameter combinations;
respectively executing different installation scripts based on the selected parameter combinations to respectively generate a PDK library and a PDK reference library to be compared;
respectively extracting device information contained in the PDK library to be compared and the PDK reference library; and
and comparing the device information contained in the PDK library to be compared with the device information contained in the PDK reference library to obtain a difference report between the two.
Optionally, the device information includes a device type and a device number, and extracting included device information from the PDK library to be compared includes:
reading all device types from the specified file;
and summarizing the number of directory entries recorded in the text file corresponding to each device type as the number of devices in the device type.
Optionally, the comparison method further comprises: and after comparing the device information contained in the PDK library to be compared with the device information contained in the PDK reference library to obtain a difference report between the two device information, skipping to the step of selecting one unselected parameter combination from the multiple parameter combinations to continue execution.
Optionally, an unselected parameter combination is selected from the plurality of parameter combinations according to a user operation.
Optionally, the method further comprises: a graphical interactive interface for entering relevant information is provided to the user.
Optionally, the difference report is displayed on the graphical interactive interface according to parameter combination, device type and hierarchical relationship of devices.
Optionally, a user selection of whether to compare the PDK library to be compared with the PDK reference library is received from the graphical interactive interface, if not, a step of selecting an unselected parameter combination from the multiple parameter combinations to compare the device information included in the PDK library to be compared with the device information included in the PDK reference library to obtain a difference report between the two is performed, and if not, an installation script is executed based on the selected parameter combination to generate the PDK library to be compared, and device information is acquired from the PDK library to be compared and displayed on the graphical interactive interface.
Optionally, the specified parameter combination, device type and/or whether there is a difference under the device are displayed on the graphical interactive interface through different colors.
According to a second aspect of the embodiments of the present invention, there is provided an electronic device, including a memory and a processor, the memory further storing computer instructions executable by the processor, the computer instructions, when executed, implementing any one of the comparison methods described above.
According to a third aspect of embodiments of the present invention, there is provided a computer readable medium storing computer instructions executable by an electronic device, the computer instructions, when executed, implementing any of the comparison methods described above.
In order to test whether device information in the PDK meets requirements or not, the PDK library in the PDK and the PDK library in the reference PDK are respectively generated under an appointed directory, then device information contained in the PDK and the PDK library in the reference PDK are obtained through directory checking and file reading and are compared, the method converts comparison of the device information into comparison of the directory and the file under the appointed directory, and the comparison is realized through a computer program, so that the comparison efficiency is high, and research personnel can rapidly complete adjustment of the PDK or the PDK library based on an output difference report.
Drawings
The above and other objects, features and advantages of the present invention will become more apparent by describing embodiments of the present invention with reference to the following drawings, in which:
FIG. 1 is a diagram of the entities involved in chip design and fabrication;
FIG. 2 is a flow chart of a method of comparing device differences in PDK according to an embodiment of the present invention;
FIG. 3 is an exemplary diagram of a graphical interactive interface of an embodiment of the present invention;
FIG. 4 is a flowchart of a method for comparing device differences in PDKs corresponding to the graphical interface of FIG. 3, in accordance with an embodiment of the present invention;
FIG. 5 is an exemplary diagram of displaying the difference information output by FIG. 4 via a graphical interactive interface;
6A-6D are exemplary diagrams of storage directories of PDK libraries to be compared under the linux system;
FIG. 7 is a schematic block diagram of an electronic device for implementing various embodiments of the present invention.
Detailed Description
The invention will be described in more detail below with reference to the accompanying drawings. Like elements in the various figures are denoted by like reference numerals. For purposes of clarity, the various features in the drawings are not necessarily drawn to scale. In addition, certain well known components may not be shown.
Before describing various embodiments of the present invention, the background related to chip design and fabrication is described.
Chip design and fabrication involves a number of entities. As shown in fig. 1, a device manufacturer 102 designs a device (e.g., a lithography machine) for fabricating electronic circuits on semiconductor wafers. In general, device manufacturer 102 may include an application materials company. Application materials companies may make material changes to change how device manufacturers 104 manufacture semiconductor devices. These changes may include any changes to various physical aspects of the semiconductor device, such as the dimensions of the source/drain contacts, the type of isolation between transistors, the gate oxide material, the work function metal, the source/drain region doping, the contact material, the liner in the contact material. Note that while application materials companies can test for material changes, other entities (e.g., device manufacturers) and software/hardware tools (e.g., EDAs) are required to perform corresponding operations to understand the impact of these materials, and can only themselves verify the consequences of the changes for individual features or small devices on a very small scale, with device manufacturer 102 having no software/hardware tools to evaluate the consequences at the system level after the material changes.
Next, device manufacturers 104 (e.g., foundry) that purchase equipment from equipment manufacturers 102 design simple structures that will be used to design the entire semiconductor system, e.g., which may include the circuit design and layout of semiconductor devices such as transistors, diodes, capacitors, etc. The device manufacturer 104 will then test the electrical characteristics of each structure. For example, device manufacturers 104 typically test characteristic parameters such as the resistance of vias, the on-current of transistors, and other smaller scale measurements for individual semiconductor devices. Typically, these tests may include hardware tests and Technical Computer Aided Design (TCAD) software tests, which may be used to automate and model the operation of individual semiconductor devices. The TCAD tool can model the behavior of individual semiconductor devices based on the fundamental physical principles of semiconductor layout.
The standard circuit is then constructed according to the simple structure produced by the device manufacturer 104. This process may be performed by the device manufacturer 104 or the IC design entity 106, which may also cooperate to accomplish this. For example, when combining multiple simple structures generated by the device manufacturer 104 to form a larger circuit, other aspects of circuit performance may be affected based on the connections between these simple structures, so that standard circuits may be designed in EDA software by the IC design entity 106 and tested at the circuit level, and when passing the test, then prepared by the device manufacturer 104.
In this process, the most time consuming task is generating a complete PDK, which is typically done by the device manufacturer 104 and the IC design entity 106, either individually or collectively. The PDK may include a Device Model (Device Model), a Component Description Format (CDF), a Parameterized Cell (Pcell), a Technology File (Technology File), a physical validation rules File, and the like. With PDK, it can only be guaranteed that the standard circuits created in EDA software by the IC design entity 106 can be prepared by the device manufacturer 104 with a predetermined yield.
Finally, the system design entity 108 may use the PDK to design and run large circuitry. The system design entity 108 may include a waferless design company that designs circuit based systems for fabrication at a fabrication entity. Additionally, an Integrated Device Manufacturer (IDM) may include a semiconductor company that designs, manufactures, and sells integrated circuit products. Any of these system design entities 108 may use various design tools to design block level designs, including processors, memory blocks, multiply-accumulators (MACs), digital Signal Processors (DSPs), and other design blocks. These design blocks may be tested to determine if performance, power, area, and/or cost requirements (PPAC) have been met. The system design entity 108 may also design a complete SoC design that integrates multiple design blocks into a single system on chip, and may also test the complete SoC design to meet the requirements. In some cases, a complete SoC may also be tested when running software algorithms on a manufactured chip.
It should be noted that the process flow shown in fig. 1 may require different entities to iterate over time to observe the impact of a new fabrication process or design.
FIG. 2 is a flow chart of a method for comparing device differences in a PDK library according to an embodiment of the present invention. The method specifically comprises the following steps.
In step S201, an unselected parameter combination is selected from a plurality of parameter combinations
In step S202, different installation scripts are respectively executed based on the selected parameter combinations to respectively generate a PDK library and a PDK reference library to be compared.
In step S203, device information is extracted from the PDK library and the PDK reference library, respectively.
In step S204, the device information contained in the PDK library to be compared is compared with the device information contained in the PDK reference library to obtain a report of the difference between the two.
As described in the background art, the PDK designs an installation script of the PDK library, and can install the PDK library under different process parameters into the designated directory as required, so in this embodiment, a plurality of process parameters correspond to a plurality of parameter combinations of the installation script, one parameter combination of the plurality of parameter combinations can be selected as required, and then the first installation script and the second installation script are respectively executed based on the selected parameter combination, the first installation script is from the PDK to which the PDK library to be compared belongs, the second installation script is from the PDK serving as a reference, that is, the PDK library in the PDK serving as a reference is used as a PDK reference library, and then device information included in the PDK library to be compared and the reference PDK library are compared, and a difference report between the PDK library and the reference PDK library is obtained. Through the difference report, research personnel can more accurately customize a PDK library meeting customer requirements. Here the devices may include the above-referenced simple structures fabricated on a wafer by the device manufacturer 104 for fabricating semiconductor devices such as transistors, diodes, capacitors, etc., as well as the semiconductor devices themselves, such as transistors, diodes, capacitors, etc., designed by the chip design entity 106 and fabricated by the device manufacturer 104. The device information refers to information such as the type, number, and attribute of the above-mentioned devices.
Further, referring to fig. 1, after step S204, it can jump to step S201 to reselect a non-selected parameter combination, and continue to execute S202-S204. That is, all PDK libraries contained in the PDKs to be compared are compared to the corresponding PDK reference library in the PDKs as a reference to obtain a difference report.
Based on the design concept of fig. 2, the present invention further proposes a solution with a graphical interactive interface, wherein the graphical interactive interface is shown in fig. 3, and the corresponding flowchart is shown in fig. 4.
In step S401, all selectable parameter combinations and information to be input are displayed on the graphical interactive interface. Referring specifically to FIG. 3, such configuration information is used to indicate the storage directories or files for installation scripts, link libraries, configuration files, and mapping files, as well as the storage directories for discrepancy reports. Reference PDK information is an option that, when selected, requires the user to fill in the installation scripts, link libraries, and mapping files of the PDK Reference library. The Combination count 24 is used to indicate that there are 24 parameter combinations and lists the 24 candidate combinations through a selectable list.
In step S402, a user operation is received to determine a selected parameter combination. I.e. the program knows which parameter combination the user has selected from the 24 alternative combinations shown in fig. 3.
In step S403, a user operation is received to determine whether to make a comparison, and if so, step S404 is performed, and if not, step S408 is performed. That is, for the Reference PDK information option in fig. 3, when the user selects, it indicates that two PDK banks are to be compared, and when not, it indicates that information is simply extracted from the PDK banks to be compared, so that the program determines whether to compare two PDKs and accordingly jumps to a different branch.
In step S404, an installation script is executed to install the PDK reference library. Namely, the program executes the installation script and installs the PDK reference library under the specified directory.
In step S405, an installation script is executed to install the PDK library to be compared. Namely, the program executes the installation script and installs the PDK library to be compared under the specified directory.
In step S406, device information in the PDK reference library and the PDK library to be compared are acquired for comparison. That is, the program acquires device information from the two PDK libraries generated in steps S404 and S405 and compares them.
In step S407, a discrepancy report is output. That is, the process forms the difference information generated in step S406 into a file output.
In step S408, an installation script is executed to install the PDK library to be compared. Namely, the program executes the installation script and installs the PDK library to be compared under the specified directory.
In step S409, device information in the PDK library to be compared is acquired and output. Namely, the program outputs the device information of the PDK library to be compared to the file.
In short, in the present embodiment, a user selects whether to perform comparison through a graphical interactive interface, and when the user selects comparison, performs comparison operation and outputs difference information, and when the user selects no comparison, outputs device information of the PDK library to be compared.
Fig. 5 is an exemplary diagram showing the difference information output from fig. 4 through a graphic interactive interface.
Referring to fig. 5, the List corresponding to the Metal Option List represents three parameter combinations selected by the user, green indicates that there is no device difference between the PDK library to be compared and the PDK Reference library under the parameter combination, red indicates that there is a device difference between the PDK library to be compared and the PDK Reference library under the parameter combination, then, the List corresponding to Category indicates that there is no device difference between the PDK library to be compared and the PDK Reference library under the parameter combination of "1p4m _ \/fffu meta2 _Thickness6k", for example, resistances, and indicates that there is a device difference between the PDK library to be compared and the PDK Reference library under the corresponding device Category through green, and indicates that there is a device difference between the PDK library to be compared and the PDK Reference library under the corresponding device Category through the List corresponding to QA PDK, and then lists the device identifications under the PDK Reference library under the corresponding device Category through the List Reference PDK corresponding to Reference PDK. And displaying difference information through a graphical interactive interface, helping research and development personnel to more intuitively check the device difference between the PDK library to be compared and the PDK reference library, and modifying the PDK library to be compared according to the device difference to output a standardized PDK library.
Fig. 6A to 6D are exemplary diagrams of storage directories of PDK libraries to be compared under the linux system. Fig. 6A is a top-level directory of PDK libraries to be compared under the linux system, containing directories and files of the PDK libraries to be compared. Topcat lists all the device classes in the PDK library to be compared, and each device class corresponds to a text file with its file name Cat ending in fig. 6B. In fig. 6C, the bipolar.cat file includes all devices in the device category of the diodes in the PDK library to be compared and a file directory corresponding to each device. In FIG. 6D, all the property files for this device, npn _ v _5p0v, are listed. From fig. 6A-6D, the device comparison between the PDK library to be compared and the PDK reference library can be implemented as: topcat reads out all the device types from the device, and then, for the text file corresponding to each device type, the number of devices in the device type is summarized according to the number of directory entries recorded in the text file, for example, if there are 9 records in bipolar. It should be understood that fig. 6A-6D are for illustrative purposes only and that the present invention is not dependent on whether the PDK libraries to be compared have a particular directory structure.
Referring to fig. 7, an electronic device 700 includes a processor 701, a memory 702, and an input-output device 703 connected by a bus. The memory 702 includes a Read Only Memory (ROM) and a Random Access Memory (RAM), and various computer instructions and data required to perform system functions are stored in the memory 702, and the processor 701 reads the various computer instructions from the memory 702 to perform various appropriate actions and processes. An input/output device including an input portion of a keyboard, a mouse, and the like; an output section including a display such as a Cathode Ray Tube (CRT), a Liquid Crystal Display (LCD), and the like, and a speaker; a storage section including a hard disk and the like; and a communication section including a network interface card such as a LAN card, a modem, or the like. The memory 702 also stores computer instructions that, when executed, perform the steps of the above-described embodiments.
Accordingly, embodiments of the present invention provide a computer readable storage medium having stored thereon computer instructions, which, when executed, implement the steps in the embodiments.
The computer readable medium may be a computer readable signal medium or a computer readable storage medium. A computer readable storage medium is, for example, but not limited to, an electronic, magnetic, optical, electromagnetic, infrared, or semiconductor system, apparatus, or device, or any combination of the foregoing. More specific examples of the computer-readable storage medium include: an electrical connection for the particular wire or wires, a portable computer diskette, a hard disk, a Random Access Memory (RAM), a read-only memory (ROM), an erasable programmable read-only memory (EPROM or flash memory), an optical fiber, a portable compact disc read-only memory (CD-ROM), an optical memory, a magnetic memory, or any suitable combination of the foregoing. In this context, a computer-readable storage medium may be any tangible medium that can contain, or store a program for use by or in connection with a processing unit, apparatus, or device.
A computer readable signal medium may include a propagated data signal with computer readable program code embodied therein, for example, in baseband or as part of a chopper. Such a propagated data signal may take many forms, including, but not limited to, electro-magnetic, optical, or any other suitable combination. A computer readable signal medium may also be any computer readable medium that is not a computer readable storage medium and that can communicate, propagate, or transport a program for use by or in connection with an instruction system, apparatus, or device.
Program code embodied on a computer readable medium may be transmitted using any appropriate medium, including but not limited to wireless, wireline, optical fiber cable, RF, etc., and any suitable combination of the foregoing.
Computer program code for carrying out embodiments of the present invention may be written in one or more programming languages or combinations. The programming language includes an object-oriented programming language such as JAVA, C + +, and may also include a conventional procedural programming language such as C. The program code may execute entirely on the user's computer, partly on the user's computer, as a stand-alone software package, partly on the user's computer and partly on a remote computer or entirely on the remote computer or server. In the case of a remote computer, the remote computer may be connected to the user's computer through any type of network, including a Local Area Network (LAN) or a Wide Area Network (WAN), or the connection may be made to an external computer (for example, through the Internet using an Internet service provider).
It is noted that, herein, relational terms such as first and second, and the like may be used solely to distinguish one entity or action from another entity or action without necessarily requiring or implying any actual such relationship or order between such entities or actions. Also, the terms "comprises," "comprising," or any other variation thereof, are intended to cover a non-exclusive inclusion, such that a process, method, article, or apparatus that comprises a list of elements does not include only those elements but may include other elements not expressly listed or inherent to such process, method, article, or apparatus. Without further limitation, an element defined by the phrase "comprising a … …" does not exclude the presence of another identical element in a process, method, article, or apparatus that comprises the element.
While embodiments in accordance with the invention have been described above, these embodiments are not intended to be exhaustive or to limit the invention to the precise embodiments described. Obviously, many modifications and variations are possible in light of the above teaching. The embodiments were chosen and described in order to best explain the principles of the invention and the practical application, to thereby enable others skilled in the art to best utilize the invention and various embodiments with various modifications as are suited to the particular use contemplated. The invention is limited only by the claims and their full scope and equivalents.

Claims (10)

1. A method for comparing device differences in PDK, comprising:
selecting an unselected parameter combination from the plurality of parameter combinations;
respectively executing different installation scripts based on the selected parameter combinations to respectively generate a PDK library and a PDK reference library to be compared;
respectively extracting device information contained in the PDK library to be compared and the PDK reference library; and
and comparing the device information contained in the PDK library to be compared with the device information contained in the PDK reference library to obtain a difference report between the two.
2. The comparison method of claim 1, wherein the device information includes a device type and a device number, and extracting the included device information from the PDK library to be compared includes:
reading all device types from the specified file;
and summarizing the number of directory entries recorded in the text file corresponding to each device type as the number of devices in the device type.
3. The comparison method of claim 1, wherein the comparison method further comprises: and after comparing the device information contained in the PDK library to be compared with the device information contained in the PDK reference library to obtain a difference report between the two device information, skipping to the step of selecting one unselected parameter combination from the multiple parameter combinations to continue execution.
4. The comparison method according to claim 1, wherein one of the unselected parameter combinations is selected from a plurality of parameter combinations in accordance with a user operation.
5. The comparison method of claim 1, further comprising: a graphical interactive interface for entering relevant information is provided to the user.
6. The comparison method according to claim 5, wherein the difference report is displayed in a hierarchical relationship of parameter combination, device kind, and device on the graphical interactive interface.
7. The comparison method according to claim 5, wherein a user selection of whether to compare the PDK library to be compared with the PDK reference library is received from the graphical interactive interface, if not, the step of selecting one non-selected parameter combination from the plurality of parameter combinations to compare the device information contained in the PDK library to be compared with the device information contained in the PDK reference library to obtain a difference report therebetween is performed, if not, an installation script is executed based on the selected parameter combination to generate the PDK library to be compared, and the device information is acquired from the PDK library to be compared and displayed on the graphical interactive interface.
8. A comparison method according to claim 6, wherein the specified parameter combinations, device types and/or whether there is a difference under a device are displayed by different colours on the graphical interactive interface.
9. An electronic device comprising a memory and a processor, the memory further storing computer instructions executable by the processor, the computer instructions when executed implementing the comparison method of any of claims 1 to 8.
10. A computer readable medium storing computer instructions executable by an electronic device, the computer instructions when executed performing the comparison method of any of claims 1 to 8.
CN202211458681.7A 2022-11-17 2022-11-17 Method for comparing device differences in PDK, electronic device, and computer-readable medium Pending CN115758976A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211458681.7A CN115758976A (en) 2022-11-17 2022-11-17 Method for comparing device differences in PDK, electronic device, and computer-readable medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211458681.7A CN115758976A (en) 2022-11-17 2022-11-17 Method for comparing device differences in PDK, electronic device, and computer-readable medium

Publications (1)

Publication Number Publication Date
CN115758976A true CN115758976A (en) 2023-03-07

Family

ID=85333940

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211458681.7A Pending CN115758976A (en) 2022-11-17 2022-11-17 Method for comparing device differences in PDK, electronic device, and computer-readable medium

Country Status (1)

Country Link
CN (1) CN115758976A (en)

Similar Documents

Publication Publication Date Title
US9760668B2 (en) Automating system on a chip customized design integration, specification, and verification through a single, integrated service
US8291329B2 (en) Automatically merging graphical programs
US7024636B2 (en) Chip management system
EP2778929B1 (en) Test script generation system
US20050273685A1 (en) Automated and customizable generation of efficient test programs for multiple electrical test equipment platforms
CN110389896A (en) Code automated analysis and test method, device and computer readable storage medium
CN110275826A (en) Automated testing method, device and computer readable storage medium
CN105143895A (en) Automated generation of a test class pre-header from an interactive graphical user interface
CN112199093B (en) Resource checking method, device, equipment and computer readable storage medium
CN103186463B (en) Determine the method and system of the test specification of software
CN110990274A (en) Data processing method, device and system for generating test case
CN111667231B (en) Automatic tax return method, device, system, computer equipment and storage medium
US6117179A (en) High voltage electrical rule check program
CN111858959A (en) Method and device for generating component relation map, computer equipment and storage medium
CN110908899A (en) Application program debugging method, mobile terminal and computer readable storage medium
US20080172640A1 (en) Method for comparing two designs of electronic circuits
US9996656B2 (en) Detecting dispensable inverter chains in a circuit design
CN110457219B (en) Method and device for selecting time when test script is recorded
CN111814218A (en) Design drawing management method and system
CN115758976A (en) Method for comparing device differences in PDK, electronic device, and computer-readable medium
CN114661596A (en) Method for automatically testing function of parameterized unit
CN109697141B (en) Method and device for visual testing
JP2012033017A (en) Rule inspection device, rule inspection method and rule inspection program
CN116187226A (en) Comparison method and comparison device for PDK engineering and electronic equipment
US20230409296A1 (en) Providing metric data for patterns usable in a modeling environment

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination