CN115483137A - 真空装置及其操作方法 - Google Patents

真空装置及其操作方法 Download PDF

Info

Publication number
CN115483137A
CN115483137A CN202211214777.9A CN202211214777A CN115483137A CN 115483137 A CN115483137 A CN 115483137A CN 202211214777 A CN202211214777 A CN 202211214777A CN 115483137 A CN115483137 A CN 115483137A
Authority
CN
China
Prior art keywords
transfer chamber
vacuum
vent
chamber
ports
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202211214777.9A
Other languages
English (en)
Inventor
殷立钊
邱钰凌
杨裕隆
林鸿彬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/906,689 external-priority patent/US11948810B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN115483137A publication Critical patent/CN115483137A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明的实施例提供了一种真空装置,包括:处理室;传送室,连接至处理室,其中:传送室包括:一个或多个真空端口,自顶向下看,位于传送室内部且邻近传送室的侧壁设置,并且传送室内部的气体通过真空端口排出;和通气端口,自顶向下看,位于传送室内部且邻近传送室的侧壁设置,并且从通气端口供应通气气体,其中,自顶向下看,传送室的中心线通过传送室的几何中心和传送室的侧壁,一个或多个真空端口和通气端口布置为使得从通气端口的至少一个至一个或多个真空端口的气流相对于传送室的中心线线对称,在传送室的中心线上且在邻近传送室的侧壁处设置有至少一个共用通气端口。本发明的实施例还提供了一种操作真空装置的方法。

Description

真空装置及其操作方法
分案申请
本申请是2018年08月24日提交的标题为“处理衬底或晶圆的装置”、专利申请号为201810974509.4的分案申请。
技术领域
本发明的实施例涉及真空装置,更具体地,涉及用于处理晶圆或衬底的真空装置及其操作方法。
背景技术
半导体制造工艺或平板显示器件(例如,液晶显示器)需要各种真空处理,例如膜沉积工艺和蚀刻工艺。在真空处理期间,产生不期望的副产物并且该副产物成为降低半导体器件或平板器件的良率的颗粒。因此,控制由副产物引起的颗粒是半导体器件制造操作和/或平板显示器制造操作中要解决的问题之一。
发明内容
本发明的实施例提供了一种真空装置,包括:处理室;传送室,连接至所述处理室,其中:所述传送室包括:一个或多个真空端口,所述传送室内部的气体通过所述真空端口排出;以及通气端口,从所述通气端口供应通气气体,以及所述一个或多个真空端口和所述通气端口布置为使得从所述通气端口的至少一个至所述一个或多个真空端口的气流相对于所述传送室的中心线线对称。
本发明的另一实施例提供了一种真空装置,包括:处理室;传送室,连接至所述处理室;闸阀,分别提供在所述传送室和所述处理室之间;泵送系统;气体供应;一个或多个真空端口,设置在所述传送室内部并且分别经由一个或多个真空阀连接至所述泵送系统;通气端口,设置在所述传送室内部并且分别经由通气阀连接至所述气体供应;以及控制器,用于控制至少所述一个或多个真空阀和所述通气阀,其中,所述通气端口相对于所述传送室的中心线线对称布置,所述中心线是穿过所述传送室的几何中心的线,并且在平面图中垂直于所述传送室的一个侧壁或一个拐角。
本发明的又一实施例提供了一种用于操作真空装置的方法,所述真空装置包括:处理室;传送室,连接至所述处理室;闸阀,分别提供在所述传送室和所述处理室之间;泵送系统;气体供应;一个或多个真空端口,设置在所述传送室内部并且分别经由一个或多个真空阀连接至所述泵送系统;以及通气端口,设置在所述传送室内部并且分别经由通气阀连接至所述气体供应;所述方法包括,当关闭所有所述闸阀时,打开至少一个真空阀和至少一个通气阀,使得从至少一个通气端口至至少一个真空端口的气流相对于所述传送室的中心线线对称。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的实施例的真空处理装置的示意图。
图2是根据本发明的实施例的真空处理装置的示意图。
图3是根据本发明的实施例的通气端口/真空端口布置的示意图。
图4是根据本发明的实施例的气流的示意图。
图5是根据本发明的实施例的气流的示意图。
图6是根据本发明的实施例的气流的示意图。
图7是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图8是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图9是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图10是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图11是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图12是根据本发明的实施例的真空处理装置的示意图。
图13是根据本发明的实施例的真空处理装置的示意图。
图14是根据本发明的实施例的真空处理装置的示意图。
图15是根据本发明的实施例的真空处理装置的示意图。
图16是根据本发明的实施例的真空处理装置的示意图。
图17是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图18是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图19是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
图20是根据本发明的另一实施例的通气端口/真空端口布置的示意图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,元件的尺寸不限于公开的范围或值,但可能取决于工艺条件和/或器件所需的性能。此外,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。为了简单和清楚的目的,各个部件可以以不同比例任意地绘制。在随后的附图中,为了简单起见,可以省略一些层/部件。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其它方式定向(旋转90度或在其它方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。此外,术语“由…制成”可能意味着“包括”或“由…组成”。此外,在以下制造工艺中,在所描述的操作中/之间可能存在一个或多个额外的操作,并且操作的顺序可以改变。在本发明中,除非另有说明,否则短语“A、B和C中的一个”意味着“A、B和/或C”(A、B、C、A和B、A和C、B和C或A、B和C),并不意味着来自A的一个元件、来自B的一个元件和来自C的一个元件。
在半导体器件制造操作和/或平板显示器制造操作中使用的真空处理装置包括例如等离子体处理室,诸如等离子体干蚀刻室或光刻胶灰化室;膜沉积室,诸如化学汽相沉积(CVD)工艺室、外延生长室、溅射室、原子层沉积(ALD)室或物理气相沉积(PVD)室;热操作室,诸如退火室或氧化室。在这些真空处理室内的半导体晶圆或玻璃衬底处理期间,由蚀刻操作或成膜操作引起的膜和/或副产物沉积在真空处理室的内壁上。此外,真空处理装置包括非处理室,诸如用于测量预处理和/或后处理的晶圆或衬底的物理、化学和/或电特性的室。此外,真空处理装置包括一个或多个负载锁定室和连接一个或多个负载锁定室和处理室的一个或多个传送室。
真空处理室通常包括一个或多个真空端口,该真空端口连接至包括一个或多个真空泵的泵送系统。真空装置也包括一个或多个通气端口,以在打开真空装置时或在增加内部压力时引入气体或空气。当减小和/或增加真空处理室内部的压力时,在真空处理室内部产生空气或气流。这种空气或气流可以吹走沉积在真空处理室的内壁上的膜或副产物,和/或可以将膜或副产物局部堆积在真空处理室内部。
在本发明中,通过调整真空室内部的通气端口和真空端口的布置,控制真空室内部的空气或气流。
图1和图2是根据本发明的实施例的真空处理装置的示意图。图1和图2仅示出了解释本实施例的部件所必需的元件,并且应该理解,图1和图2中未明确示出的一个或多个额外的部件均包括在真空处理装置中。
如图1所示的真空处理装置10包括传送室20,真空处理或测量室31、32、33和34分别经由闸阀25连接至传送室20。真空处理或测量室31、32、33和34是等离子体处理室,诸如等离子体干蚀刻室或光刻胶灰化室;膜沉积室,诸如CVD工艺室、外延生长室、溅射室、ALD室或PVD室;热操作室,诸如退火室或氧化室;或任何其它真空室中的一种或多种。在一些实施例中,所有真空处理或测量室31、32、33和34都是相同类型的室(例如,相同类型的处理室),并且在其它实施例中,真空处理或测量室31、32、33和34中的至少一个是不同类型的真空室。本发明中的处理或工艺均可以包括测量操作。因此,在下文中,真空处理或测量室可以简称为真空处理室。
此外,一个或多个负载锁定室50也分别经由闸阀25连接至传送室20。在传送室内部,设置具有一个或多个可移动臂的衬底处理和传送机构40(例如,晶圆处理器)。用于平板显示器的半导体晶圆WF或玻璃衬底通过衬底处理和传送机构40从一个室转移至另一室。在图1中,四个真空处理或测量室31、32、33和34和两个负载锁定室50连接至传送室20,但是室的数量不限于此。此外,在一些实施例中,在真空处理装置10中分别使用经由闸阀连接的两个或多个传送室。
图2是根据本发明的实施例的示出真空系统和通气系统的真空处理装置的示意图。
在传送室20中,设置一个或多个通气端口100和一个或多个真空端口120。通气端口100用于将诸如N2或空气的通气气体引入至传送室中,并且真空端口120用于抽空传送室。气体不限于通气气体并且可以是处理气体。
更具体地,在一些实施例中,传送室20包括五个通气端口101、102、103、104和105以及三个真空端口121、122和123。在一些实施例中,每个通气端口101、102、103、104和105分别经由气体通道与通气气体供应源60流体连通,在气体通道上设置一个或多个阀111、112、113、114和115。通气气体供应源60是存储诸如N2或空气的加压通气气体的储气瓶或储气罐,或设施气体供应系统。
在一些实施例中,每个真空端口121、122和123分别经由气体通道与泵送系统70流体连通,在气体通道上设置一个或多个阀131、132和133。泵送系统70包括一个或多个干泵,诸如涡轮分子泵(TMP)、吸附泵、溅射离子泵、机械增压泵或低温泵。阀111至115和131至133分别是开关阀和流量可控阀中的一种。
此外,在真空处理或测量室31、32、33和34内部提供一个或多个处理气体端口190。此外,在每个真空处理或测量室31、32、33和34内部分别提供一个或多个真空端口,该真空端口连接至泵送系统70。在一些实施例中,泵送系统单独地提供为用于真空处理和测量室,与用于传送室20的泵送系统分开。在一些实施例中,在真空处理或测量室内部没有提供连接至通气气体供应源60的通气端口,并且在其它实施例中,在真空处理室或测量室内部提供一个或多个通气端口。
真空处理装置10的至少一部分操作由连接至或包括一个或多个存储器90的一个或多个控制器80控制。在一些实施例中,控制器80是包括一个或多个处理器的计算机系统,并且存储器90存储控制程序。当控制程序由处理器执行时,控制器80控制例如衬底处理和传送机构40、负载锁定室50、闸阀25、用于通气端口101至105的阀111至115、用于真空端口121至123的阀131至133、泵送系统70和每个处理或测量室31至34的操作。在一些实施例中,控制器80单独地控制用于通气端口101至105的阀111至115和/或用于真空端口121至123的阀131至133。
图3是根据本发明的实施例的图1和图2所示的传送室20内部通气端口/真空端口布置的示意图。
如图3所示,真空端口121至123中的至少一个和通气端口101至105中的至少一个在传送室20内部相对于传送室20的中心线CL线对称布置。当从上面观察时,中心线CL穿过传送室20的几何中心G。在一些实施例中,几何中心G可以是传送室20的底部基板的形状的对角线的交叉点。如果对角线不在一个点交叉并且形成多边形,则在一些实施例中,几何中心G是多边形的重心。如果传送室20的底部基板的形状是三角形,则几何中心G是三角形的中心。中心线CL也穿过构成传送室20的侧壁22中的一个。更具体地,中心线CL垂直于侧壁22中的一个。
在图3中,通气端口105和真空端口121至123相对于传送室20内部的中心线CL线对称布置。而且,通气端口101至104相对于传送室20内部的中心线CL线对称布置。此外,所有真空端口121至123和通气端口101至105都在传送室20内部相对于中心线CL线对称布置。
此外,如图3所示,在每个处理室前面的传送室20中提供至少一个通气端口。例如,通气端口101位于处理室31的闸阀前面,通气端口102位于处理室32的闸阀前面,通气端口103位于处理室33的闸阀前面,并且通气端口104位于处理室34的闸阀前面。处理室31至34也相对于中心线CL线对称布置,并且因此通气端口101至104在传送室20内部相对于中心线CL线对称布置。在一些实施例中,在平面图中,每个处理室31至34的闸阀与对应的通气端口之间的距离在从约0.5mm至约5cm的范围内。
如图3所示,虽然单独的通气端口101至104提供为用于相应的处理室31至34,但是在一些实施例中,在传送室20内部提供一个或多个共用通气端口105。在一些实施例中,在传送室20的中心线CL上提供至少一个共用通气端口。当提供两个或多个共用通气端口时,共用通气端口相对于中心线CL线对称布置。
类似地,在传送室20中提供至少一个真空端口。在一些实施例中,在传送室20的中心线CL上提供至少一个真空端口(例如,122)。当提供两个或多个真空端口时,真空端口相对于中心线CL线对称布置。此外,如图3所示,在一些实施例中,在负载锁定室50前面的传送室20中提供至少一个真空端口。在这种情况下,在一些实施例中,真空端口比通气端口更靠近负载锁定室。在其它实施例中,共用通气端口比真空端口更靠近负载锁定室,并且真空端口布置在相对的一个侧壁24前面。此外,在一些实施例中,在传送室20内部提供真空端口,使得真空端口布置在平行于传送室20的一个侧壁(例如,22)的线L上,如图3所示。
图4是根据本发明的实施例的图1至图3所示的真空处理装置10的传送室20内部的气流的示意图。
真空处理装置10在各种操作模式或条件下操作。例如,在怠速状态下,没有在真空处理装置10内部加载半导体晶圆或衬底。在处理条件/模式下,通过传送室内部的衬底处理和传送机构40将一个或多个晶圆或衬底从负载锁定室50转移至一个或多个处理或测量室31至34,并且在处理或测量室处理晶圆或衬底。
在怠速状态下,关闭所有闸阀,并且通过抽真空将通气气体引入至传送室以净化传送室内部。净化操作可以在另一操作条件下实施。在一些实施例中,为了净化传送室20内部,通过控制器80的操作打开用于共用通气端口105的阀115和用于真空端口121至123的阀131至133。如上所述,共用通气端口105和真空端口121至123相对于中心线CL线对称布置,从共用通气端口105至真空端口的气流GF相对于传送室20的中心线CL线对称,如图4所示。
如上所述,在处理室31至34中产生膜或副产物,并且当打开闸阀25以将晶圆或衬底从处理室移出或移动至处理室时,膜或副产物从处理室移动至传送室。当气流不对称(可能例如由于打开用于通气端口101的阀和用于真空端口122的阀而导致的不对称)时,在通气端口105和103附近的传送室的拐角处产生死区。当产生死区时,膜或副产物不会被净化气流净化并且倾向于局部沉积在死区中。这种局部沉积的膜或副产物可以通过晶圆处理和传送机构的移动或通过传送室内部的其它空气移动而被吹动或搅动,并且可以落在晶圆或衬底上。
相反地,当气流GF如图4所示的对称时,可以在净化操作期间最小化产生的死区并且因此防止局部沉积膜或副产物。因此,能够提高处理的晶圆或衬底的良率并且能够缩短真空处理装置10的维护周期。
在图4中,在通气端口之中仅打开共用通气端口105。在其它实施例中,在净化操作期间,通气端口102和103与共用通气端口105一起打开或打开通气端口102和103,而不是共用通气端口105。在这种情况下,气流也相对于中心线CL对称。在其它实施例中,所有通气端口101至105都打开。
在本发明中,通气端口和/或真空端口的线对称布置并不需要数学精度。只要可以获得基本对称的气流,通气端口和/或真空端口的位置可以偏离精确的线对称位置。例如,在一些实施例中,该位置与精确位置的偏差可以是0至约2cm。
图5和图6是根据本发明的实施例的打开闸阀以将半导体晶圆或衬底从处理室移出或移动至处理室时的气流的示意图。
在一些实施例中,当晶圆或衬底从处理室31至34移动至传送室20或从传送室20移动至处理室31至34时,处理室内部的压力低于传送室内部的压力。在其它实施例中,如上所述,没有在真空处理或测量室内部提供用于供应通气气体(例如,N2)的通气端口。在这种情况下,为了净化真空处理或测量室,通气气体从传送室中的一个通气端口供应至真空处理或测量室。在一些实施例中,打开用于通气端口的阀并且之后打开闸阀。打开用于通气端口的阀和打开闸阀的时间没有特定限制。
在本实施例中,为了净化特定的处理室,仅打开位于特定处理室前面(并且最靠近特定处理室)的对应的通气端口的阀,如图5和图6所示。例如,如图5所示,当打开用于处理室31的闸阀25时,打开阀111(见图2)以将通气气体从通气端口101引入至处理室31以最小化气流GF1,同时关闭其它通气阀。在一些实施例中,传送室内部的用于真空端口121至123的阀也是关闭的。类似地,在图6中,当打开用于处理室33的闸阀25时,打开阀113(见图2)以将通气气体从通气端口103引入至处理室33以最小化气流GF2,同时关闭其它通气阀。
当没有为对应的处理室31至34分别提供通气端口101至104并且仅提供共用通气端口105时,处理室31至34的气流流动距离彼此不同(例如,至少在处理室31和处理室32之间是不同的)。气流流动路径的不同长度可能在净化操作中引起各种问题。例如,与仅使用共用通气端口105时的处理室32的净化操作相比,处理室31的净化操作可能不充分,或较长的气流流动路径可能导致由气流升起更多的颗粒。虽然可以将共用通气端口放置在与处理室的等距离的位置处,但是气流流动路径通常较长并且由于位于传送室的中心的晶圆处理和传送机构,气流可能受到干扰而导致不均匀的气流。
相反地,通过分别为处理室提供单独的通气端口,可以最小化气流流动路径并且使从通气端口至处理室的气流流动路径相等。因此,可以防止由气流升起的不期望的颗粒,并且更有效地净化各个处理室。
图7至图16是根据本发明的各个实施例的通气端口/真空端口布置和/或传送室配置的示意图。以下两个或更多实施例的一个或多个部件可以与以下一个或多个实施例结合。在以下实施例中,可以采用与图1至图6的那些相同或类似的配置、元件、部件、材料和/或尺寸,并且可以省略详细的说明。此外,上述实施例中的一个或多个部件可以与以下一个或多个实施例结合。
图7是根据本发明的另一实施例的通气端口/真空端口布置的示意图。如图7所示,六(6)个真空处理或测量室31至34和36至37分别经由闸阀连接至具有矩形形状的传送室20A。额外的真空处理或测量室36和37在一些实施例中与真空处理或测量室31至34是相同的类型,并且在其它实施例中是不同的类型。此外,如图7所示,分别在真空处理或测量室36和37前面提供额外的通气端口106和107。通气端口101至107在传送室20A内部相对于中心线CL线对称布置。
图8是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在图8中,与图1至图6类似,对传送室20B提供四个真空处理或测量室31至34。传送室20B包括三个真空端口的两对和五个通气端口,如图8所示。在靠近侧壁22B的位置处提供真空端口121至123,并且在靠近相对侧壁24B的位置处提供真空端口124至126。在一些实施例中,每组中的真空端口均平行于传送室20B的相应的侧壁(例如,22B或24B)布置为行。分别为对应的真空处理或测量室31至34提供通气端口101至104,与图1至图6类似。在一些实施例中,在传送室20B的几何中心处或附近提供共用通气端口105。通气端口101至105在传送室20B内部相对于中心线CL线对称布置,并且真空端口121至126也在传送室20B内部相对于中心线CL线对称布置。
图9是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在图9中,与图1至图6类似,对传送室20C提供四个真空处理或测量室31至34。传送室20C仅包括一个真空端口122。通气端口101至105的配置与图1至图6所示的通气端口的配置相同。真空端口121和通气端口101至105在传送室20C内部相对于中心线CL线对称布置。
图10是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在图10中,与图1至图6类似,对传送室20D提供四个真空处理或测量室31至34。传送室20D包括用于相应的真空处理或测量室31至34的四个通气端口,并且如图10所示提供两个共用通气端口108和109。通气端口108至109在传送室20D内部相对于中心线CL线对称布置,并且通气端口101至105和108至109也在传送室20D内部相对于中心线CL线对称布置。真空端口121至123在传送室20D内部相对于中心线CL线对称布置。在图10中,中心线CL不穿过任何通气端口。
图11是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在图11中,与图1至图6类似,对传送室20E提供四个真空处理或测量室31至34。在图11的实施例中,分别为对应的真空处理或测量室31至34提供一组两个或多个通气端口。例如,在真空处理或测量室31前面提供一组通气端口101D,在真空处理或测量室32前面提供一组通气端口102D,在真空处理或测量室33前面提供一组通气端口103D,并且在真空处理或测量室34前面提供一组通气端口104D。当半导体晶圆的尺寸较大(例如,12英寸)或使用用于平板显示器的大玻璃衬底时,真空处理或测量室的尺寸(特别是宽度)也变大。提供一组通气端口对于这种大真空处理或测量室是有利的。此外,在一些实施例中,如图11所示,该组通气端口(例如,101D)的通气端口相对于对应的真空处理或测量室31的中心线CCL线对称布置。
图12是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在该实施例中,传送室1020的形状是具有六个侧壁的六边形。在六个侧壁中的一个侧壁处提供经由闸阀1025连接至传送室1020的一个或多个负载锁定室1050,并且五个真空处理或测量室1031、1032、1033、1034和1035分别经由闸阀1025连接至传送室1020。在一些实施例中,在负载锁定室1050前面提供三个真空端口1120并且分别为对应的真空处理或测量室1031至1035提供通气端口1100。如图12所示,通气端口1110和真空端口1120相对于传送室1020的中心线CL线对称布置。在一些实施例中,没有在传送室1020中提供共用通气端口。在其它实施例中,相对于中心线CL线对称地提供一个或多个共用通气端口。
在一些实施例中,在怠速状态下的传送室1020内部的净化操作中,位于真空处理或测量室1033前面的通气端口1100用作与图4所示的共用通气端口105类似的共用通气端口。在其它实施例中,真空处理或测量室1032和1034前面的通气端口用作与图4所示的共用通气端口105类似的共用通气端口。
图13是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在该实施例中,传送室2020的形状是具有六个侧壁的六边形。在六个侧壁中的两个侧壁处提供经由闸阀2025连接至传送室2020的两个负载锁定室2051、2052,并且四个真空处理或测量室2031、2032、2033和2034分别经由闸阀2025连接至传送室2020。在一些实施例中,提供共用通气端口2105。在其它实施例中,没有提供共用通气端口2105,并且在这种情况下,在真空处理或测量室2032和2033前面提供的通气端口用作图4所示的共用通气端口。分别为对应的真空处理或测量室2031至2034提供通气端口2100。此外,分别为对应的负载锁定室2051、2052提供真空端口2120。此外,在一些实施例中,在负载锁定室2051、2052之间提供共用真空端口2122。
如图13所示,通气端口2100和2105以及真空端口2120和2122相对于传送室2020的中心线CL线对称布置。
图14是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在该实施例中,传送室3020的形状是具有五个侧壁的细长五边形。如图14所示,六(6)个真空处理或测量室3031至3036分别经由闸阀3025连接至传送室3020。对传送室3020的一个侧壁提供两个负载锁定室3050。分别在对应的真空处理或测量室3031至3036前面提供通气端口3100,并且还提供共用通气端口3150。在负载锁定室3050前面提供三个真空端口3120。通气端口3100和3105以及真空端口3120在传送室3020内部相对于中心线CL线对称地布置。
图15是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在该实施例中,传送室4020的形状是矩形或正方形。提供了六个真空处理或测量室4031、4032、4033、4034、4035和4036。两个真空处理或测量室分别连接至传送室4020的三个侧壁中的每个,并且两个负载锁定室4050连接至传送室4020的剩余侧壁。分别在对应的真空处理或测量室4031至4036前面提供通气端口4100,并且也提供共用通气端口4105。在一些实施例中,没有提供共用通气端口4105。在负载锁定室4050前面提供三个真空端口4120。通气端口4100和4105以及真空端口4120在传送室4020内部相对于中心线CL线对称布置。
图16是根据本发明的另一实施例的通气端口/真空端口布置的示意图。在该实施例中,传送室5020的形状是具有五个侧壁的五边形。如图15所示,四个真空处理或测量室5031至5034分别经由闸阀5025连接至传送室5020。对传送室5020的一个侧壁提供一个或多个负载锁定室5050。分别在对应的真空处理或测量室5031至5034前面提供通气端口5100,并且也提供共用通气端口5105。在其它实施例中,没有提供共用通气端口。在负载锁定室5050前面提供三个真空端口5120。通气端口5100和5105以及真空端口5120在传送室5020内部相对于中心线CL线对称布置。
图17至图20是根据本发明的实施例的真空处理和测量室内部的气体供应端口/真空端口布置的示意图。
在上述实施例中,说明了传送室内部的对称端口布置。然而,对称端口布置不限于传送室,而是可以应用于具有一个或多个气体供应端口和一个或多个真空端口的任何其它真空室。以下两个或更多实施例的一个或多个部件可以与以下一个或多个实施例结合。在以下实施例中,可以采用与图1至图16的那些相同或类似的配置、元件、部件、材料和/或尺寸,并且可以省略详细的说明。此外,上述实施例中的一个或多个部件可以与以下一个或多个实施例结合。
图17是根据本发明的实施例的气体供应端口/真空端口布置的示意图。如图17所示,真空室11A包括室体6020A和闸阀6025A。室体6020A包括至少一个气体供应端口和至少一个真空端口。在一些实施例中,在室体6020A的中心线CL上提供一个气体供应端口6100A和一个真空端口6120A。根据图17的端口布置,在例如净化操作中,从气体供应端口6100A至真空端口6120A的气流相对于中心线CL对称,从而最小化死区的产生。在一些实施例中,气体供应端口6100A位于靠近闸阀6025A的位置并且真空端口6120A位于室体6020A的相对侧,并且在其它实施例中,真空端口6120A位于靠近闸阀6025A的位置,气体供应端口6100A位于室体6020A的相对侧。
图18是根据本发明的另一实施例的气体供应端口/真空端口布置的示意图。如图18所示,真空室11B包括室体6020B和闸阀6025B。室体6020B包括至少一个气体供应端口和至少一个真空端口。在一些实施例中,提供相对于室体6020B的中心线CL线对称的一个气体供应端口6100B和两个真空端口6120B。根据图18的端口布置,在例如净化操作中,从气体供应端口6100B至真空端口6120B的气流相对于中心线CL对称,从而最小化死区的产生。在一些实施例中,气体供应端口6100B位于靠近闸阀6025B的位置并且真空端口6120B位于室体6020A的相对侧,并且在其它实施例中,真空端口6120B位于靠近闸阀6025B的位置,气体供应端口6100B位于室体6020B的相对侧。
图19是根据本发明的另一实施例的气体供应端口/真空端口布置的示意图。如图19所示,真空室11C包括室体6020C和闸阀6025C。室体6020C包括至少一个气体供应端口和至少一个真空端口。在一些实施例中,提供相对于室体6020C的中心线CL线对称的两个气体供应端口6100C和两个真空端口6120C。根据图19的端口布置,在例如净化操作中,从气体供应端口6100C至真空端口6120C的气流相对于中心线CL对称,从而最小化死区的产生。在一些实施例中,气体供应端口6100C位于靠近闸阀6025C的位置并且真空端口6120C位于室体6020C的相对侧,并且在其它实施例中,真空端口6120C位于靠近闸阀6025C的位置,气体供应端口6100C位于室体6020C的相对侧。
图20是根据本发明的另一实施例的气体供应端口/真空端口布置的示意图。如图20所示,真空室11D包括室体6020D和闸阀6025D。室体6020D包括至少一个气体供应端口和至少一个真空端口。在一些实施例中,提供相对于室体6020D的中心线CL线对称的两个气体供应端口6100D和一个真空端口6120D。根据图20的端口布置,在例如净化操作中,从气体供应端口6100D至真空端口6120D的气流相对于中心线CL对称,从而最小化死区的产生。在一些实施例中,气体供应端口6100D位于室体6020D的中部,并且真空端口6120D位于室体6020D的与闸阀6020D相对的端侧处。在其它实施例中,两个真空端口6120D位于室体6020D的中部,并且气体供应端口6100D位于室体6020D的端侧处。
应该理解,不是所有优势都必需在此处讨论,没有特定的优势对于所有实施例或实例都是需要的,并且其它实施例或实例可以提供不同的优势。
根据本发明的方面,真空装置包括处理室和连接至处理室的传送室。传送室包括一个或多个真空端口,传送室内部的气体通过该真空端口排出;以及通气端口,从该通气端口供应通气气体。一个或多个真空端口和通气端口布置为使得从至少一个通气端口至一个或多个真空端口的气流相对于传送室的中心线线对称。在以上和以下一个或多个实施例中,通气端口相对于传送室的中心线线对称布置。在以上和以下一个或多个实施例中,在每个处理室前面的传送室中提供至少一个通气端口。在以上和以下一个或多个实施例中,在传送室的中心线上提供至少一个共用通气端口。在以上和以下一个或多个实施例中,在传送室中提供两个或多个真空端口。在以上和以下一个或多个实施例中,两个或多个真空端口相对于传送室的中心线线对称布置。在以上和以下一个或多个实施例中,在传送室的中心线上提供两个或多个真空端口的至少一个。在以上和以下一个或多个实施例中,真空装置还包括连接至传送室的负载锁定室。在以上和以下一个或多个实施例中,在负载锁定室前面的传送室中提供一个或多个真空端口的至少一个,并且该真空端口比通气端口更靠近负载锁定室。在以上和以下一个或多个实施例中,在传送室和每个处理室之间提供闸阀。
根据本发明的另一方面,真空装置包括处理室、连接至处理室的传送室、在传送室和处理室之间分别提供的闸阀、泵送系统、气体供应、设置在传送室内部并且分别经由一个或多个真空阀连接至泵送系统的一个或多个真空端口、设置在传送室内部并且分别经由通气阀连接至气体供应的通气端口,以及用于控制至少一个或多个真空阀和通气阀的控制器。通气端口相对于传送室的中心线线对称布置。中心线是穿过传送室的几何中心的线,并且在平面图中垂直于传送室的一个侧壁或一个拐角。在以上和以下一个或多个实施例中,当关闭所有闸阀时,控制器打开至少一个真空阀和至少一个通气阀,从而使得从至少一个通气端口至至少一个真空端口的气流相对于传送室的中心线线对称。在以上和以下一个或多个实施例中,在每个处理室前面的传送室中提供至少一个通气端口。在以上和以下一个或多个实施例中,当打开对应于一个处理室的一个闸阀时,控制器打开对应于对应的一个处理室前面的一个通气端口的一个通气阀。在以上和以下一个或多个实施例中,其中,当打开一个通气阀时,控制器不打开剩余的通气阀。在以上和以下一个或多个实施例中,在传送室的中心线上提供至少一个共用通气端口。在以上和以下一个或多个实施例中,当关闭所有闸阀时,控制器打开用于至少一个共用通气端口的至少一个通气阀并且打开一个或多个真空阀。
根据本发明的另一方面,用于处理半导体晶圆的真空装置包括处理室,处理室包括选自由等离子体蚀刻室和膜沉积室组成的组中的至少一个;连接至处理室的传送室以及设置在传送室内部的晶圆处理器。传送室包括一个或多个真空端口,传送室内部的气体通过该真空端口排出,以及通气端口,从通气端口供应通气气体。通气端口相对于传送室的中心线线对称布置。中心线是穿过传送室的几何中心的线,并且在平面图中垂直于传送室的一个侧壁或一个拐角。在以上和以下一个或多个实施例中,真空装置还包括负载锁定室。在负载锁定室前面的传送室中提供一个或多个真空端口,并且该真空端口比通气端口更靠近负载锁定室。在以上和以下一个或多个实施例中,在传送室内部提供两个或多个真空端口并且真空端口布置在平行于传送室的一个侧壁的线上。
根据本发明的另一方面,一种用于操作真空装置的方法。真空装置包括处理室、连接至处理室的传送室、在传送室和处理室之间分别提供的闸阀、泵送系统、气体供应、设置在传送室内部的并且分别经由一个或多个真空阀连接至泵送系统的一个或多个真空端口,以及设置在传送室内部并且分别经由通气阀连接至气体供应的通气端口。在每个处理室前面提供至少一个通气端口。在该方法中,当将晶圆从传送室移动至一个处理室或从一个处理室移动至传送室时,打开对应于一个处理室的一个闸阀。打开对应于一个处理室前面的一个通气端口的一个通气阀。
根据本发明的另一方面,一种用于操作真空装置的方法。真空装置包括处理室、连接至处理室的传送室、在传送室和处理室之间分别提供的闸阀、泵送系统、气体供应,设置在传送室内部并且分别经由一个或多个真空阀连接至泵送系统的一个或多个真空端口,以及设置在传送室内部并且分别经由通气阀连接至气体供应的通气端口。在该方法中,当关闭所有闸阀时,打开至少一个真空阀和至少一个通气阀,从而使得从至少一个通气端口至至少一个真空端口的气流相对于传送室的中心线对称。在以上和以下一个或多个实施例中,仅打开一个通气阀,同时关闭剩余的通气阀,从而使得仅从一个通气端口至至少一个真空端口的气流相对于传送室的中心线线对称。在以上和以下一个或多个实施例中,提供两个或多个真空端口和两个或多个真空阀,并且打开两个或多个真空端口,从而使得从至少一个通气端口至两个或多个真空端口的气流相对于传送室的中心线线对称。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本人所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种真空装置,包括:
处理室;
传送室,连接至所述处理室,其中:
所述传送室包括:
一个或多个真空端口,自顶向下看,位于所述传送室内部且邻近所述传送室的侧壁设置,并且所述传送室内部的气体通过所述真空端口排出;和
通气端口,自顶向下看,位于所述传送室内部且邻近所述传送室的侧壁设置,并且从所述通气端口供应通气气体,
其中,自顶向下看,所述传送室的中心线通过所述传送室的几何中心和所述传送室的侧壁,所述一个或多个真空端口和所述通气端口布置为使得从所述通气端口的至少一个至所述一个或多个真空端口的气流相对于所述传送室的中心线线对称,在所述传送室的中心线上且在邻近所述传送室的侧壁处设置有至少一个共用通气端口。
2.根据权利要求1所述的真空装置,其中,所述通气端口相对于所述传送室的中心线线对称布置。
3.根据权利要求1所述的真空装置,其中,在每个所述处理室前面的所述传送室中提供至少一个通气端口。
4.根据权利要求3所述的真空装置,其中,所述一个或多个真空端口布置为与所述传送室的侧壁平行。
5.根据权利要求1所述的真空装置,其中,在所述传送室中提供两个或多个真空端口。
6.一种真空装置,包括:
处理室;
传送室,连接至所述处理室;
闸阀,分别提供在所述传送室和所述处理室之间;
泵送系统;
气体供应;
一个或多个真空端口,自顶向下看,设置在所述传送室内部且邻近所述传送室的侧壁,并且分别经由一个或多个真空阀连接至所述泵送系统;
通气端口,自顶向下看,设置在所述传送室内部且邻近所述传送室的侧壁,并且分别经由通气阀连接至所述气体供应;以及
控制器,用于控制至少所述一个或多个真空阀和所述通气阀,
其中,至少一个共用通气端口设置在所述传送室的中心线上且邻近所述传送室的侧壁处,
其中,自顶向下看,所述通气端口相对于所述传送室的中心线线对称布置,并且所述传送室的中心线通过所述传送室的几何中心和所述传送室的侧壁。
7.根据权利要求6所述的真空装置,其中,当关闭所有所述闸阀时,所述控制器打开至少一个真空阀和至少一个通气阀,使得自顶向下看,从至少一个通气端口至至少一个真空端口的气流相对于所述传送室的中心线线对称。
8.一种用于操作真空装置的方法,所述真空装置包括:
处理室;
传送室,连接至所述处理室;
闸阀,分别提供在所述传送室和所述处理室之间;
泵送系统;
气体供应;
一个或多个真空端口,自顶向下看,设置在所述传送室内部且邻近所述传送室的侧壁,并且分别经由一个或多个真空阀连接至所述泵送系统;以及
通气端口,自顶向下看,设置在所述传送室内部且邻近所述传送室的侧壁,并且分别经由通气阀连接至所述气体供应;
所述方法包括,当关闭所有所述闸阀时,打开至少一个真空阀和至少一个通气阀,使得自顶向下看,从至少一个通气端口至至少一个真空端口的气流相对于所述传送室的中心线线对称,
其中,自顶向下看,在所述传送室的中心线上且邻近所述传送室的侧壁处设置至少一个共用通气端口,并且所述传送室的中心线通过所述传送室的几何中心和所述传送室的侧壁。
9.根据权利要求8所述的方法,其中,仅打开一个通气阀,同时关闭剩余的通气阀,使得仅从一个通气端口至至少一个真空端口的所述气流相对于所述传送室的中心线线对称。
10.根据权利要求8所述的方法,其中:
提供两个或多个真空端口和两个或多个真空阀,以及
打开所述两个或多个真空端口,使得从至少一个通气端口至所述两个或多个真空端口的气流相对于所述传送室的中心线线对称。
CN202211214777.9A 2017-11-15 2018-08-24 真空装置及其操作方法 Pending CN115483137A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762586580P 2017-11-15 2017-11-15
US62/586,580 2017-11-15
US15/906,689 US11948810B2 (en) 2017-11-15 2018-02-27 Apparatus for processing substrates or wafers
US15/906,689 2018-02-27
CN201810974509.4A CN109786282A (zh) 2017-11-15 2018-08-24 处理衬底或晶圆的装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201810974509.4A Division CN109786282A (zh) 2017-11-15 2018-08-24 处理衬底或晶圆的装置

Publications (1)

Publication Number Publication Date
CN115483137A true CN115483137A (zh) 2022-12-16

Family

ID=66335824

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211214777.9A Pending CN115483137A (zh) 2017-11-15 2018-08-24 真空装置及其操作方法

Country Status (3)

Country Link
KR (1) KR102491903B1 (zh)
CN (1) CN115483137A (zh)
DE (1) DE102018107547A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
KR20040013965A (ko) * 2002-08-09 2004-02-14 삼성전자주식회사 멀티 챔버형의 공정설비
KR20140129279A (ko) * 2012-08-10 2014-11-06 캐논 아네르바 가부시키가이샤 터널 자기저항소자 제조장치
WO2015048470A1 (en) * 2013-09-30 2015-04-02 Applied Materials, Inc Transfer chamber gas purge apparatus, electronic device processing systems, and purge methods
KR102046592B1 (ko) * 2014-09-30 2019-11-22 주식회사 원익아이피에스 기판 처리 장치

Also Published As

Publication number Publication date
KR20210000704A (ko) 2021-01-05
KR102491903B1 (ko) 2023-01-26
DE102018107547A1 (de) 2019-05-16

Similar Documents

Publication Publication Date Title
US11948810B2 (en) Apparatus for processing substrates or wafers
US9607855B2 (en) Etching method and storage medium
US8623457B2 (en) Vacuum processing system
TWI526382B (zh) 用於基板處理的叢集式設備
KR101024530B1 (ko) 기판 처리 장치, 기판 처리 방법 및, 컴퓨터 판독 가능 기억 매체
US9228685B2 (en) Load lock device
US20100022093A1 (en) Vacuum processing apparatus, method of operating same and storage medium
TWI821435B (zh) 側儲存艙、設備前端模組與操作設備前端模組的方法
KR20120140627A (ko) 일괄식 처리 장치
JP2009062604A (ja) 真空処理システムおよび基板搬送方法
JP2012501549A (ja) 大面積基板処理システム用ロードロックチャンバ
KR20130105317A (ko) 반도체 제조 툴을 위한 밸브 퍼지 어셈블리
JP4634918B2 (ja) 真空処理装置
CN111105975B (zh) 半导体元件制造系统及操作半导体元件制造系统的方法
US9418866B2 (en) Gas treatment method
US10199225B2 (en) Substrate processing apparatus
KR102491903B1 (ko) 기판 또는 웨이퍼를 프로세싱하기 위한 장치
US11862506B2 (en) Substrate processing system, vacuum substrate transfer module, and substrate transfer method
US20170207078A1 (en) Atomic layer deposition apparatus and semiconductor process
KR20080054759A (ko) 기판 처리 장치 및 방법
KR102517603B1 (ko) 기판 반송 방법 및 기판 처리 장치
US20220154338A1 (en) Apparatus and system for delivering gas to a process chamber
KR20080071682A (ko) 로드락 챔버 및 이를 이용한 반도체 제조 장치
KR20080006035A (ko) 클러스터 툴
KR101677591B1 (ko) 기판 적재 유닛 그리고 그것을 갖는 클러스터 설비

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination