CN113676197B - Power supply circuit and wireless transceiver - Google Patents

Power supply circuit and wireless transceiver Download PDF

Info

Publication number
CN113676197B
CN113676197B CN202110920158.0A CN202110920158A CN113676197B CN 113676197 B CN113676197 B CN 113676197B CN 202110920158 A CN202110920158 A CN 202110920158A CN 113676197 B CN113676197 B CN 113676197B
Authority
CN
China
Prior art keywords
power
module
power supply
circuit
wireless
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110920158.0A
Other languages
Chinese (zh)
Other versions
CN113676197A (en
Inventor
闫富贵
邓志吉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zhejiang Dahua Technology Co Ltd
Original Assignee
Zhejiang Dahua Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zhejiang Dahua Technology Co Ltd filed Critical Zhejiang Dahua Technology Co Ltd
Priority to CN202110920158.0A priority Critical patent/CN113676197B/en
Publication of CN113676197A publication Critical patent/CN113676197A/en
Application granted granted Critical
Publication of CN113676197B publication Critical patent/CN113676197B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/06Receivers
    • H04B1/10Means associated with receiver for limiting or suppressing noise or interference
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02JCIRCUIT ARRANGEMENTS OR SYSTEMS FOR SUPPLYING OR DISTRIBUTING ELECTRIC POWER; SYSTEMS FOR STORING ELECTRIC ENERGY
    • H02J4/00Circuit arrangements for mains or distribution networks not specified as ac or dc
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/06Receivers
    • H04B1/16Circuits
    • H04B1/1607Supply circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B1/00Details of transmission systems, not covered by a single one of groups H04B3/00 - H04B13/00; Details of transmission systems not characterised by the medium used for transmission
    • H04B1/38Transceivers, i.e. devices in which transmitter and receiver form a structural unit and in which at least one part is used for functions of transmitting and receiving
    • H04B1/40Circuits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/70Reducing energy consumption in communication networks in wireless communication networks

Abstract

The application discloses power supply circuit and wireless transceiver, this power supply circuit is used for receiving and dispatching the system power supply for wireless, and it includes: the wireless transceiver system comprises a first power module, a second power module and a selection circuit, wherein the electromagnetic interference of the second power module is smaller than that of the first power module, the selection circuit is respectively connected with the first power module and the second power module and is used for selecting the second power module to supply power to the wireless transceiver system when the wireless transceiver system is in a receiving state, and at least one of the first power module and the second power module is selected to supply power to the wireless transceiver system when the wireless transceiver system is in a transmitting state.

Description

Power supply circuit and wireless transceiver
Technical Field
The present disclosure relates to wireless communication technologies, and in particular, to a power supply circuit and a wireless transceiver.
Background
With the development of digital mobile communication, the application of a wireless communication radio frequency transceiving system is gradually widened, and a DC/DC power supply is suitable for being applied to the wireless radio frequency transceiving system due to the characteristics of large current and high efficiency output.
In the long-term research and development process, the applicant of the present application finds that the switching frequency of the DC/DC power supply and the harmonic frequency thereof fall in the receiving band of the wireless transceiving system, which causes interference to the receiving of the wireless transceiving system and affects the receiving capability of the wireless transceiving system.
Disclosure of Invention
The technical problem mainly solved by the application is to provide a power supply circuit and a wireless transceiver device, which can reduce the receiving interference of a power supply to a wireless transceiver system and improve the receiving capability of the wireless transceiver system.
In order to solve the technical problem, the application adopts a technical scheme that: there is provided a power supply circuit for powering a wireless transceiver system, the power supply circuit comprising: the power supply comprises a first power supply module and a second power supply module, wherein the electromagnetic interference of the second power supply module is smaller than that of the first power supply module; and the selection circuit is respectively connected with the first power supply module and the second power supply module and is used for selecting the second power supply module to supply power to the wireless transceiving system when the wireless transceiving system is in a receiving state and selecting at least one of the first power supply module and the second power supply module to supply power to the wireless transceiving system when the wireless transceiving system is in a transmitting state.
The output current of the first power supply module is larger than that of the second power supply module, and the selection circuit selects the first power supply module to supply power to the wireless transceiving system or selects the first power supply module and the second power supply module to supply power to the wireless transceiving system when the wireless transceiving system is in a transmitting state.
The first power supply module is a DC/DC power supply; the second power module is an LDO power supply.
The selection circuit is a switch circuit and is used for responding to a control signal input by a controlled end of the selection circuit and controlling the connection state between the first power supply module and the wireless transceiving system, wherein the connection state is that the conducted power supply module is used for supplying power to the wireless transceiving system.
The selection circuit comprises a first controlled switch, a second controlled switch, a first diode and a second diode, wherein control ends of the first controlled switch and the second controlled switch are used as controlled ends of the selection circuit, a first end of the first controlled switch is connected with a first power supply module, a second end of the first controlled switch is connected with an anode of the first diode, a cathode of the first diode is connected with the wireless transceiving system, a first end of the second controlled switch is connected with the second power supply module, a second end of the second controlled switch is connected with an anode of the second diode, and a cathode of the second diode is connected with the wireless transceiving system.
The power supply circuit further comprises an auxiliary control circuit, the auxiliary control circuit is connected to the controlled end of the selection circuit and the power module, the power module connected with the auxiliary control circuit is used for sending a power-on signal to the selection circuit through the auxiliary control circuit when the wireless transceiving system needs to be started, and the selection circuit responds to the power-on signal to select at least one power module to supply power to the wireless transceiving system.
The auxiliary control circuit comprises a third diode, the anode of the third diode is connected with at least one power supply module, and the cathode of the third diode is connected with the controlled end of the selection circuit.
In order to solve the above technical problem, another technical solution adopted by the present application is: a wireless transceiving device is provided, which comprises a wireless transceiving system, a wireless transceiving module and a wireless transceiving module, wherein the wireless transceiving system is used for transmitting or receiving signals; and the power supply circuit is connected with the wireless transceiving system and used for supplying power to the wireless transceiving system, wherein the power supply circuit is any one of the power supply circuits.
The wireless transceiving system comprises a control module, wherein the control module is connected to the selection circuit of the power supply circuit and used for outputting a control signal so as to control the selection circuit to select the power supply module to supply power to the wireless transceiving system.
The wireless transceiving system comprises at least one of a mobile communication module, a WIFI module, a Bluetooth module, a zigbee module and a sub G module, and/or the wireless transceiving system is switched in transceiving time division.
According to the scheme, the first power supply module and the second power supply module are provided for supplying power to the wireless transceiving system, so that one or more power supply modules can be used for supplying power to the wireless transceiving system, the flexibility of supplying power to the wireless transceiving system is improved, and when the wireless transceiving system is in a receiving state, the power supply module with smaller electromagnetic interference is adopted for supplying power to the wireless transceiving system, so that the receiving interference of the power supply to the wireless transceiving system is reduced, and the receiving capacity of the wireless transceiving system is improved.
Drawings
FIG. 1 is a schematic diagram of an embodiment of a power supply circuit according to the present application;
FIG. 2 is a schematic diagram of another embodiment of a power supply circuit according to the present application;
FIG. 3 is a schematic diagram of the selection circuit and auxiliary control circuit of the embodiment of FIG. 2;
fig. 4 is a schematic structural diagram of an embodiment of a wireless transceiver device according to the present application.
Detailed Description
In order to make the purpose, technical solutions and effects of the present application clearer and clearer, the present application is further described in detail below with reference to the accompanying drawings and examples, and it is obvious that the described examples are only some examples of the present application, and not all examples. All other embodiments, which can be derived by a person skilled in the art from the embodiments given herein without making any creative effort, shall fall within the protection scope of the present application.
Referring to fig. 1, fig. 1 is a schematic structural diagram of an embodiment of the power supply circuit of the present application.
This power supply circuit 10 is used for supplying power for wireless transceiver system, and power supply circuit 10 includes: a first power supply module 11, a second power supply module 12 and a selection circuit 13. The electromagnetic interference of the second power module 12 is smaller than that of the first power module 11. The selection circuit 13 is respectively connected to the first power module 11 and the second power module 12, and is configured to select the second power module 12 to supply power to the wireless transceiver system when the wireless transceiver system is in a receiving state. The first power module 11 and the second power module 12 are collectively referred to as a power module.
The wireless transceiving system in the present application may use a transceiving time division switching (TDD) manner, that is, the receiving state and the transmitting state are different time periods. In the TDD mode, the two-way communication time is separated, and the wireless transceiving system enters a receiving state and a transmitting state in different periods of the working process. When the wireless transceiver system is in a receiving state, the wireless transceiver system is susceptible to interference from the power module. Because the electromagnetic interference of the second power module 12 is smaller than that of the first power module 11, when the wireless transceiving system is in a receiving state, the selection circuit 13 controls the second power module 12 to supply power to the wireless transceiving system, so that the influence of the power module on the work of the wireless transceiving system can be reduced, and the receiving capability of the wireless transceiving system is improved.
When the wireless transceiving system is in a transmitting state, at least one of the first power module 11 and the second power module 12 is selected by the selection circuit 13 to supply power to the wireless transceiving system, for example, the first power module 11 is selected to supply power, or the second power module 12 is selected to supply power, or the first power module 11 and the second power module 12 are selected to jointly supply power.
In some embodiments, the output current of the first power module 11 is greater than that of the second power module 12, and considering that the wireless transceiver system needs more power in the transmitting state, the selection circuit 13 may preferentially select the first power module 11 to supply power to the wireless transceiver system in the transmitting state. For example, the selection circuit 13 may be specifically configured to select the first power module 11 to supply power to the wireless transceiving system when the wireless transceiving system is in a transmitting state, or select the first power module 11 and the second power module 12 to supply power to the wireless transceiving system. Therefore, the first power module 11 with a large current can be used for supplying power to the wireless transceiving system in the transmitting state, and the normal operation of the transmitting of the wireless transceiving system can be ensured. In addition, because the consumption of the power supply can be reduced by using the power supply module with large output current and high efficiency for power supply, when the wireless transceiving system is in a transmitting state, the selection circuit 13 preferentially selects the first power supply module 11 for power supply to the wireless transceiving system, so that the normal operation of the transmission of the wireless transceiving system is ensured, and the consumption of the power supply is reduced.
It will be appreciated that in some embodiments, the operating state of the wireless transceiver system includes, in addition to transmitting and receiving, other states that are neither in the receiving state nor in the transmitting state, and in other states, the selection circuit 13 may select at least one of the first power module 11 and the second power module 12 to power the wireless transceiver system. In other states, the selection of the power supply module does not influence the receiving and the transmitting of the wireless transceiving system, so that the power supply module for supplying power can be selected at will.
Referring to fig. 2, fig. 2 is a schematic structural diagram of another embodiment of the power supply circuit of the present application.
The process of selecting the power module by the selection circuit 13 is to correspond to different control signals through different working states of the wireless transceiving system, and the different control signals control the selection circuit 13 to work, so that the selection circuit 13 selects the corresponding power module to supply power to the wireless transceiving system.
The first power module 11 and the second power module 12 are independently connected to the wireless transceiving system, and the selection circuit 13 can select the corresponding power module to supply power to the wireless transceiving system by controlling the connection states between the first power module 11 and the wireless transceiving system and between the second power module 12 and the wireless transceiving system. The connection state includes on or off. For example, when the selection circuit 13 controls the first power module 11 to be conducted with the wireless transceiver system, it is equivalent to select the first power module 11 to supply power to the wireless transceiver system; when the selection circuit 13 controls the second power module 12 to be conducted with the wireless transceiver system, it is equivalent to select the first power module 11 to supply power to the wireless transceiver system.
In particular, the selection circuit 13 may be a switching circuit provided with a controlled terminal 22 for receiving a control signal. The selection circuit 13 is used as a switch circuit for controlling the connection state between the first power module 11 and the second power module 12 and the wireless transceiving system in response to the control signal input by the controlled terminal 22 of the selection circuit 13. The control signal is sent by the control circuit and can correspond to the receiving and sending states of the wireless receiving and sending system, for example, when the wireless receiving and sending system is in the receiving state, the control signal corresponding to the receiving state is sent out so as to select the corresponding power supply module to be conducted with the wireless receiving and sending system, and further power supply to the wireless receiving and sending system is achieved, and the wireless receiving and sending system is in the transmitting state or other working states similarly. It is to be understood that the control circuit for sending out the control signal may be any circuit that can perform control, and specifically, the control circuit may be a circuit provided in the power supply circuit 10 and dedicated to control each circuit module in the power supply circuit 10, or a control circuit used in a wireless transceiving system to control transceiving.
In the process of switching the wireless transceiving system among the working states, the power supply modes of some working states can be selected, so that the control signals output aiming at the same working state can be different. In the actual working process of the wireless transceiving system, only one power supply mode exists at the same time, and the corresponding control signal is one, so that the control circuit selects one of the selectable power supply modes and outputs the corresponding control signal. Specifically, the selection process may be based on the principle of adjusting as few circuits as possible before and after the handover, the low consumption principle, the high efficiency principle, and other principles that do not affect the receiving capability of the wireless transceiving system.
For example, when the wireless transceiving system is adjusted from the receiving state to the transmitting state, the second power module 12 supplies power to the wireless transceiving system separately before the transition, and after the transition, any one of three power supply modes, that is, the first power module 11 supplies power separately, the second power module 12 supplies power separately, or the first power module 11 and the second power module 12 jointly supply power, may be selected. At this time, the control circuit can select three control signals to be output, and the three control signals respectively correspond to the three power supply modes. Based on the principle that the power supply modes are adjusted as little as possible before and after switching, the control circuit can select to output a control signal corresponding to the independent power supply of the second power supply module 12; based on the low consumption principle, the output current of the first power module 11 is large, the efficiency is high, and the power consumption can be reduced, so that the control circuit can selectively output the control signal corresponding to the independent power supply of the first power module 11.
In some embodiments, it is considered that when the wireless transceiver system is not started, i.e., not powered on, the control circuit sending the control signal is also in an inactive state, for example, the control circuit is a control circuit in the wireless transceiver system, and therefore, the control circuit must also be in an inactive state along with the wireless transceiver system, at this time, if the wireless transceiver system needs to be started, the wireless transceiver system needs to be powered on, and the control signal cannot be generated at this time, so that the selection circuit 13 cannot be controlled to select the power module for powering on. In view of the above situation, the power supply circuit 10 may further include an auxiliary control circuit 21, the auxiliary control circuit 21 is connected to the controlled terminal 22 of the selection circuit 13 and the power module, as shown in fig. 2, the auxiliary control circuit 21 is connected to the controlled terminal 22 of the selection circuit 13 and the first power module 11, and for convenience of description, the auxiliary control circuit 21 is connected to the controlled terminal 22 of the selection circuit 13 and the first power module 11, but it should be understood that the auxiliary control circuit 21 may also be connected to the controlled terminal 22 of the selection circuit 13 and the second power module 12, or connected to the controlled terminal 22 of the selection circuit 13 and the first power module 11 and the second power module 12, respectively.
Specifically, the first power module 11 connected to the auxiliary control circuit 21 is configured to send a power-on signal to the controlled terminal 22 of the selection circuit 13 through the auxiliary control circuit 21 when the wireless transceiving system needs to be started, and the selection circuit 13 selects at least one of the first power module 11 and the second power module 12 to supply power to the wireless transceiving system in response to the power-on signal, so that the wireless transceiving system can be powered on when the wireless transceiving system is not started, and further the wireless transceiving system is started. After the wireless transceiver system is started, the selection circuit 13 may continue to select the power module to supply power to the wireless transceiver system according to the control signal.
In addition, in the above embodiment, since the first power module 11 is connected to the controlled end 22 of the selection circuit 13 through the auxiliary control circuit 21, when the selection circuit 13 selects power supply through the control signal, the controlled end 22 of the control signal may be input to the power module through the auxiliary control circuit 21 and further affect the power module, so that the auxiliary control circuit 21 may also be configured to prevent the control signal from affecting the power module, thereby achieving the effect of protecting the circuit. For example, the auxiliary control circuit 21 may include a unidirectional diode, whereby the control signal flowing from the controlled terminal 22 to the power supply circuit is blocked by the unidirectional diode.
Referring to fig. 3, fig. 3 is a schematic structural diagram of a selection circuit and an auxiliary control circuit in the embodiment shown in fig. 2.
The selection circuit 13 is used as a switch circuit, and may specifically include a first controlled switch 31, a second controlled switch 32, a first diode 33, and a second diode 34, wherein control terminals of the first controlled switch 31 and the second controlled switch 32 are used as the controlled terminal 22 of the selection circuit 13, a first terminal of the first controlled switch 31 is connected to the first power module 11, a second terminal of the first controlled switch is connected to an anode of the first diode 33, a cathode of the first diode 33 is connected to the wireless transceiving system 36, a first terminal of the second controlled switch 32 is connected to the second power module 12, a second terminal of the second controlled switch is connected to an anode of the second diode 34, and a cathode of the second diode 34 is connected to the wireless transceiving system 36. The first diode 33 and the second diode 34 realize unidirectional current supply to the wireless transceiving system 36, so as to prevent the currents of the first power module 11 and the second power module 12 from flowing backward to each other and affecting the first controlled switch 31 and the second controlled switch 32. The first diode 33 and the second diode 34 are optional as additional protection structures of the circuit, and the selection circuit 13 may not include the first diode 33 and the second diode 34, and will not affect the process of selecting the power module.
The auxiliary control circuit 21 has one end connected to the power module and the other end connected to the controlled end 22 of the selection circuit 13, the power module outputs a power-on signal, the power-on signal is input to the controlled end 22 of the selection circuit 13 through the auxiliary control circuit 21, and the selection circuit 13 selects at least one of the first power module 11 and the second power module 12 to supply power in response to the power-on signal.
The connection between the auxiliary control circuit 21 and the selection circuit 13 determines the power modules that can be selected by the selection circuit 13. Specifically, the auxiliary control circuit 21 may be connected to the first controlled switch 31, and the power-on signal may control the first controlled switch 31 to select the first power module 11 to supply power to the wireless transceiver system 36; or the auxiliary control circuit 21 is connected to the second controlled switch 32, the power-on signal may control the second controlled switch 32 to select the second power module 12 to supply power to the wireless transceiving system 36; or the auxiliary control circuit 21 is connected to the first controlled switch 31 and the second controlled switch 32, respectively, the power-on signal may control the first controlled switch 31 and the second controlled switch 32 to select the first power module 11 and the second power module 12 to supply power to the wireless transceiving system 36. The connection mode determines the power supply modules which can be selected by the selection circuit, and in the actual working process, which power supply module is selected to supply power is controlled by the output of the power-on signal.
The connection mode of the auxiliary control circuit 21 and the power supply module determines the source of the power-on signal, the auxiliary control circuit 21 can be connected with the first power supply module 11, in which case the first power supply module 11 outputs the power-on signal to the selection circuit 13 through the auxiliary control circuit 21; or the auxiliary control circuit 21 may also be connected to the second power module 12, in which case the second power module 12 outputs a power-on signal to the selection circuit 13 via the auxiliary control circuit 21; alternatively, the auxiliary control circuit 21 may be connected to the first power module 11 and the second power module 12, respectively, in which case the first power module 11 and the second power module 12 output power-on signals to the selection circuit 13, respectively.
In some embodiments, the auxiliary control circuit 21 includes a third diode 35, an anode of the third diode 35 is connected to the at least one power module, and a cathode of the third diode 35 is connected to the controlled terminal 22 of the selection circuit 13.
Taking the example that the auxiliary control circuit 21 is connected to the first controlled switch 31 and the first power module 11, specifically, the anode of the third diode 35 is connected to the first power module 11, and the cathode of the third diode 35 is connected to the control terminal of the first controlled switch 31, in this case, the first power module 11 can input a power-on signal to the control terminal of the first controlled switch 31 through the third diode 35, and the first controlled switch 31 turns on the first power module 11 and the wireless transceiving system 36 in response to the power-on signal, so that when the control circuit in the wireless transceiving system 36 is not powered on, the first power module 11 powers on the wireless transceiving system 36, and starts the wireless transceiving system 36.
In the process of supplying power to the wireless transceiving system 36 by the power supply circuit 10, the operating state of the wireless transceiving system 36 includes an initial starting state, and a receiving state, a transmitting state and other states after starting, where the other states are neither a receiving state nor a transmitting state after starting. The operation modes of the state selection circuit 13 mainly include the following:
first, the operating state of the wireless transceiver system 36 is changed from the inactive state to the initial active state, and at this time, the auxiliary control circuit 21 or the control circuit sending the control signal may be used to control the selection circuit 13 to select the power module for supplying power.
Specifically, the working state of the wireless transceiving system 36 will be changed to the initial starting state, and at least one of the first power module 11 and the second power module 12 is selected to supply power, the process includes starting the first power module 11 and the second power module 12, and outputting the power normally by the first power module 11 and the second power module 12, at this time, the first power module 11 and the second power module 12 are not conducted with the wireless transceiving system 36. The selection circuit 13 selects any power supply module to supply power to the wireless transceiver system 36 to enable the wireless transceiver system 36 to be started.
Specifically, the process includes that the control circuit outputs a control signal to the controlled terminal 22 of the selection circuit 13 or the power module sends a power-on signal to the controlled terminal 22 of the selection circuit 13 through the auxiliary control circuit 21, and the controlled terminal 22 of the selection circuit 13 controls the connection state between the power module and the wireless transceiving system in response to the power-on signal or the control signal, and selects the corresponding power module to supply power to the wireless transceiving system 36. Taking an example that the power module sends the power-on signal to select the first power module 11 to supply power to the wireless transceiving system 36 alone, the specific process includes that the power module sends the power-on signal to the control terminal of the first controlled switch 31 through the auxiliary control circuit 21, and the first controlled switch 31 controls the conduction between the first power module 11 and the wireless transceiving system 36 in response to the power-on signal.
Secondly, the wireless transceiver system 36 is in another state after being activated, and at this time, the control circuit sending out the control signal may be used to control the selection circuit 13 to select at least one of the first power module 11 and the second power module 12 for supplying power.
Specifically, the first power module 11 and the second power module 12 are selected to jointly supply power to the wireless transceiver system 36. The process comprises the steps that a control circuit sends a control signal to a control end of a first controlled switch 31, and the first controlled switch 31 responds to the control signal to control the conduction between a first power supply module 11 and a wireless transceiving system 36; the control circuit sends a control signal to the control terminal of the second controlled switch 32, and the second controlled switch 32 controls the conduction between the second power module 12 and the wireless transceiving system 36 in response to the control signal.
Third, when the wireless transceiver system 36 is in the receiving state, the control circuit sending out the control signal may be used to control the selection circuit 13 to select the second power module 12 to supply power to the wireless transceiver system 36 alone.
Specifically, when the wireless transceiving system 36 is in a receiving state, the control circuit sends a control signal to the control terminal of the first controlled switch 31, and the first controlled switch 31 controls the first power module 11 and the wireless transceiving system 36 to be disconnected in response to the control signal; the control circuit sends a control signal to the control terminal of the second controlled switch 32, and the second controlled switch 32 controls the conduction between the second power module 12 and the wireless transceiving system 36 in response to the control signal.
Fourth, when the wireless transceiver system 36 is in the transmitting state, the control circuit sending out the control signal may be used to control the selection circuit 13 to select at least one of the first power module 11 and the second power module 12 to supply power to the wireless transceiver system 36.
Specifically, for example, the first power module 11 is selected to supply power to the wireless transceiver system 36, the process includes that the control circuit sends a control signal to the control terminal of the first controlled switch 31, and the first controlled switch 31 controls conduction between the first power module 11 and the wireless transceiver system 36 in response to the control signal; the control circuit sends a control signal to the control terminal of the second controlled switch 32, and the second controlled switch 32 controls the disconnection between the second power module 12 and the wireless transceiver system 36 in response to the control signal.
Specifically, the first controlled switch 31 is a fet M1, and the second controlled switch 32 is a fet M2. The process of controlling the conduction of the first power module 11 and the wireless transceiving system 36 by the control signal specifically includes that the control signal pulls up the field effect transistor M1 to work, and the first power module 11 and the wireless transceiving system 36 are conducted; the process of controlling the disconnection between the first power module 11 and the wireless transceiving system 36 by the control signal includes that the field effect transistor M1 is pulled down by the control signal to be inoperative, and the first power module 11 is disconnected from the wireless transceiving system 36. The process of controlling the conduction of the second power module 12 and the wireless transceiving system 36 by the control signal includes that the control signal pulls up the field effect transistor M2 to work, and the conduction between the second power module 12 and the wireless transceiving system 36 is realized; the process of controlling the disconnection between the second power module 12 and the wireless transceiving system 36 by the control signal includes that the field effect transistor M2 is pulled down by the control signal to be inoperative, and the second power module 12 is disconnected from the wireless transceiving system 36.
Through the above manner, the power supply circuit 10 can select the power supply manner according to different control signals corresponding to different working states of the wireless transceiving system 36, and when the wireless transceiving system 36 is in the receiving state, the second power module 12 with small electromagnetic interference is used for supplying power to the wireless transceiving system, so that interference of the power module on receiving of the wireless transceiving system 36 is avoided, and the receiving capability of the wireless transceiving system 36 is improved.
In some embodiments, first power module 11 is a DC/DC power supply and second power module 12 is an LDO power supply. The DC/DC power supply has the characteristics of high efficiency and large current output, and the consumption of the power supply can be reduced by adopting the DC/DC power supply for power supply during transmission. The LDO power supply has a low noise output characteristic, and the reception capability of the wireless transceiver system 36 can be improved by supplying power with the LDO power supply during reception.
It is understood that the selection of the power supply mode by the selection circuit 13 receiving the control signal is a process, and a certain time is required. Therefore, in order to further improve the receiving capability of the wireless transceiver system 36, in some embodiments, the control signal for switching to the receiving state power supply mode is output immediately before the operating state of the wireless transceiver system 36 is changed to the receiving state, and the process of controlling the selection circuit 13 to change the power supply mode to the power supply mode by using the second power module 12 alone is completed before the wireless transceiver system 36 is changed to the receiving state. The control signal for switching the power supply mode from the receiving state to the power supply mode in the other working state is output after the wireless transceiving system 36 has finished receiving the state, and the control signal controls the selection circuit 13 to complete the process of switching the power supply mode from the power supply mode solely by using the second power module 12 to the power supply mode in the other power supply mode after the wireless transceiving system 36 has finished receiving the state. Therefore, the wireless transceiving system 36 can be ensured to be solely powered by the second power module 12 in the whole receiving state, power module interference caused by untimely switching of the power supply mode is eliminated, and the receiving capability of the wireless transceiving system 36 is further improved.
Referring to fig. 4, fig. 4 is a schematic structural diagram of a wireless transceiver according to an embodiment of the present application.
The wireless transceiver device comprises a wireless transceiver system 36 and a power supply circuit 10, wherein the wireless transceiver system 36 is used for transmitting or receiving signals, the power supply circuit 10 is connected with the wireless transceiver system 36 and is used for supplying power to the wireless transceiver system 36, and the wireless transceiver system is switched in a time division mode. The power supply circuit 10 may be any one of the power supply circuits 10 described above, and the power supply circuit 10 shown in fig. 4 is only one implementation, and the power supply circuit 10 is identical to the power supply circuit 10 in the embodiment of fig. 3.
In some embodiments, the wireless transceiver system 36 includes a control module 41, and the control module 41 is connected to the selection circuit 13 of the power supply circuit 10 and configured to output a control signal to control the selection circuit 13 to select the power supply module to supply power to the wireless transceiver system 36.
In some embodiments, the wireless transceiving system 36 comprises at least one of a mobile communication module, a WIFI module, a bluetooth module, a zigbee module, a SubG module.
The wireless transceiver can select power supply modes corresponding to different states of the wireless transceiver system 36, and when the wireless transceiver system 36 is in a receiving state, only the power supply module with small electromagnetic interference is used for supplying power, so that the interference of the power supply to the wireless transceiver system 36 is reduced, and the receiving capacity of the wireless transceiver is improved.
The above description is only for the purpose of illustrating embodiments of the present application and is not intended to limit the scope of the present application, and all modifications of equivalent structures and equivalent processes, which are made by the contents of the specification and the drawings of the present application or are directly or indirectly applied to other related technical fields, are also included in the scope of the present application.

Claims (10)

1. A power supply circuit for powering a wireless transceiver system, the power supply circuit comprising:
a first power supply module;
a second power module, wherein the second power module has less electromagnetic interference than the first power module;
the selection circuit is respectively connected with the first power supply module and the second power supply module and used for selecting the second power supply module to supply power to the wireless transceiving system when the wireless transceiving system is in a receiving state, selecting the first power supply module to supply power to the wireless transceiving system or selecting the first power supply module and the second power supply module to supply power to the wireless transceiving system when the wireless transceiving system is in a transmitting state, selecting at least one of the first power supply module and the second power supply module to supply power to the wireless transceiving system when the wireless transceiving system is in an initial starting state or other states, and switching the wireless transceiving system in a transceiving time-sharing mode.
2. The power supply circuit of claim 1,
the output current of the first power supply module is larger than that of the second power supply module.
3. The supply circuit according to claim 1 or 2,
the first power supply module is a DC/DC power supply;
the second power module is an LDO power supply.
4. The power supply circuit according to claim 1, wherein the selection circuit is a switch circuit, and is configured to control a connection state between the first power module and the wireless transceiver system and the second power module in response to a control signal input by a controlled terminal of the selection circuit, wherein the connection state is a state in which the power module is turned on to supply power to the wireless transceiver system.
5. The power supply circuit according to claim 4, wherein the selection circuit comprises a first controlled switch, a second controlled switch, a first diode and a second diode, wherein control terminals of the first controlled switch and the second controlled switch are controlled terminals of the selection circuit, a first terminal of the first controlled switch is connected to a first power module, a second terminal of the first controlled switch is connected to an anode of the first diode, a cathode of the first diode is connected to the wireless transceiving system, a first terminal of the second controlled switch is connected to a second power module, a second terminal of the second controlled switch is connected to an anode of the second diode, and a cathode of the second diode is connected to the wireless transceiving system.
6. The power supply circuit of claim 4,
the power supply circuit further comprises an auxiliary control circuit, the auxiliary control circuit is connected to the controlled end of the selection circuit and the power module, the power module connected with the auxiliary control circuit is used for sending a power-on signal to the selection circuit through the auxiliary control circuit when the wireless transceiving system needs to be started, and the selection circuit responds to the power-on signal to select at least one power module to supply power to the wireless transceiving system.
7. The power supply circuit of claim 6, wherein the auxiliary control circuit comprises a third diode, an anode of the third diode is connected to at least one of the power modules, and a cathode of the third diode is connected to the controlled terminal of the selection circuit.
8. A wireless transceiver device, comprising:
the wireless transceiving system is used for transmitting or receiving signals;
a power supply circuit connected to the wireless transceiver system for supplying power to the wireless transceiver system, wherein the power supply circuit is the power supply circuit of any one of claims 1 to 7.
9. The wireless transceiver apparatus of claim 8, wherein the wireless transceiver system comprises a control module, and the control module is connected to the selection circuit of the power supply circuit and configured to output a control signal to control the selection circuit to select the power supply module to supply power to the wireless transceiver system.
10. The wireless transceiver apparatus of claim 9, wherein the wireless transceiver system comprises at least one of a mobile communication module, a WIFI module, a bluetooth module, a zigbee module, and a sub g module.
CN202110920158.0A 2021-08-11 2021-08-11 Power supply circuit and wireless transceiver Active CN113676197B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110920158.0A CN113676197B (en) 2021-08-11 2021-08-11 Power supply circuit and wireless transceiver

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110920158.0A CN113676197B (en) 2021-08-11 2021-08-11 Power supply circuit and wireless transceiver

Publications (2)

Publication Number Publication Date
CN113676197A CN113676197A (en) 2021-11-19
CN113676197B true CN113676197B (en) 2023-03-24

Family

ID=78542363

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110920158.0A Active CN113676197B (en) 2021-08-11 2021-08-11 Power supply circuit and wireless transceiver

Country Status (1)

Country Link
CN (1) CN113676197B (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN203313165U (en) * 2013-05-02 2013-11-27 重庆徐港电子有限公司 Vehicle-mounted FM/AM radio being free of self switch power supply interference

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101741250B (en) * 2008-11-04 2012-10-03 友顺科技股份有限公司 Pulse width modulation control circuit reducing electromagnetic interference by frequency modulation of commercial power and method thereof
JP5909438B2 (en) * 2012-12-20 2016-04-26 京セラドキュメントソリューションズ株式会社 Image forming apparatus
CN205070865U (en) * 2015-07-22 2016-03-02 福建成达兴智能科技股份有限公司 Electrically operated gate control circuit
CN105429279B (en) * 2015-12-23 2018-06-26 浙江华飞智能科技有限公司 A kind of electric power system of electrical equipment and its method being powered
CN111800150B (en) * 2020-06-30 2022-04-15 深圳市元征科技股份有限公司 Vehicle-mounted equipment power supply management method and related components

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN203313165U (en) * 2013-05-02 2013-11-27 重庆徐港电子有限公司 Vehicle-mounted FM/AM radio being free of self switch power supply interference

Also Published As

Publication number Publication date
CN113676197A (en) 2021-11-19

Similar Documents

Publication Publication Date Title
JP3088246B2 (en) Wireless communication device
JP4159617B2 (en) COMMUNICATION SYSTEM, COMMUNICATION DEVICE, AND COMMUNICATION METHOD
CN104283595A (en) Transmitting diversity mode switch control method, device and circuit
US8396504B2 (en) Mobile terminal device and control method for saving electric power of mobile terminal device
EP2766974B1 (en) Mobile terminal, power transfer system and computer-readable storage medium
CN102918707B (en) Communication system has the node of switchable antenna function
KR20130040656A (en) Apparatus and method for controlling trnasmission and reception operations in wireless communication system
US8744498B2 (en) Cordless communication system
CN103592869A (en) Electronic device power supply control system and method
CN113676197B (en) Power supply circuit and wireless transceiver
JP2005184352A (en) Cellular phone terminal device
US8724758B2 (en) Power-efficient variable-clock-rate DIGRF interface
JP2005045669A (en) Mobile communication device
CN112803764A (en) Radio frequency system, power supply method of radio frequency system and electronic equipment
JP5023040B2 (en) Wireless communication system and base station
US20050221871A1 (en) Circuit and method for saving power of a mobile communication terminal
CN220107980U (en) Dual-mode communication module and Internet of things gas meter
KR200363911Y1 (en) Power Control Apparatus of Mobile Phone using by Dual Modeor Triple Mode
US10595110B2 (en) Microphone device
CN214045864U (en) WIFI 6-based television router and television
JP2011147064A (en) Mobile radio device
US20230308054A1 (en) Communication device having power amplification for multiple transmit uplinks
JP2005277648A (en) Communication device and method for controlling power supply therein
KR100279374B1 (en) Power supply circuit of wireless modem
KR940011750B1 (en) Battery control method of mobile telephone

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant