CN113039488B - 校准多个计量设备的方法、确定感兴趣参数的方法以及计量设备 - Google Patents

校准多个计量设备的方法、确定感兴趣参数的方法以及计量设备 Download PDF

Info

Publication number
CN113039488B
CN113039488B CN201980075962.4A CN201980075962A CN113039488B CN 113039488 B CN113039488 B CN 113039488B CN 201980075962 A CN201980075962 A CN 201980075962A CN 113039488 B CN113039488 B CN 113039488B
Authority
CN
China
Prior art keywords
representation
encoder
metrology
decoder
machine learning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980075962.4A
Other languages
English (en)
Other versions
CN113039488A (zh
Inventor
S·I·莫萨瓦特
B·O·法格金杰·奥尔
R·德克斯
A·奥诺塞
H·A·J·克莱默
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN113039488A publication Critical patent/CN113039488A/zh
Application granted granted Critical
Publication of CN113039488B publication Critical patent/CN113039488B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Artificial Intelligence (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Software Systems (AREA)
  • Automation & Control Theory (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Apparatus For Radiation Diagnosis (AREA)

Abstract

用于校准计量设备和确定感兴趣参数的方法。在一种布置中,提供了训练数据,其包括由多个计量设备中的每一个检测的散射辐射的检测表示。编码器对每个检测表示进行编码以提供编码表示,并且解码器从相应的编码表示生成合成检测表示。分类器估计每个编码表示或每个合成检测表示源自哪个计量设备。训练数据用于同时以相对彼此的对抗关系执行涉及编码器或解码器的第一机器学习过程和涉及分类器的第二机器学习过程。

Description

校准多个计量设备的方法、确定感兴趣参数的方法以及计量 设备
相关技术的交叉引用
本申请要求于2018年10月9日提交的欧洲申请18199371.8的优先权,并且通过引用将其全文结合于此。
技术领域
本发明涉及校准多个计量设备。
背景技术
光刻设备是被构造为将期望的图案施加到衬底上的机器。光刻设备可用于例如集成电路(IC)的制造中。光刻设备可以例如将图案化装置(例如,掩模)处的图案(通常也被称为“设计布局”或“设计”)投射到设置在衬底(例如,晶圆)上的辐射敏感材料(抗蚀剂)层上。
为了将图案投射在衬底上,光刻设备可使用电磁辐射。该辐射的波长决定了可形成于衬底上的特征的最小尺寸。目前使用的典型波长为365nm(i线)、248nm、193nm和13.5nm。与使用例如具有193nm波长的辐射的光刻设备相比,使用具有在4-20nm范围内(例如6.7nm或13.5nm)的波长的极紫外(EUV)辐射的光刻设备可以用于在衬底上形成更小的特征。
期望对在光刻工艺中产生的结构进行测量,例如用于工艺控制和验证。用于进行这种测量的各种工具是已知的。这种工具可称为计量设备。
依赖于光学散射测量的计量设备是已知的。在这种计量设备中,通过测量从目标散射的辐射来获得光学信号。光学信号可包括强度、相位、偏振、光谱信息或其他光学特性。从光学信号中推断出描述目标的属性的感兴趣参数,诸如目标的套刻(OV)、临界尺寸(CD)或更复杂的形状参数描述的结构。光学信号受目标的特性和计量设备的特性的影响。有必要在这两种影响之间进行区分以确定感兴趣参数。
期望使用不同的计量设备一致地测量目标的特性。这种能力可被称为工具到工具匹配。随着摩尔定律的不断发展,实现适当的工具到工具匹配变得越来越困难。对于复杂的测量模式,例如对于小目标(例如5X5μm2目标)使用不同偏振模式或宽波长范围的情况,以及对于困难的使用情况,例如灵敏度低和/或正在确定具有相关响应的多个感兴趣参数的情况,尤其如此。
发明内容
本发明的目的是例如在工具到工具匹配的背景下改进计量设备的校准。
在本发明的一个方面中,提供了一种校准多个计量设备的方法,包括:获得训练数据,对于每个计量设备,该训练数据包括从衬底上的结构散射并由计量设备检测到的辐射的多个检测表示;提供编码器,该编码器被配置为对每个检测表示进行编码以提供编码表示,以及提供解码器,该解码器被配置成从相应的编码表示生成合成检测表示;提供分类器,该分类器被配置为估计每个编码表示或每个合成检测表示源自哪个计量设备;以及使用训练数据来同时执行:第一机器学习过程,在该第一机器学习过程中,编码器和解码器中的一者或两者被训练为1)最小化检测表示和对应的合成检测表示之间的差,以及2)最小化分类器正确地识别出每个编码表示或每个合成检测表示源自哪个度量设备的概率;以及第二机器学习过程,在该第二机器学习过程中,分类器被训练为最大化分类器正确地识别出每个编码表示或每个合成检测表示源自哪个度量设备的概率。
附图说明
现在将参考所附示意图仅通过示例的方式描述本发明的实施例,在附图中相同的参考标号表示相应的特征,并且在附图中:
图1描绘了光刻设备的示意图;
图2描绘了光刻单元的示意图;
图3描绘了计量设备的示意图;
图4描绘了自动编码器;
图5示出了根据实施例的校准多个计量设备的方法;
图6描绘了具有多个编码器和共享解码器的示例对抗网络;
图7描绘了图6的网络的变型,其中分类器对由编码器输出的编码表示进行操作;
图8描绘了具有共享编码器和共享解码器的示例对抗网络;并且
图9描绘了用于基于来自虚拟计量设备的模拟进行训练的布置。
具体实施方式
在本文件中,术语“辐射”和“光束”用于涵盖所有类型的电磁辐射,包括紫外辐射(例如,具有365、248、193、157或126nm的波长)和EUV(极紫外辐射,例如,具有在约5-100nm范围内的波长)。
如本文使用的,术语“光罩”、“掩模”或“图案化装置”可以广义地解释为是指通用图案化装置,该通用图案化装置可以用于赋予入射辐射光束图案化横截面,该图案化横截面对应于有待在衬底的目标部分中产生的图案。术语“光阀”也可以在此背景下使用。除了经典掩模(透射或反射、二进制、相移、混合等)之外,其他此类图案化装置的示例还包括可编程反射镜阵列和可编程LCD阵列。
图1示意性地描绘了光刻设备LA。光刻设备LA包括:照明系统(也称为照明器)IL,被配置为调节辐射光束B(例如,UV辐射、DUV辐射或EUV辐射);掩模支撑件(例如,掩模台)MT,被构造为支撑图案化装置(例如,掩模)MA并且连接至第一定位器PM,该第一定位器PM被配置为根据某些参数精确地定位图案化装置MA;衬底支撑件(例如,晶圆台)WT,被构造为保持衬底(例如,涂覆抗蚀剂的晶圆)W并且连接至第二定位器PW,该第二定位器被配置为根据某些参数准确地定位衬底支撑件;以及投射系统(例如,折射投射透镜系统)PS,被配置为将通过图案化装置MA赋予辐射光束B的图案投射到衬底W的目标部分C(例如,包括一个或多个管芯)上。
在操作中,照明系统IL例如经由光束传送系统BD从辐射源SO接收辐射光束。照明系统IL可包括各种类型的光学部件,诸如折射、反射、磁性、电磁、静电和/或其他类型的光学部件,或其任何组合,以用于引导、成形和/或控制辐射。照明器IL可用于调节辐射光束B以在图案化装置MA的平面处的截面中具有期望的空间和角度强度分布。
在此使用的术语“投射系统”PS应当被广泛地解释为包括各种类型的投射系统,包括折射、反射、折反射、变形、磁、电磁和/或静电光学系统、或其任何组合,适用于所使用的曝光辐射和/或其他因素,例如使用浸没液体或使用真空。本文中对术语“投射透镜”的任何使用可被视为与更通用的术语“投射系统”PS同义。
光刻设备LA可以是这样的类型:其中,衬底的至少一部分可被具有相对高折射率的液体(例如,水)覆盖,以便填充投射系统PS与衬底W之间的空间---这也被称为浸没光刻。在US6952253中给出了关于浸没技术的更多信息,其通过引用结合于此。
光刻设备LA还可以是具有两个或更多个衬底支撑件WT的类型(也称为“双级”)。在这种“多级”机器中,可以并行地使用衬底支撑件WT,和/或可以在位于衬底支撑件WT的一个衬底支撑件上的衬底W上执行准备衬底W的后续曝光的步骤,同时使用另一个衬底支撑件WT上的另一衬底W来曝光另一个衬底W上的图案。
除了衬底支撑件WT之外,光刻设备LA可以包括测量台。该测量台被布置为保持传感器和/或清洁装置。传感器可被布置为测量投射系统PS的特性或辐射光束B的特性。测量台可保持多个传感器。清洁装置可被布置为清洁光刻设备的一部分,例如投射系统PS的一部分或提供浸没液体的系统的一部分。当衬底支撑件WT远离投射系统PS时,测量平台可在投射系统PS下方移动。
在操作中,辐射光束B入射到保持在掩模支撑件MT上的图案化装置(例如掩模、MA)上,并且通过存在于图案化装置MA上的图案(设计布局)而被图案化。在穿过掩模MA之后,辐射光束B穿过投射系统PS,投射系统PS将光束聚焦在衬底W的目标部分C上。借助于第二定位器PW和位置测量系统IF,可以精确地移动衬底支撑件WT,例如以便将不同的目标部分C定位在辐射光束B的路径中的聚焦和对准位置处。类似地,第一定位器PM和可能的另一位置传感器(在图1中未明确示出)可以用于相对于辐射光束B的路径准确地定位图案化装置MA。图案化装置MA和衬底W可使用掩模对准标记M1、M2和衬底对准标记P1、P2而被对准。虽然如图所示的衬底对准标记P1、P2占据专用目标部分,但是衬底对准标记P1、P2可位于目标部分之间的空间中。当衬底对准标记P1、P2位于目标部分C之间时,衬底对准标记P1、P2称为划道(scribe-lane)对准标记。
如图2所示,光刻设备LA可以形成光刻单元LC的一部分,有时也被称为光刻单元或(光刻)簇,其通常还包括用于在衬底W上执行曝光前和曝光后工艺的设备。通常,这些设备包括沉积抗蚀剂层的旋涂器SC、显影曝光抗蚀剂的显影剂DE、以及例如用于调节衬底W(例如用于调节抗蚀剂层中的溶剂)的温度的冷却板CH和烘烤板BK。衬底搬运器或机械手RO从输入/输出口I/O1、I/O2拾取衬底W,在不同的处理设备之间移动衬底W,并将衬底W传送到光刻设备LA的装载区LB。光刻单元中的装置(通常也统称为轨道)通常在轨道控制单元TCU的控制下,该轨道控制单元TCU本身可以由监督控制系统SCS控制,该监督控制系统SCS还可以例如经由光刻控制单元LACU控制光刻设备LA。
计量设备(也可称为检查设备)用于测量衬底W上的目标的特性,诸如套刻(overlay)误差(OV)、临界尺寸(CD)或更复杂的形状参数。计量设备还可用于识别衬底W上的缺陷。计量设备可被设置为光刻单元LC的一部分,或者可以被集成到光刻设备LA中,或者甚至可以是独立装置。计量设备可使用潜像(曝光之后的抗蚀剂层中的图像),或者使用半潜像(曝光后烘烤步骤PEB之后的抗蚀剂层中的图像),或者使用显影的抗蚀剂图像(其中抗蚀剂的曝光或未曝光部分已被去除),或甚至使用蚀刻图像(在诸如蚀刻的图案转移步骤之后)来测量特性。来自计量设备的输出可用于调整后续衬底W的曝光或将在衬底W上执行的其他处理步骤,尤其是如果在相同批次(batch)或批(lot)的其他衬底W仍待曝光或处理之前完成检查。
计量设备可以包括散射仪,由此检测和分析从衬底W上的目标散射的辐射以获得关于目标的信息。由散射仪测量的目标可以是专用计量目标或装置结构的一部分。目标可以是未足量填充的(使得照明斑点小于目标)或过度填充的(使得照明斑点延伸超过目标)。
计量设备可允许在散射仪的物镜的光瞳平面中或者在与光瞳平面共轭的平面中经由检测器来测量光刻工艺的参数。这样的测量可以被称为基于光瞳的测量。散射辐射的检测表示可包括相关平面中的强度或相位的分布。检测表示可被称为检测光瞳表示或光瞳图像。可替代地或另外地,检测器可以设置在图像平面中或与图像平面共轭的平面中,在这种情况下,测量可以被称为基于图像或场的测量。散射辐射的检测表示可包括相关平面中的强度或相位的分布。在专利申请US20100328655、US2011102753A1、US20120044470A、US20110249244、US20110026032或EP1628164A中进一步描述了这样的散射仪和相关的测量技术,通过引用将其全部内容结合于此。上述散射仪可以使用来自软X射线并对近IR波长范围可见的光在一个图像中测量来自多个光栅的多个目标。
图3中描绘了包括散射仪的计量设备30的示例。计量设备30包括将辐射5投射到衬底W上的辐射源2。反射或散射辐射10由检测器4检测。在所示的示例中,辐射源2是宽带(白光)辐射投射仪并且检测器4测量镜面反射辐射10的光谱6(即,强度I作为波长λ的函数)。在其他实施例中,可使用不同形式的辐射源和检测器。散射仪可被配置为正入射散射仪或斜入射散射仪。
计量设备30可以以基于模型的方法来使用,如图3的下部中示意性地描绘的。在基于模型的方法中,理论模型用于模拟来自辐射源2的辐射与衬底W上的结构8之间的相互作用,以及由检测器4检测辐射的过程。通过适配描述结构8的模型中的参数直到在检测器4处的模拟检测表示和在检测器4处的实际检测表示之间实现期望的拟合质量,可以确定结构8的一个或多个感兴趣参数。以此方式确定一个或多个感兴趣参数可称为重构。可使用处理单元PU来执行重构。在一个实施例中,使用严格耦合波分析和非线性回归或通过与模拟检测表示(例如,图3中所示的示例中的模拟光谱)的库进行比较来执行重构。通常,对于重构,结构8的一般形式是已知的,并且根据制造结构8的工艺的知识来假设一些参数,仅留下结构8的相对少量的参数从由计量设备30执行的测量确定。
计量设备30可以以数据驱动的方法使用。数据驱动方法使用从由检测器4获得的原始信号到一个或多个感兴趣参数的直接回归。基于一些基础真实数据,可以使用具有一个或多个感兴趣参数的已知变化的目标来训练回归函数。例如,基础真实数据可以通过使用参考工具(例如扫描电子显微镜(SEM))对目标特性的测量而被获得,或者通过在生成衬底W的过程期间在衬底以上诱导目标特性的已知变化而被获得,例如通过套刻设置值中的编程偏移或通过光罩写入偏移。
现在描述三个技术概念作为对理解本公开有用的背景:1)自动编码器;2)生成式对抗网络(GAN);以及3)标准化。
自动编码器是用于非线性降维(类似于主成分分析(PCA))和用于生成建模的神经网络。
在图4中描绘了自动编码器20的示例结构。自动编码器20由多层神经网络组成,其中,输出Youtput的维数与输入Xinput的维数相同,并且其中,隐藏层中的一个具有较低的维数,充当信息瓶颈。为了简单起见,在图4中仅描绘了少量的层和神经元。F1是可被称为编码器的神经网络的一部分。F2是可被称为解码器的神经网络的一部分。最内层26具有较少的神经元并且充当信息瓶颈。最内层26捕获输入Xinput的简明描述。因此,最内层26可以用于提供输入Xinput的降维表示。自动编码器20被训练为使得输出Youtput与输入Xinput紧密匹配。因此,训练过程涉及输入Xinput与输出Youtput之间的比较(由框28示意性地描绘),以及向编码器F1和解码器F2中的一者或两者的反馈。
无监督训练通过最小化以下差来确保自动编码器20在输出Youtput处再现基本输入信息:
为了规范成本函数,可以添加附加项,该附加项对可能函数F1和F2的类别进行惩罚。因为该信息仍然必须通过最内层26,所以最内层26应该包含数据再现所需的足够的统计量。
GAN通常用于生成非常类似于数据的参考集合的性质的人工数据。GAN由两个模块组成,通常是两个神经网络。一个神经网络将生成合成数据,并且第二神经网络将评估第一神经网络的输出以尝试对其输入数据是由生成式模型(第一神经网络)生成还是来自参考集合(例如实际物理过程)进行分类。这两个模型可以以竞争模式被联合训练:生成式模型的目标是欺骗分类器。通过变得擅长欺骗分类器,生成式模型将学会实际数据应该看起来是什么样的,使得分类器不能将合成数据与实际数据区分开。另一侧的分类器尝试区分真实数据和合成数据。通过训练分类器,分类器将提高其检测两个数据源之间的任何统计差的能力。
来自计量设备30的原始测量信号可被标准化以去除或减少对来自计量设备30的原始测量信号的影响。标准化的最简单形式是使用参考分支来确定用于照射衬底W的辐射源2的强度。更先进的方法使用具有已知反射率的参考衬底来跟踪计量设备30的光学器件的变化。
另一种标准化方法是琼斯框架中的迹(trace)标准化,例如用于基于模型的重构。在该方法中,对于由检测器4获得的检测表示(例如,光学图像)中的每个像素,(平方琼斯)矩阵Mout和ρin分别为计量设备30的光学器件的输出分支和输入分支指定计量设备30的校准状态。检测表示被建模为这些矩阵与在这个像素处的目标的反射琼斯矩阵的矩阵相乘的迹。作为近似法,测量强度Im可由标准化,其中/>是完美反射镜的反射率。该迹对应于使用具有该校准状态的计量设备测量的完美反射镜的预期检测表示(信号)图像强度。从该目标测量的检测表示(信号)可以用此迹如下进行标准化:
对于输出两个检测表示(信号)(一个共极化的和一个交叉极化的)的计量系统,可以使用co-pol和x-pol迹的总和来适配标准化以避免被零除。
琼斯框架中的迹标准化去除了诸如计量设备30的光学器件中的辐射源强度波动透射变化的效应,但是不能完全补偿检测器光学器件的偏振效应,因为这些偏振效应不能在强度水平上分开。(这与基于模型的推断相反,基于模型的推断能够考虑到这样的效应,只要计量设备30被准确地校准。)因此,即使在标准化来自目标的原始检测表示之后,在参考目标上测量的“校准信号”以及描述照明源的参考分支信号将作为有关计量设备30的实际状态的信息源而保持重要。
如在本说明书的引言部分中所论述的,期望使用多个不同的计量工具来一致地测量衬底W上的目标的特性,这可被称为工具到工具匹配。在基于模型的方法的情况下,可通过使用对具有众所周知的特性的校准目标的测量来单独地校准描述每个计量设备30上的检测器4的特性的模型的参数来改善匹配。在数据驱动方法的情况下,可以通过使用黄金(golden)训练衬底或使用印刷在每个衬底W的划道中的目标来重新训练每个计量设备30上的回归函数(的部分)来改善匹配。或者,可使用务实的方法来改善匹配以去除计量设备30对检测表示的大部分影响,例如,通过基板旋转以去除检测器不对称性,和/或通过参考信号标准化检测表示,该参考信号对计量装置30的一些特性具有类似的响应(例如,来自参考分支的检测表示、检测表示的对称部分、或共极化的检测表示)。以下实施例描述校准方法,其目的是使足够的工具到工具匹配更容易实现或比当前所能实现的进一步扩展工具到工具匹配的精度而无需过多的测量时间或计算资源。
图5描绘了校准多个计量设备301-30N以实现工具到工具匹配的方法。该方法包括获得训练数据32。对于计量设备301-30N中的每个,训练数据32包括从衬底W上的结构散射的并且由计量设备301-30N检测到的辐射的多个检测表示。因此,训练数据32包括多个计量设备特定数据集。每个检测表示可包括以下中的一个或多个:光瞳平面或其共轭中的强度分布、光瞳平面或其共轭中的相位分布、图像平面或其共轭中的强度分布、图像平面或其共轭中的相位分布。
在实施例中,通过利用待匹配的多个计量设备301-30N中的所有计量设备测量相同的衬底W或一组衬底W来获得训练数据32。在所示示例中,训练数据32是通过对两组不同的衬底341和342执行计量测量来获得的。计量设备301-303通过测量衬底组341中的衬底W来贡献训练数据32,并且度量设备304-30N通过测量衬底组342中的衬底W来贡献训练数据32。尽管计量设备301-30N不必测量相同的衬底W或衬底组W,但期望不同的计量设备301-30N测量在给定时间范围内已经经受了给定类型的光刻工艺的衬底W的代表性样本。在实施例中,每个计量设备301-30N测量由同一组处理工具(例如,扫描仪、蚀刻器等)中的每个和每一个进行采样处理的在统计学上相似的衬底W的分布(不一定是相同的衬底W)。尽管如此,也可以用匹配的所有计量设备301-30N测量同一组衬底W。这确保了每个计量设备301-30N看到相同的分布。在可以保留一组衬底W仅用于校准目的的情况下,该方法可能是期望的。在存在在储存期间会劣化的衬底W的情况下,这可能是不实际的。在现代的大批量制造环境中,衬底性质的统计分布可能不会随时间而大幅改变,这意味着可省去储存所谓的圣(holy)(参考)衬底W的负担。
每个计量设备301-30N对训练数据32的贡献可包括以下中的一个或多个:非标准化的检测表示(例如,光瞳或图像平面或其共轭中的强度和/或相位信息),标准化的检测表示(例如,如上所述处理的以从计量设备移除对检测表示的影响的一部分的检测表示),以及校准数据(例如,矩阵Mout和ρin)。
提供了编码器F1。编码器F1对每个检测表示进行编码以提供编码表示。提供解码器F2。解码器F2从相应的编码表示生成合成检测表示。
提供了分类器CL(在图6-9中举例说明并在下面论述)。分类器CL估计每个编码表示或每个合成检测表示源自哪个计量设备301-30N
分类器CL以及编码器F1和解码器F2中的一者或两者被参数化,从而允许它们被训练(通过调整一个或多个参数以改善它们各自的性能)。编码器F1取决于参数θ1并且将输入x(包括检测表示)映射到潜在空间代码z=F1(x,θ1)。潜在空间代码z包括来自计量设备301-30N的检测表示的编码表示。解码器F2取决于参数θ2并且将代码z映射到输出y=F2(z,θ2)(包括合成检测表示)。解码器F2用作生成式模型以提供合成检测表示。输出y以以下方式提供合成检测表示,即相对于输入x的检测表示,减小了来自计量设备30的影响。由分类器CL判断影响被抑制的程度。
训练数据32用于同时执行第一机器学习过程41和第二机器学习过程42。
在第一机器学习过程41中,编码器F1和解码器F2中的一者或两者被训练为:1)最小化检测表示和相应的合成检测表示之间的差,以及2)最小化分类器CL正确地识别出每个编码表示或每个合成检测表示源自哪个计量设备301-30N的概率。
在第二机器学习过程42中,分类器CL被训练为使分类器CL正确地识别出每个编码表示或每个合成检测表示源自哪个计量设备301-30N的概率最大化。
来自训练过程的输出46提供编码器F1和/或解码器F2,该编码器F1和/或解码器F2可以以保真度和混淆度之间的最佳平衡来处理从不同的计量设备301-30N获得的检测表示,其中保真度表示输出y保留关于目标的信息的程度,并且混淆度表示来自不同计量设备301-30N的输出y不可区分的程度。在实施例中,通过优化成本函数43来实现保真度和混淆度的最大化。以下参见图6的实施例描述合适的成本函数43的示例数学形式。
基于成本函数43的优化改进了分类器CL以识别正确的计量设备301-30N,而编码器F1和/或解码器F2被更新为混淆分类器CL并在输入x中保留感兴趣的信息。成本函数43确保第一机器学习过程41与第二机器学习过程42竞争。因此,第一机器学习过程41和第二机器学习过程42之间的关系可被描述为对抗的。在一些实施例中,第一机器学习算法41和第二机器学习算法42的组合被实现为生成式对抗网络(GAN)44,其中,第一机器学习过程41相对于第二机器学习过程42是对抗的,并且解码器F2作为GAN 44的生成式模型。
可以使用各种不同的编码器/解码器组合。
在一类实施例中,编码器F1和解码器F2包括神经网络。神经网络可包括自动编码器。在这种情况下,编码器F1可以由神经网络给出,该神经网络具有等于输入x的维数的输入神经元和等于z的维数的(较少的)输出神经元,以及其间的任何种类的神经网络架构。θ1由描述F1神经的网络的参数组成,例如,这个神经网络的权重和偏置。z是由编码器F1产生的输入x的压缩中间表示。解码器F2由神经网络给出,该神经网络具有等于z的维度的输入神经元和等于输出y的维度的(较多的)输出神经元,以及其间的任何种类的神经网络架构。θ2由描述解码器F2的神经网络的参数组成,例如,这个神经网络的权重和偏置。
在实施例中,自动编码器是变分自动编码器神经网络。在这种情况下,编码器F1由神经网络给出,该神经网络具有等于输入x的维数的输入神经元和等于z的维数(较少的)输出神经元,以及其间的任何种类的神经网络架构。θ1由描述编码器F1的神经网络的参数组成,例如,这个神经网络的权重和偏置。
对于变分自动编码器,z参数化可能代码的随机分布(例如,代码空间中的高斯分布的均值和协方差矩阵),而不是单个代码。解码器F2由神经网络给出,该神经网络具有等于从由F1(x)参数化的分布采样的代码的维度的输入神经元和等于输出y的维度的(较多的)输出神经元,以及其间的任何种类的神经网络架构。θ2由描述解码器F2的神经网络的参数组成,例如,这个神经网络的权重和偏置。
在替代实施例中,编码器F1和解码器F2包括参数化滤波器。参数化滤波器可应用于包括衍射效率的输入x。编码器F1可以被定义为F1(x)=ΘU+x,其中,x是包括检测光瞳表示(例如,光瞳平面或共轭平面中的强度分布)的检测表示,U是由光瞳中的基向量组成的矩阵(例如,由光瞳中的主成分分析(PCA)成分或Zernike模式组成的正交矩阵或诸如独立成分分析(ICA)反混合矩阵的伪逆的通用矩阵),U+表示矩阵U的Moore-Penrose伪逆,并且Θ是对x关于基U的系数中的每一个进行加权的对角矩阵。θ1由θ的对角线组成,即,待应用于每个成分或模式的加权系数。因此,Θ是由参数θ1参数化的参数化滤波器。例如,挑选每个Θii∈[0,1],并且随着U的第i列频率的增加而减小,例如,较高频率Zernike模式,将在光瞳上创建低通滤波器。z是输入检测表示(例如,检测光瞳表示)关于由参数化滤波器Θ加权的基U的系数(例如,加权Zernike系数)的列表。解码器F2可被定义为F2(z)=Uz,并且再次针对全光瞳扩展关于基U的加权系数。θ2在本示例中为空,尽管还可以在解码器F2中执行系数加权。因此,在这种类型的实施例中,第一机器学习过程41的训练包括调整由编码器F1施加到由权重加权的数学扩展(例如,PCA,ICA,Zernike)的各个成分的权重。在这样的实施例中,编码表示z包括由权重加权的数学扩展的系数。可替代地或另外地,第一机器学习过程41的训练可包括选择表示检测表示的数学扩展(例如,PCA,ICA,Zernike)的一个或多个基础函数。由此,可选择可用基础成分的特定子集以实现改进的工具到工具匹配。用于编码器F1的基础可以与用于解码器F2的基础相同或不同。
在实施例中,由编码器F1对每个检测表示进行编码包括导出衬底W上的结构的一个或多个感兴趣参数,检测表示由相应的计量设备301-301N从所述感兴趣参数中获得。例如,编码器F1可使用例如如上所述的数据驱动方法推断一个或多个感兴趣参数,其中数据驱动方案由θ1.参数化。
在实施例中,编码器F1导出衬底W上的结构的几何模型的一个或多个目标参数,并且解码器F2基于结构的几何模型和定义计量设备301-301N的设置的计量方案来模拟来自该结构的辐射的散射和由计量设备301-301N对检测表示的检测。因此,编码表示z可包括几何模型的重建的几何尺寸,例如,临界尺寸、侧壁角度、套刻等。在这样的实施例中,第一机器学习过程41的训练可包括对定义几何模型(即,由θ1参数化的几何模型)的参数(例如,材料参数、标称堆叠尺寸、固定/浮动等)进行调整和/或对定义度量方案(即,由θ1参数化的度量方案)的一个或多个参数进行调整。
在实施例中,分类器CL将编码器F1、解码器F2或两者的输出映射为每个计量设备301-30N的概率,即该输出源自特定计量设备301-30N的概率。分类器CL可以以各种不同的方式来实现,包括以下各项中的任何一项或多项:神经网络(例如,具有产生每个计量设备的概率的softmax最终层);支持向量机;逻辑回归;(核)线性判别分析。
图6描绘了校准多个计量设备301-30N的方法的详细示例中的数据流。在该示例中,通过用多个计量设备301-30N测量多个衬底W来提供训练数据32。可以如上所述地被标准化的数据集DS可选地与校准数据一起被提供给自动编码器网络的编码器F1。从编码器F1输出的编码表示CD被提供给单个共享解码器F2。共享解码器F2输出分别对应于多个计量设备301-30N的多个数据集MS。每个数据集MS包括通过对相应的编码表示进行解码而生成的合成检测表示。
使用两种竞争训练机制(第一机器学习过程41和第二机器学习过程42)来优化网络44。在此示例中,为每个编码器F1提供一个比较器481-48N。比较器481-48N将输入到编码器F1的数据集DS与从解码器F2输出的数据集MS进行比较,并提供反馈以调整定义编码器F1的参数,来优化成本函数43(见虚线数据路径),从而尝试最大化相对于数据集DS的数据集MS中的信息的保留。分类器CL还从解码器F2接收数据集MS,并且该分类器CL将被训练以优化成本函数43,并且由此尝试最大化分类器CL将每个数据集MS分类到正确的对应计量设备301-30N的概率。用于分类器的训练的数据流由粗实线指示。
使用分类器CL可以区分不同的计量设备301-30N的概率作为代价函数43中的惩罚迫使自动编码器表示该信息,使得分类器CL不能适当地对其进行分类。总成本函数43和优化问题可能如下(不包括用于规范化神经网络训练的术语):
其中:
是在计量设备m上测量的数据集;
是分类器CL分配该输入x属于计量设备m的概率;
是对应于计量设备m的编码器并且F2是共享解码器;并且
该系数α>0限定了在保留测量数据保真度与移除机器特定的光瞳特征之间的权衡。
在已经在初始阶段中训练了第一机器学习过程41和第二机器学习过程42之后,可以更新训练以考虑添加另外的计量设备或新应用。
在实施例中,将新的计量设备添加到计量设备的群体中,而无需重新训练已经针对现有计量设备进行过训练的第一机器学习过程41和第二机器学习过程42的部分,从而防止对运行过程的负面影响。例如,可以通过仅训练对应于新计量设备的新编码器F1和分类器CL来执行新计量设备的添加。在实施例中,使用现有编码器F1的训练状态作为起点来执行新编码器F1的迁移学习。该方法在新计量设备的特性不会与最初用于训练自动编码器的计量设备偏离太大的情况下是有效的(例如,新计量设备应当来自具有相同设计并以相同或相似条件生产的计量设备群体)。此外,用于初始训练的该组计量设备301-30N应当是对计量设备的群体的代表性采样。
为了覆盖多个应用,可以对每个应用单独地执行第一机器学习过程41和第二机器学习过程42的训练。然而,由于该方法是与应用无关的(不需要使用应用信息来设计或训练网络44),因此可以在不进行适配或重新训练的情况下完成新应用的添加。类似地,对于添加新的计量设备,添加新的应用要求用于初始训练的衬底W和应用应该是代表性的,并且新的应用不应明显偏离用于初始训练的应用。工具或应用的相似性的要求仅适用于由计量设备获得的检测表示(测量信号)的特性的相似性。在多个应用的情况下,如果检测表示的特性保持与初始训练中使用的检测表示足够相似,则无论如何不暗示新的材料或新的轮廓形状需要重新训练。
图7描绘了在图6中所描绘的网络44的一个变型。在该实施例中,分类器CL对从编码器F1输出的编码表示CD而不是来自解码器F2的数据集MS进行操作。由于解码器F2是公共的并且不添加计量设备特定信息,所以此实施例与图6的实施例类似地执行。在另外的变型中,从编码器F1输出的编码表示或从解码器F2输出的数据集MS可以在被提供给分类器CL之前被预处理。
图8描绘了在图6或图7中所描绘的网络44上的另一变型,其中,提供了单个编码器F1而不是多个计量设备特定编码器F1。提供单个比较器48,而不是具有多个计量设备特定比较器481-48N
在图6-8所示的网络44中的任一个的另一变型中,单个解码器F2可以被多个计量设备特定解码器F2代替。此方法提供进一步的自由度以实现不同计量设备301-30N之间的匹配。
在以上论述的网络44中的任一个的另一变型中,编码器F1或多个编码器F1可被配置为对尚未相对于由检测器4获得的原始测量数据进行标准化的检测表示进行操作。例如,在基于模型的方法中,该方法可能是期望的,在基于模型的方法中,模型是如此不完整或校准不良的,以至于标准化过程以复杂的方式将计量装置添加到计量装置差,而不是去除它们。
在以上论述的任何实施例中,第一机器学习过程41和第二机器学习过程42的初始训练可使用从一组代表性应用上的一组计量设备301-30N获得的检测表示来执行。在替代方法中,所选择的一个计量设备可以用作训练其他计量设备的参考,以实现与参考计量设备的匹配。可以使用迁移学习技术来执行该训练。在实施例中,如图9所示,虚拟计量设备30V用作参考。将训练目标轮廓50提供给虚拟计量设备30V。通过虚拟计量设备30V对训练目标轮廓的测量提供将要输入到编码器F1的数据集DS,以提供编码表示CD。该方法可提高计量设备30的精度。期望用于模拟(至少用于感兴趣参数)的训练目标轮廓50覆盖待测量的实际衬底W的分布,因为所有差将被分类器CL检测到并用于训练编码器/解码器组合以从编码表示中去除这些差。
本文所述的校准计量设备的方法在计量设备的状态稳定和/或标准化方法足以抑制计量设备中的漂移的情况下特别有效。网络44的训练可以在数据变得可用时在线更新以减少漂移的影响。网络44的训练还可适于处理环境条件(诸如温度)的预期变化,例如通过包括在不同温度下获得的训练数据。
在实施例中,提供了一种确定与通过光刻工艺形成的衬底W上的结构相关的感兴趣参数的方法。该方法包括使用上述校准方法中的任何一种校准多个计量设备301-30N。该方法还包括接收表示从结构散射并由计量设备301-30N中的一个检测到的辐射的检测表示的输入数据。该方法还包括在第一机器学习过程41的训练之后,使用编码器F1和解码器F2中的一者或两者来从所接收的输入数据获得感兴趣参数。
在实施例中,提供用于确定与通过光刻工艺形成的衬底W上的结构相关的感兴趣参数的计量设备301-30N。计量设备301-30N使用上述校准方法中的任何一种来被校准。计量设备301-30N还包括处理单元PU,处理单元PU接收表示从结构散射并由计量设备301-30N检测到的辐射的检测表示的输入数据。在第一机器学习过程41的训练之后,计量设备301-30N使用编码器F1和解码器F2中的一者或两者从接收的输入数据获得感兴趣参数。
上述方法可以是计算机实现的。因此,所公开的方法的每个步骤可以由计算机执行。计算机可包括计算机硬件、软件和/或本领域已知的允许计算机硬件执行所需计算操作的其他元件的不同组合,计算机硬件包括例如CPU、RAM、SSD、主板、网络连接和固件。所需的计算操作可由一个或多个计算机程序来定义。一个或多个计算机程序可以以存储计算机可读指令的介质(可选地,非暂时性介质)的形式提供。当计算机可读指令被计算机读取时,计算机执行所需的方法步骤。
在以下编号的项中描述了根据本发明的另外的实施例:
1.一种校准多个计量设备的方法,包括:
获得训练数据,所述训练数据包括针对所述计量设备中的每一个的从衬底上的结构散射并由所述计量设备检测到的辐射的多个检测表示;
提供编码器,所述编码器被配置为对每个检测表示进行编码以提供编码表示,以及提供解码器,所述解码器被配置为从所述相应的编码表示生成合成检测表示;
提供分类器,所述分类器被配置为估计每个编码表示或每个合成检测表示源自哪个计量设备;并且
使用所述训练数据同时执行:
第一机器学习过程,在所述第一机器学习过程中,所述编码器和所述解码器中的任一者或两者被训练为1)最小化所述检测表示和相应的合成检测表示之间的差,以及2)最小化所述分类器正确地识别出每个编码表示或每个合成检测表示源自哪个计量设备的概率;以及
第二机器学习过程,在所述第二机器学习过程中,所述分类器被训练为最大化所述分类器正确地识别出每个编码表示或每个合成检测表示源自哪个计量设备的概率。
2.根据前述任一项所述的方法,其中:
所述编码器被配置为导出所述衬底上的所述结构的几何模型的一个或多个目标参数;并且
所述解码器被配置为基于所述结构的所述几何模型和定义所述计量设备的设置的计量方案来模拟来自所述结构的辐射的散射和所述计量设备对检测表示的检测。
3.根据项2所述的方法,其中,所述第一机器学习过程的训练包括调整定义所述几何模型的一个或多个参数。
4.根据项2或3所述的方法,其中,所述第一机器学习过程的训练包括调整定义计量方案的一个或多个参数。
尽管在本文中具体参考光刻设备在IC的制造中的使用,但应理解,本文所述的光刻设备可具有其他应用。可能的其他应用包括集成光学系统、磁畴存储器的引导和检测图案、平板显示器、液晶显示器(LCD)、薄膜磁头等的制造。
尽管在本文中在检查或计量设备的上下文中可以具体参考本发明的实施例,但是本发明的实施例可以用于其他设备中。本发明的实施例可形成掩模检查设备、光刻设备或测量或处理诸如晶圆(或其他衬底)或掩模(或其他图案化装置)的物体的任何设备的一部分。还应注意的是,术语计量设备或计量系统涵盖术语检查设备或检查系统或者可以用术语检查设备或检查系统替代。本文公开的计量或检查设备可用于检测衬底上或衬底内的缺陷和/或衬底上的结构的缺陷。在这样的实施例中,衬底上的结构的参数可涉及,例如,结构中的缺陷、结构的特定部分的不存在,或者衬底上的不想要的结构的存在。
尽管上面已经在光学光刻的背景下具体参考了本发明的实施例的使用,但是应当理解,在上下文允许的情况下,本发明不限于光学光刻,并且可在其他应用中使用,例如压印光刻。
虽然上述目标或目标结构(更一般地是衬底上的结构)是出于测量目的而专门设计和形成的计量目标结构,但是在其他实施例中,可以在作为衬底上形成的装置的功能部件的一个或多个结构上测量感兴趣的特性。许多装置具有规则的光栅状结构。如本文中所使用的术语结构、目标光栅和目标结构不要求该结构是为了正在执行的测量而专门提供的。对于多灵敏度目标实施例,不同的产品特征可包括许多具有变化的灵敏度(变化的间距等)的区域。此外,计量目标的间距p接近散射仪的光学系统的分辨率极限,但是可能远大于目标部分C中通过光刻工艺制成的典型产品特征的尺寸。在实践中,可以使目标结构内的套刻光栅的线和/或空间包括尺寸与产品特征相似的较小结构。
虽然上面已经描述了本发明的特定实施例,但是应当理解,本发明可以按不同于所描述的方式来实践。以上描述旨在是说明性的而非限制性的。因此,对于本领域技术人员将显而易见的是,在不背离以下陈述的权利要求的范围的情况下,可以对本发明进行修改。

Claims (15)

1.一种校准多个计量设备的方法,包括:
获得训练数据,所述训练数据包括针对所述计量设备中的每个计量设备的、从衬底上的结构散射并由所述计量设备检测到的辐射的多个检测表示;
提供编码器,所述编码器被配置为对每个检测表示进行编码以提供编码表示,以及提供解码器,所述解码器被配置为从相应的编码表示生成合成检测表示;
提供分类器,所述分类器被配置为估计每个编码表示或每个合成检测表示源自哪个计量设备;以及
使用所述训练数据同时执行:
第一机器学习过程,在所述第一机器学习过程中,所述编码器和所述解码器中的任一个或两者被训练为1)最小化所述检测表示和对应的合成检测表示之间的差,以及2)最小化所述分类器正确地识别出每个编码表示或每个合成检测表示源自哪个计量设备的概率;以及
第二机器学习过程,在所述第二机器学习过程中,所述分类器被训练为最大化所述分类器正确地识别出每个编码表示或每个合成检测表示源自哪个计量设备的概率。
2.根据权利要求1所述的方法,其中所述编码器和所述解码器包括神经网络。
3.根据权利要求2所述的方法,其中所述编码器和所述解码器形成自动编码器。
4.根据权利要求1所述的方法,其中所述编码器和所述解码器包括参数化滤波器。
5.根据权利要求4所述的方法,其中所述第一机器学习过程的所述训练包括:调整由所述编码器施加于表示所述检测表示的数学扩展的相应成分的权重。
6.根据权利要求5所述的方法,其中所述编码表示包括由所述权重加权的所述数学扩展的系数。
7.根据权利要求4所述的方法,其中所述第一机器学习过程的所述训练包括:选择表示所述检测表示的数学扩展的一个或多个基函数。
8.根据任一前述权利要求所述的方法,其中由所述编码器对每个检测表示进行编码包括:导出所述衬底上的所述结构的感兴趣的一个或多个参数,所述检测表示是由所述相应的计量设备从所述一个或多个参数中获得的。
9.根据任一前述权利要求所述的方法,其中:
所述编码器被配置为导出所述衬底上的所述结构的几何模型的一个或多个目标参数;以及
所述解码器被配置为:基于所述结构的所述几何模型和定义所述计量设备的设置的计量方案,来模拟来自所述结构的辐射的散射和所述计量设备对所述检测表示的检测。
10.根据任一前述权利要求所述的方法,其中所述检测表示包括:定义在所述计量设备的光瞳平面中的辐射的分布的光瞳表示。
11.根据任一前述权利要求所述的方法,其中所述第一机器学习过程和所述第二机器学习过程形成生成式对抗网络,其中所述第一机器学习过程相对于所述第二机器学习过程是对抗的,并且所述解码器充当所述生成式对抗网络的生成式模型。
12.根据任一前述权利要求所述的方法,其中所述分类器包括以下中的一个或多个:神经网络、支持向量机、逻辑回归、线性判别分析。
13.一种确定与通过光刻工艺形成的衬底上的结构相关的感兴趣参数的方法,包括:
使用前述权利要求中任一项所述的方法校准多个计量设备;
接收输入数据,所述输入数据表示从所述结构散射、并由所述计量设备中的一个计量设备检测到的辐射的检测表示;以及
在通过所述第一机器学习过程进行训练之后,使用所述编码器和所述解码器中的一个或两者从所接收的输入数据获得所述感兴趣参数。
14.一种用于确定与通过光刻工艺形成的衬底上的结构相关的感兴趣参数的计量设备,
其中所述计量设备是已经使用权利要求1-12中任一项所述的方法校准的计量设备;以及其中所述计量设备包括:
处理单元,被配置为:
接收输入数据,所述输入数据表示从所述结构散射、并由所述计量设备检测到的辐射的检测表示;以及
在通过所述第一机器学习过程进行的所述训练之后,使用所述编码器和所述解码器中的一者或两者从所接收的输入数据获得所述感兴趣参数。
15.一种非暂时性计算机程序产品,包括机器可读指令,所述机器可读指令用于使处理单元引起执行权利要求1至13中任一项所述的方法。
CN201980075962.4A 2018-10-09 2019-08-21 校准多个计量设备的方法、确定感兴趣参数的方法以及计量设备 Active CN113039488B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18199371.8A EP3637186A1 (en) 2018-10-09 2018-10-09 Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
EP18199371.8 2018-10-09
PCT/EP2019/072355 WO2020074162A1 (en) 2018-10-09 2019-08-21 Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus

Publications (2)

Publication Number Publication Date
CN113039488A CN113039488A (zh) 2021-06-25
CN113039488B true CN113039488B (zh) 2024-03-01

Family

ID=63832220

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980075962.4A Active CN113039488B (zh) 2018-10-09 2019-08-21 校准多个计量设备的方法、确定感兴趣参数的方法以及计量设备

Country Status (5)

Country Link
US (1) US11556060B2 (zh)
EP (1) EP3637186A1 (zh)
CN (1) CN113039488B (zh)
TW (1) TWI791889B (zh)
WO (1) WO2020074162A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
EP3495889A1 (en) * 2017-12-07 2019-06-12 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
US11196769B2 (en) * 2019-01-02 2021-12-07 International Business Machines Corporation Efficient bootstrapping of transmitter authentication and use thereof
US11662669B2 (en) * 2019-07-11 2023-05-30 Asml Netherlands B.V. Apparatus and method for measuring substrate height
US20220026817A1 (en) * 2020-07-22 2022-01-27 Applied Materials, Inc. Determining substrate profile properties using machine learning
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
EP3961304A1 (en) * 2020-08-31 2022-03-02 ASML Netherlands B.V. Mapping metrics between manufacturing systems
WO2022058111A1 (en) * 2020-09-16 2022-03-24 Asml Netherlands B.V. Method of performing metrology, method of training a machine learning model, method of providing a layer comprising a two-dimensional material, metrology apparatus
US11530913B2 (en) * 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20220121957A1 (en) * 2020-10-15 2022-04-21 Synopsys, Inc. Lithography simulation using machine learning
DE112021005916T5 (de) * 2020-11-11 2023-08-24 Asml Netherlands B.V. Verfahren und computerprogramme zur konfiguration eines stichprobenplan-erzeugungsmodells
IL303879A (en) * 2020-12-30 2023-08-01 Asml Netherlands Bv A modular automatic coder model for estimating parameters of production processes
WO2023036593A1 (en) * 2021-09-09 2023-03-16 Asml Netherlands B.V. Method for converting metrology data
EP4194951A1 (en) * 2021-12-13 2023-06-14 ASML Netherlands B.V. Identifying deviating modules from a reference population for machine diagnostics
US11961030B2 (en) 2022-01-27 2024-04-16 Applied Materials, Inc. Diagnostic tool to tool matching methods for manufacturing equipment
US20230236586A1 (en) * 2022-01-27 2023-07-27 Applied Materials, Inc. Diagnostic tool to tool matching and full-trace drill-down analyasis methods for manufacturing equipment
WO2024081764A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Determining substrate profile properties using machine learning
CN115587629B (zh) * 2022-12-07 2023-04-07 中国科学院上海高等研究院 协方差膨胀系数估计方法、模型训练方法、存储介质终端

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108463876A (zh) * 2016-01-11 2018-08-28 科磊股份有限公司 为样品产生模拟输出

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI232357B (en) 2002-11-12 2005-05-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
US7394554B2 (en) 2003-09-15 2008-07-01 Timbre Technologies, Inc. Selecting a hypothetical profile to use in optical metrology
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7446887B2 (en) * 2006-05-22 2008-11-04 Tokyo Electron Limited Matching optical metrology tools using hypothetical profiles
US7873585B2 (en) * 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
WO2012022584A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for use in metrology, metrology method and device manufacturing method
US10502694B2 (en) 2013-08-06 2019-12-10 Kla-Tencor Corporation Methods and apparatus for patterned wafer characterization
CN105765461B (zh) * 2013-10-02 2018-01-05 Asml荷兰有限公司 用于获得与工业过程有关的诊断信息的方法和设备
US10295342B2 (en) * 2015-08-14 2019-05-21 Kla-Tencor Corporation System, method and computer program product for calibration of metrology tools
US10648924B2 (en) * 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications
US10395356B2 (en) * 2016-05-25 2019-08-27 Kla-Tencor Corp. Generating simulated images from input images for semiconductor applications
EP3279737A1 (en) * 2016-08-05 2018-02-07 ASML Netherlands B.V. Diagnostic system for an industrial process

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108463876A (zh) * 2016-01-11 2018-08-28 科磊股份有限公司 为样品产生模拟输出

Also Published As

Publication number Publication date
EP3637186A1 (en) 2020-04-15
CN113039488A (zh) 2021-06-25
TW202026859A (zh) 2020-07-16
US11556060B2 (en) 2023-01-17
WO2020074162A1 (en) 2020-04-16
TWI791889B (zh) 2023-02-11
US20200110341A1 (en) 2020-04-09

Similar Documents

Publication Publication Date Title
CN113039488B (zh) 校准多个计量设备的方法、确定感兴趣参数的方法以及计量设备
KR20200113244A (ko) 패턴의 시맨틱 분할을 위한 딥 러닝
KR102582989B1 (ko) 컴퓨테이션 계측법 기반 샘플링 스킴
CN111512235B (zh) 基于计算量测的校正和控制
CN113168111B (zh) 用于预测半导体制造过程的产率的方法
US20190378012A1 (en) Metrology Apparatus and Method for Determining a Characteristic of One or More Structures on a Substrate
US11079684B2 (en) Measurement apparatus and a method for determining a substrate grid
US20230035073A1 (en) Method for determining a measurement recipe and associated apparatuses
EP3654104A1 (en) Method for monitoring lithographic apparatus
EP4130880A1 (en) Methods of data mapping for low dimensional data analysis
EP4184250A1 (en) Obtaining a parameter characterizing a fabrication process
US10429746B2 (en) Estimation of data in metrology
EP4155821A1 (en) Method for focus metrology and associated apparatuses
EP4254266A1 (en) Methods related to an autoencoder model or similar for manufacturing process parameter estimation
US20240134283A1 (en) Methods and apparatus for characterizing a semiconductor manufacturing process
EP4057069A1 (en) Methods and apparatus for characterizing a semiconductor manufacturing process
EP4075340A1 (en) Modular autoencoder model for manufacturing process parameter estimation
EP3828632A1 (en) Method and system for predicting electric field images with a parameterized model
WO2023001463A1 (en) Methods and computer programs for data mapping for low dimensional data analysis

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant