CN112420488A - Methods of forming polycrystalline molybdenum films and related structures including polycrystalline molybdenum films - Google Patents

Methods of forming polycrystalline molybdenum films and related structures including polycrystalline molybdenum films Download PDF

Info

Publication number
CN112420488A
CN112420488A CN202010823880.8A CN202010823880A CN112420488A CN 112420488 A CN112420488 A CN 112420488A CN 202010823880 A CN202010823880 A CN 202010823880A CN 112420488 A CN112420488 A CN 112420488A
Authority
CN
China
Prior art keywords
film
molybdenum
nucleation
less
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010823880.8A
Other languages
Chinese (zh)
Inventor
B.佐普
E.C.史蒂文斯
S.斯瓦米纳坦
R.洛菲
M.穆罕默德
E.希罗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN112420488A publication Critical patent/CN112420488A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Methods for forming a polycrystalline molybdenum film on a substrate surface are disclosed. The method may include: providing a substrate into a reaction chamber; depositing a nucleation film directly on the exposed surface of the substrate, wherein the nucleation film comprises one of a metal oxide nucleation film or a metal nitride nucleation film; and depositing a polycrystalline molybdenum film directly on the nucleation film; wherein the polycrystalline molybdenum film comprises a plurality of molybdenum films having a thickness less than
Figure DDA0002635459170000011
Molybdenum crystallites of average crystallite size. Structures including a polycrystalline molybdenum film disposed on a substrate surface having an intermediate nucleation film are also disclosed.

Description

Methods of forming polycrystalline molybdenum films and related structures including polycrystalline molybdenum films
Cross Reference to Related Applications
The present application relates to a co-pending application entitled "method of depositing a molybdenum nitride film on a substrate surface by a cyclical deposition process and related semiconductor device structure including a molybdenum nitride film" (application serial No. 62/891,254), filed on even date herewith and incorporated herein by reference in its entirety.
Technical Field
The present disclosure relates generally to methods for forming polycrystalline molybdenum films on a substrate surface, and in particular, methods for depositing a nucleation film directly on the substrate surface, and then depositing a polycrystalline molybdenum film directly on the nucleation film. The present disclosure also generally relates to structures including polycrystalline molybdenum films disposed directly on a nucleation film.
Background
Semiconductor device fabrication processes in advanced technology nodes typically require prior art deposition processes to form metal films, such as, for example, polycrystalline molybdenum films.
One common requirement for depositing metal films is that the deposition process be very conformal. For example, conformal deposition is often required to uniformly deposit metal films over three-dimensional structures including high aspect ratio non-planar features. Another common requirement for depositing metal films is that the deposition process be capable of depositing continuous ultra-thin films over large substrate areas. In this particular case, where the metal film is conductive, the deposition process may need to be optimized to produce a low resistivity film. For example, low resistivity metal films commonly used in prior art semiconductor device applications may include tungsten and/or copper. However, tungsten films and copper films typically require a thick barrier layer to be placed between the metal film and the dielectric material. Thick barrier layers can be used to prevent diffusion of metal species into the underlying dielectric material, thereby improving device reliability and device yield. However, thick barrier layers typically exhibit high resistivity, thus resulting in an increase in the overall resistivity of the semiconductor device structure.
Potential replacements for tungsten and copper films in next generation devices may include molybdenum films. For example, molybdenum (Mo) is a low resistivity refractory metal that can potentially replace tungsten as a material in memory, logic, and other devices using polysilicon-metal gate electrode structures. Molybdenum films can also be used in some organic light emitting diodes, liquid crystal displays, and thin film solar and photovoltaic cells.
Additionally, in certain semiconductor fabrication processes, it may be desirable to form a metal film, such as a polycrystalline molybdenum film, within non-planar features (e.g., vertical and/or horizontal trenches) disposed in/on a substrate. Forming a metal film within a non-planar feature may at least partially or completely fill the non-planar feature with the metal film, a process commonly referred to as "gap-filling. As semiconductor device structure geometries have decreased and high aspect ratio non-planar features have become more prevalent in device structures such as DRAM, 3D-NAND, flash memory, and logic circuits, it has become more difficult to fill the non-planar features with metal films having desired characteristics.
Accordingly, there is a need for methods and related structures for forming polycrystalline molybdenum films having low resistivity and physical characteristics that enable a polycrystalline molybdenum gap-fill process on substrates including non-planar features.
Disclosure of Invention
This summary is provided to introduce a selection of concepts in a simplified form. These concepts are described in more detail below in the detailed description of example embodiments of the disclosure. This summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used to limit the scope of the claimed subject matter.
In some embodiments, methods for forming a polycrystalline molybdenum film over a surface of a substrate are provided. The method may comprise: providing a substrate into a reaction chamber; depositing a nucleation film directly on the exposed surface of the substrate, wherein the nucleation film comprises one of a metal oxide nucleation film or a metal nitride nucleation film; and depositing a polycrystalline molybdenum film directly on the nucleation film, wherein the polycrystalline molybdenum film comprises a film having a thickness less than
Figure BDA0002635459150000021
A plurality of molybdenum crystallites of an average crystallite size.
In some embodiments, there is provided a method comprisingStructure of polycrystalline molybdenum film. The structure may comprise: the surface of the substrate; a nucleation film disposed directly on the substrate surface, wherein the nucleation film comprises at least one of a metal oxide nucleation film or a metal nitride nucleation film; and a polycrystalline molybdenum film disposed directly on the nucleation film; wherein the polycrystalline molybdenum film comprises a film having a thickness of less than
Figure BDA0002635459150000022
A plurality of molybdenum crystallites of an average crystallite size.
Certain objects and advantages of the invention have been described herein above for the purpose of summarizing the invention and the advantages achieved over the prior art. Of course, it is to be understood that not necessarily all such objects or advantages may be achieved in accordance with any particular embodiment of the invention. Thus, for example, those skilled in the art will recognize that the invention may be embodied or carried out in a manner that achieves or optimizes one advantage or group of advantages as taught or suggested herein without necessarily achieving other objects or advantages as may be taught or suggested herein.
All such embodiments are intended to be within the scope of the disclosed invention. These and other embodiments will become apparent to those skilled in the art from the following detailed description of certain embodiments, taken in conjunction with the accompanying drawings, wherein the invention is not limited to any particular embodiment disclosed.
Drawings
While the specification concludes with claims particularly pointing out and distinctly claiming what are regarded as embodiments of the present disclosure, the advantages of embodiments of the disclosure may be more readily ascertained from the description of certain examples of embodiments of the disclosure when read in conjunction with the accompanying drawings, in which:
FIG. 1A shows a simplified cross-sectional schematic of a prior art structure including a plurality of vertical trenches;
FIG. 1B shows a simplified cross-sectional schematic of the prior art structure of FIG. 1A after forming a gap fill film within the vertical trench;
FIG. 2 illustrates an exemplary process flow demonstrating a method for forming a polycrystalline molybdenum film on a substrate surface in accordance with embodiments of the present disclosure;
FIG. 3 illustrates an exemplary process flow demonstrating a first cycle deposition process for depositing a nucleation film directly on an exposed surface of a substrate according to embodiments of the present disclosure;
FIG. 4 illustrates an exemplary process flow illustrating a second cyclical deposition process for depositing a polycrystalline molybdenum film directly on a nucleation film in accordance with embodiments of the present disclosure;
FIG. 5A shows a simplified cross-sectional schematic of a structure including a substrate having a plurality of non-planar features;
FIG. 5B shows a simplified cross-sectional schematic of the structure of FIG. 5A after a nucleation film is deposited directly on the exposed surface of the substrate in accordance with embodiments of the present disclosure; and
fig. 5C shows a simplified cross-sectional schematic of the structure of fig. 5B after depositing a polycrystalline molybdenum film directly on the nucleation film, in accordance with an embodiment of the present disclosure.
Detailed Description
Although certain embodiments and examples are disclosed below, it will be understood by those skilled in the art that the present invention extends beyond the specifically disclosed embodiments and/or uses of the invention and obvious modifications and equivalents thereof. Therefore, it is intended that the scope of the present disclosure should not be limited by the particular disclosed embodiments described below.
Moreover, the illustrations presented herein are not meant to be actual views of any particular material, structure, or apparatus, but are merely idealized representations which are employed to describe the embodiments of the present disclosure.
As used herein, the term "substrate" may refer to any underlying material or materials that may be used, or upon which a structure, device, circuit, or film may be formed.
As used herein, the term "cyclic deposition" may refer to the sequential introduction of one or more precursors (reactants) into a reaction chamber to deposit a film over a substrate and includes deposition techniques such as atomic layer deposition and cyclic chemical vapor deposition.
As used herein, the term "cyclic chemical vapor deposition" may refer to any process in which a substrate is sequentially exposed to two or more volatile precursors that react and/or decompose on the substrate to deposit a desired film.
As used herein, the term "atomic layer deposition" (ALD) may refer to a vapor deposition process in which a deposition cycle, preferably a plurality of consecutive deposition cycles, is performed in a reaction chamber. Typically, during each deposition cycle, the precursor is chemisorbed to a deposition surface (e.g., the substrate surface or a previously deposited underlying surface, such as material from a previous ALD deposition cycle), thereby forming a monolayer or sub-monolayer that is not readily reactive with the additional precursor (i.e., a self-limiting reaction). Thereafter, if desired, a reactant (e.g., another precursor or a reaction gas) can then be introduced into the reaction chamber for converting the chemisorbed precursor to the desired material on the deposition surface. Typically, the reactant is capable of further reaction with the precursor. In addition, a purge step may also be utilized during each deposition cycle to remove excess precursor from the reaction chamber and/or excess reactants and/or reaction byproducts from the reaction chamber after conversion of the chemisorbed precursor. Furthermore, the term "atomic layer deposition" as used herein is also intended to encompass processes specified by related terms such as "chemical vapor atomic layer deposition", "Atomic Layer Epitaxy (ALE)", Molecular Beam Epitaxy (MBE), gas source MBE, or organometallic MBE and chemical beam epitaxy, when performed using alternating pulses of precursor composition, reaction gas, and purge gas (e.g., inert carrier gas).
As used herein, the term "film" may refer to any physically continuous or discontinuous structures and materials formed or deposited by the methods disclosed herein. For example, a "film" may include a 2D material, a nanolaminate (nanolaminate), a nanorod, a nanotube, a nanoparticle, a partial or complete molecular layer, a partial or complete atomic layer, or a cluster of atoms and/or molecules. A "film" may also comprise a material or layer having pinholes, but still be at least partially continuous.
As used herein, the term "molybdenum halide precursor" may refer to a reactant comprising at least a molybdenum component and a halide component, wherein the halide component may include one or more of a chlorine component, an iodine component, or a bromine component.
As used herein, the term "molybdenum oxyhalide" may refer to a reactant comprising at least a molybdenum component, an oxygen component, and a halogen component.
As used herein, the term "reducing agent" may refer to a reactant that donates an electron to another species in a redox chemical reaction.
As used herein, the term "polycrystalline film" may refer to a film that exhibits at least short-range ordering of the film crystalline structure, and also includes the term "polycrystalline film" or "polycrystalline film". "polycrystalline film" may also refer to a film comprising a plurality of crystallites.
As used herein, the terms "amorphous" and "amorphous film" may refer to a film that exhibits substantially no ordering of film structure.
As used herein, the term "crystallite size" may refer to the average size of a plurality of crystallites within a polycrystalline film, as determined by x-ray diffraction (XRD) measurements of the polycrystalline film.
As used herein, the term "non-planar feature" may refer to an opening or cavity disposed between two opposing surfaces of a non-planar substrate, and may include "vertical non-planar features" and "horizontal non-planar features".
As used herein, the term "vertical non-planar feature" may include: an opening or cavity disposed between opposing sloped sidewalls of two protrusions extending upward from the substrate surface, or opposing sloped sidewalls of a recess extending downward into the substrate surface. Non-limiting examples of "vertical non-planar features" may include, but are not limited to: v-shaped vertical trenches, tapered vertical trenches, concave vertical trenches, vertical openings, vertical voids, and vertical through-silicon-via trenches. For example, a vertical non-planar feature may comprise adjacent sidewalls joined at a point at the feature base, or a vertical non-planar feature may comprise a feature base that is planar to a planar substrate surface. As used herein, "vertical" does not limit the slope of the opposing sidewalls particularly to slopes that are perpendicular to the horizontal plane of the substrate.
As used herein, the term "horizontal non-planar feature" may include: an opening or cavity disposed between two substantially horizontal opposing surfaces defining a "horizontal non-planar feature".
As used herein, the term "line bending" may refer to bending or deformation of a substrate region disposed between adjacent non-planar features resulting from the formation of a gap-fill film within the non-planar features. For example, a non-planar substrate may include a plurality of "vertical non-planar features," such as vertical trenches, that extend down into the substrate. The area between adjacent "vertical non-planar features" may be referred to as a line feature. The line features may undergo line bending (i.e., deformation) when a gap fill metal is formed within a substrate that includes a plurality of non-planar features.
The concept of "line bending" is explained in more detail with reference to fig. 1A-B, which illustrate a prior art method for forming a gap fill film within a plurality of non-planar features.
In more detail, fig. 1A shows a simplified cross-sectional schematic of structure 100 prior to a gap-fill process. The structure 100 includes a substrate 102 that includes an array of non-planar features 104, which in this example include vertical trenches disposed within the substrate 102. A plurality of line features 106 are disposed between each adjacent vertical trench 104. The plurality of line features 106 may have a substantially regular pitch (x), where pitch (x) may be defined as the distance between the central vertical axis of one line feature (e.g., axis 108A) and the central vertical axis of an adjacent line feature (e.g., axis 108B). The array of vertical trenches 104 as shown in fig. 1A may include sloped sidewalls, wherein the width of each vertical trench decreases from the top/opening of the vertical trench to the base of the vertical trench. The width (y) of each trench in the array of vertical trenches may be determined by measuring the distance between opposing sidewalls of the vertical trench. For example, in the structure 100 of fig. 1A, the vertical trenches comprise v-shaped vertical trenches, wherein (y) of each v-shaped trench may be determined by measuring the distance between the uppermost extent of the opposing sidewalls, as shown in fig. 1A.
As a non-limiting example, prior to depositing the gap fill film, the structure 100 of fig. 1A may comprise a portion of a partially fabricated Dynamic Random Access Memory (DRAM) device structure, wherein the partially fabricated DRAM device structure includes buried word line (bWL) trenches (e.g., vertical trenches 104) and a regular array of DRAM word lines (e.g., line features 106).
Fig. 1B shows a simplified cross-sectional schematic of a prior art structure 110, which includes the structure 100 (of fig. 1A) after deposition of a gap fill film within an array of vertical trenches, thereby filling the vertical trenches with the gap fill film. As shown in fig. 1B, the line features 106 disposed between adjacent vertical trenches 104 will bend (or deform) due to the deposition of the gap fill film 112, and the once regular array of line features 106 will be more disordered due to the gap fill film deposition. The curvature of the line feature 106 results in an increased variation in the width of the vertical non-planar structure 104, as represented by the width (z), e.g., as measured at the uppermost extent of the v-shaped vertical trench of fig. 1B.
As used herein, the term "percent line bow" can quantify the degree of line bow caused by deposition of a gap fill film on a substrate comprising a regular array of non-planar features and line features. The percent wire bend can be calculated by the following equation (I):
Figure BDA0002635459150000071
wherein the offset is calculated by the following equation (II):
offset | < z > < y > | (II)
Or in other words, the offset value is equal to the absolute value of the average width of the non-planar feature (e.g., vertical trench) prior to gap fill film deposition (the average of (z)) minus the average (y) of the average width of the non-planar feature (e.g., vertical trench) prior to gap fill film deposition). As a non-limiting example, the offset may be statistically determined by measuring the width (y) of the plurality of non-planar features before the gap fill film is deposited and then measuring the width (z) of the plurality of non-planar features after the gap fill film is deposited in the non-planar features. The average of (z) and the average of (y) can be determined using high magnification microscopy techniques such as scanning electron microscopy.
As used herein, the term "seam" may refer to a line or one or more macroscopic voids formed by the abutment of the leading edge of the gap fill film. For example, a seam may refer to an area in a metal gap-filling film where the leading edges of two metal films grown on opposite sidewalls of a non-planar feature contact each other. Thus, the "seam" is generally disposed at the center of the metal-filled non-planar feature. The formation of seams in metal gap fill films is undesirable and can lead to poor device performance and subsequent problems in device fabrication. The presence of "seams" within the gap-fill film can be observed using a Scanning Transmission Electron Microscope (STEM) or a Transmission Electron Microscope (TEM).
While a number of example materials are given throughout the various embodiments of the present disclosure, it should be noted that the formulas given for each of these example materials should not be construed as limiting, and that the example materials given should not be limited by the example stoichiometry given.
The present disclosure includes methods for forming polycrystalline molybdenum films on substrate surfaces with intermediate nucleation. Polycrystalline molybdenum films can be used in many applications, such as low resistivity metal gap fill films, liner layers for 3D-NAND, DRAM word line features, or as interconnect materials in CMOS logic circuit applications. The ability to deposit a polycrystalline molybdenum film on the substrate surface with an intermediate nucleation film (i.e., without the use of a high resistivity liner layer) may allow for lower resistivity of interconnects in logic applications (i.e., CMOS structures) and word/bit lines in memory applications (e.g., 3D-NAND structures and DRAM structures).
In addition, embodiments of the present disclosure may provide nucleation films for depositing polycrystalline molybdenum films, wherein the nucleation films may improve the quality of the polycrystalline molybdenum films. For example, depositing a nucleation film prior to depositing the polycrystalline molybdenum film may result in a polycrystalline molybdenum film having reduced surface roughness and/or reduced crystallite size. The improved characteristics of polycrystalline molybdenum films formed according to embodiments of the present disclosure may improve metal gapfill films and reduce the percentage of line bending for structures including non-planar features and arrays of line features.
Accordingly, the present disclosureEmbodiments may include methods of depositing a polycrystalline molybdenum film on a substrate surface with an intermediate nucleation film. The method of the present disclosure may comprise: providing a substrate into a reaction chamber; depositing a nucleation film directly on the exposed surface of the substrate, wherein the nucleation film comprises one of a metal oxide nucleation film or a metal nitride nucleation film; and depositing a polycrystalline molybdenum film directly on the nucleation film, wherein the polycrystalline molybdenum film comprises a film having a thickness less than
Figure BDA0002635459150000081
A plurality of molybdenum crystallites of an average crystallite size.
Referring to fig. 2, an exemplary process for forming a polycrystalline molybdenum film on a substrate surface using an intermediate nucleation film is illustrated. The exemplary process 200 (fig. 2) may include two different deposition processes: a first deposition process for depositing a nucleation film directly on an exposed surface of the substrate, and a second deposition process for depositing a polycrystalline molybdenum film directly on the nucleation film.
In more detail and with reference to fig. 2, an exemplary process 200 can begin by means of a process block 210 that includes providing a substrate into a reaction chamber.
In some embodiments of the present disclosure, the substrate may comprise a non-planar substrate comprising a plurality of non-planar features, as previously described herein. It should be noted that embodiments of the present disclosure are not limited to metal gap-filling methods for filling vertical and/or horizontal non-planar features, and other geometries of non-planar features disposed in and/or on a substrate may be filled with polycrystalline molybdenum films by the processes disclosed herein.
In some embodiments, the non-planar substrate may comprise one or more materials and material surfaces, including but not limited to semiconductors, dielectrics, and metallic particles.
In some embodiments, the substrate may include a semiconductor material and a surface, such as, but not limited to, silicon (Si), germanium (Ge), germanium tin (GeSn), silicon germanium (SiGe), silicon germanium tin (SiGeSn), silicon carbide (SiC), or a III-V semiconductor material.
In some embodiments, the substrate may include metallic materials and surfaces such as, but not limited to, pure metals, metal nitrides, metal carbides, metal borides, and mixtures thereof.
In some embodiments, the substrate may include dielectric materials and surfaces, such as, but not limited to, silicon-containing dielectric materials and metal oxide dielectric materials. In some embodiments, the silicon-containing dielectric material may comprise one or more of the following: silicon dioxide (SiO)2) Silicon suboxide, silicon nitride (Si)3N4) Silicon oxynitride (SiON), silicon oxycarbide (SiOC), silicon oxycarbonitride (SiOCN), and silicon carbonitride (SiCN). In some embodiments, the metal oxide dielectric material may comprise one or more of the following: alumina (Al)2O3) Hafnium oxide (HfO)2) Tantalum oxide (Ta)2O5) Zirconium oxide (ZrO)2) Titanium oxide (TiO)2) Hafnium silicate (HfSiO)x) And lanthanum oxide (La)2O3)。
In some embodiments of the present disclosure, the substrate may comprise an engineered substrate, wherein the semiconductor layer is disposed over the body support with an intervening Buried Oxide (BOX) disposed therebetween.
In some embodiments, the substrate utilized in the methods described herein may include device structures, including partially fabricated device structures formed into or onto a surface of the substrate. For example, the substrate may include fabricated and/or partially fabricated device structures, such as transistors and memory elements. In some embodiments, the substrate may contain a monocrystalline surface and/or one or more secondary surfaces, which may comprise non-monocrystalline surfaces, such as polycrystalline surfaces and/or amorphous surfaces.
The substrate may be loaded into a reaction chamber configured for forming a polycrystalline molybdenum film. In some embodiments, the nucleation film may be deposited directly on the exposed surface of the substrate by one or more deposition processes including, but not limited to, a Chemical Vapor Deposition (CVD) process, a dip deposition process, a Plasma Enhanced Chemical Vapor Deposition (PECVD) process, or a Physical Vapor Deposition (PVD) process. In certain embodiments of the present disclosure, a first cycle deposition process may be employed to deposit a nucleation film.
In some embodiments, the molybdenum film may be directly polycrystalline on the nucleation film by a deposition process including, but not limited to, a Chemical Vapor Deposition (CVD) process, a dip deposition process, a Plasma Enhanced Chemical Vapor Deposition (PECVD) process, or a Physical Vapor Deposition (PVD) process. In certain embodiments of the present disclosure, the polycrystalline molybdenum film may be deposited using a second cycle deposition process.
In some embodiments, both nucleation films and polycrystalline molybdenum films may be deposited using a cyclical deposition process, particularly when depositing films on non-planar substrates comprising high aspect ratio features, due to the inherent conformality and achievable step coverage of the cyclical deposition process.
Reactors and associated reaction chambers capable of forming the polycrystalline molybdenum films of the present disclosure may be configured to perform a cyclical deposition process, such as an atomic layer deposition process (ALD) or a cyclical chemical vapor deposition process (CCVD). Thus, in some embodiments, reactors suitable for performing embodiments of the present disclosure may include ALD reactors configured to provide precursors as well as CVD reactors. According to some embodiments, a showerhead reactor may be used. According to some embodiments, cross-flow, batch, minibatch, or spatial ALD reactors may be used.
In some embodiments of the present disclosure, a batch reactor may be used. In some embodiments, a vertical batch reactor may be used. For example, a vertical batch reactor may include a reaction chamber and an elevator constructed and arranged to move a boat configured to support a batch of 10 to 200 substrates in or out of the reaction chamber. In other embodiments, the batch reactor comprises a small batch reactor configured to hold 10 or fewer wafers, 8 or fewer wafers, 6 or fewer wafers, 4 or fewer wafers, or 2 or fewer wafers. In some embodiments in which a batch reactor is used, the wafer-to-wafer non-uniformity is less than 3% (1 σ), less than 2%, less than 1%, or even less than 0.5%.
Exemplary processes for forming polycrystalline molybdenum as described herein may optionally be performed in a reactor and associated reaction chamber connected to a cluster tool. In a cluster tool, because each reaction chamber is dedicated to one process type, the temperature of the reaction chamber in each module can be kept constant, which will increase throughput compared to a reaction chamber in which the substrate is heated up to the process temperature before each run. In addition, the time required to pump the chamber pressure to the desired process pressure between substrates can be reduced in a cluster tool. In some embodiments, the exemplary processes disclosed herein may be performed in a cluster tool comprising a plurality of reaction chambers, wherein each individual reaction chamber may be used to expose a substrate to an individual reactant, and the substrate may be transferred between different reaction chambers for exposure to multiple reactants, the transfer of the substrate being performed under a controlled environment to prevent contamination of the substrate and films deposited thereon. For example, deposition of the nucleation film may be performed by a cyclic deposition process in a first reaction chamber associated with a cluster tool, while deposition of the polycrystalline molybdenum film may be performed by a cyclic deposition process in a second reaction chamber associated with the same cluster tool, wherein the transfer between the first and second reaction chambers occurs under controlled circumstances to prevent contamination. In some embodiments of the present disclosure, the processes of the present disclosure may be performed in a cluster tool comprising a plurality of reaction chambers, wherein each individual reaction chamber may be configured to heat the substrate to a different temperature.
In some embodiments, the deposition process of the present disclosure may be performed in a single, independent reactor, which may be equipped with a load lock. In such embodiments, it is not necessary to cool the reaction chamber between runs. For example, a single, independent reactor may be configured to deposit both nucleated and polycrystalline films, thereby eliminating the need to transfer substrates between two or more reaction chambers.
Once the substrate is loaded in a suitable reaction chamber, such as a reaction chamber configured for a cyclical deposition process, an exemplary process 200 (fig. 2) for forming a polycrystalline molybdenum film may proceed by way of a process block 220 that includes depositing a nucleation film directly on an exposed surface of the substrate, wherein the nucleation film includes one of a metal oxide nucleation film or a metal nitride nucleation film. Process block 220 and the sub-processes that make up the process block are described in more detail with reference to fig. 3, which illustrates an exemplary first cycle deposition process for depositing a nucleation film directly on an exposed surface of a substrate.
In more detail, the first cycle deposition process for depositing a nucleation film directly on the exposed surface of the substrate (i.e., process 220 of fig. 3) may proceed by way of sub-process block 310, which includes heating the substrate to the desired deposition temperature, i.e., the substrate temperature. For example, the substrate may be heated to a deposition temperature of less than about 800 ℃, or less than about 700 ℃, or less than about 600 ℃, or less than about 500 ℃, or less than about 400 ℃, or less than about 300 ℃, or even less than about 200 ℃. In some embodiments of the present disclosure, the substrate temperature during the first cycle deposition process may be between 250 ℃ and 800 ℃, or between 300 ℃ and 600 ℃, or between 550 ℃ and 600 ℃.
In some embodiments, the deposition temperature used to deposit the nucleation film may depend on the composition of the nucleation film being deposited. For example, in some embodiments of the present disclosure, the nucleation film may comprise a metal oxide nucleation film, including but not limited to an aluminum oxide nucleation film, a molybdenum oxide nucleation film, a tungsten oxide nucleation film, a ruthenium oxide nucleation film, a rhenium oxide nucleation film, or an iridium oxide nucleation film. In such example embodiments, the temperature of the substrate during deposition of the metal oxide nucleation film may be less than about 800 ℃, or less than about 600 ℃, or less than about 500 ℃, or less than about 400 ℃, or even less than about 300 ℃. In some embodiments, the temperature of the substrate during deposition of the metal oxide nucleation film may be between 250 ℃ and 550 ℃.
In some embodiments, the nucleation film may comprise a metal nitride nucleation film. For example, the metal nitride nucleation film may comprise a molybdenum nitride nucleation film. In such example embodiments, the temperature of the substrate during deposition of the molybdenum nitride nucleation film may be less than about 700 ℃, or less than about 600 ℃, or less than about 500 ℃, or less than about 400 ℃, or even less than about 300 ℃, or even less than about 200 ℃. In some embodiments, the temperature of the substrate during deposition of the molybdenum nitride nucleation film may be between 200 ℃ and 700 ℃, or between 350 ℃ and 600 ℃, or even between 450 ℃ and 550 ℃.
Further, to achieve a desired deposition temperature (i.e., a desired substrate temperature), the exemplary first cycle deposition of process block 220 (fig. 3) may also adjust the pressure within the reaction chamber during the cycle deposition process in order to obtain a nucleated film having desired characteristics. For example, in some embodiments of the present disclosure, the exemplary first cycle deposition process of process block 220 (fig. 3) may be performed within a reaction chamber that is regulated to a pressure of less than 300 torr, or less than 200 torr, or less than 100 torr, or less than 50 torr, or less than 25 torr, or less than 15 torr, or even less than 2 torr. In some embodiments, the pressure within the reaction chamber during deposition of the nucleation film may be regulated at a pressure between 2 torr and 300 torr, or between 30 torr and 80 torr.
Once the substrate has been heated to the desired temperature and the pressure within the reaction chamber has been adjusted to the desired level, the exemplary first cyclical deposition process of process block 220 may continue with a first cyclical deposition phase 305, which may comprise an Atomic Layer Deposition (ALD) process or a Cyclical Chemical Vapor Deposition (CCVD) process.
Non-limiting example embodiments of cyclical deposition processes may include Atomic Layer Deposition (ALD), wherein ALD is based on a generally self-limiting reaction in which sequential and alternating pulses of reactants are used to deposit about one atomic (or molecular) monolayer per unit deposition cycle. Deposition conditions and precursors are typically selected to provide self-saturation reactions such that an adsorbed layer of one reactant leaves a surface termination state (termination) that is unreactive with the gas phase reactant of the same reactant. The substrate is then contacted with a different reactant which reacts with the previous termination state to effect continued deposition. Thus, each cycle of alternating pulses typically leaves no more than about one monolayer of the desired material. However, as mentioned above, the skilled artisan will recognize that, for example, although the process is of an alternating nature, more than one monolayer of material may be deposited in one or more ALD deposition cycles if some vapor phase reaction occurs.
In some embodiments, a first cycle deposition process (e.g., an ALD deposition process) may be used to deposit the nucleation film. For example, the first cyclical deposition process may include performing one or more first unit deposition cycles of the first cyclical deposition phase 305 (fig. 3).
In some embodiments of the present disclosure, the first unit deposition cycle of the first cycle deposition phase 305 may comprise: the method includes exposing the substrate to a first vapor phase reactant, removing any unreacted first vapor phase reactant and reaction byproducts from the reaction chamber, and exposing the substrate to a second vapor phase reactant, followed by a second removal step. In some embodiments of the present disclosure, the first gas phase reactant may comprise a metal precursor and the second gas phase reactant may comprise one of a nitrogen precursor or an oxygen precursor.
In some embodiments, the precursor may be passed through an inert gas such as argon (Ar) or nitrogen (N)2) Separated to prevent gas phase reactions between reactants and achieve self-saturating surface reactions. However, in some embodiments, the substrate may be moved to contact the first gas-phase reactant and the second gas-phase reactant, respectively. Because the reaction is self-saturating, tight temperature control of the substrate and precise dose control of the precursor may not be required. However, the substrate temperature is preferably such that the entrained gas species neither condense into a monolayer, nor decompose on the surface. The remaining precursors and reaction byproducts (if present) are removed from the substrate surface prior to contacting the substrate with the next reactant, such as by purging the reaction chamber or by moving the substrate. Undesirable gaseous molecules can be effectively evacuated from the reaction chamber by means of an inert purge gas. A vacuum pump may be used to assist in purging the reaction chamber.
According to some non-limiting embodiments of the present disclosure, an ALD process may be used to deposit a nucleation film directly on an exposed surface of a substrate. In some embodiments of the present disclosure, the cyclical deposition phase 305 of an ALD process for depositing nucleation films may comprise a first unit deposition, which may include two different deposition phases. During the first phase of the first unit deposition cycle, the substrate may be contacted with a metal precursor to form no more than about a monolayer of the reactant species on the surface of the substrate. The substrate may be contacted with one of a nitrogen precursor or an oxygen precursor during a second phase of the first unit deposition cycle.
Thus, in some embodiments, the first cyclical deposition phase 305 (fig. 3) of the first cyclical deposition process 220 can continue with the aid of the sub-process block 320 that includes contacting the substrate with the first vapor-phase reactant, and in particular embodiments, the metal precursor.
In some embodiments, the nucleation film may comprise a metal oxide nucleation film. For example, the metal oxide nucleation film may comprise at least one of: an aluminum oxide nucleation film, a molybdenum oxide nucleation film, a tungsten oxide nucleation film, a ruthenium oxide nucleation film, a rhenium oxide nucleation film, or an iridium oxide nucleation film.
In some embodiments, the nucleation film may comprise an aluminum oxide nucleation film, and in such embodiments, the metal precursor, i.e., the aluminum precursor, may comprise at least one of: trimethylaluminum (TMA), Triethylaluminum (TEA), dimethylaluminum hydride (DMAH), tri-tert-butylaluminum (TTBA), aluminum trichloride (AlCl)3) Or dimethylaluminum isopropoxide (DMAI).
In some embodiments, the nucleation film may comprise a tungsten oxide nucleation film, and in such embodiments, the metal precursor, i.e., the tungsten precursor, may comprise a metal-organic tungsten precursor. In some embodiments, the metal organic tungsten precursor may comprise a cyclopentadienyl compound, a tungsten beta diketone compound, a tungsten alkylamine compound, an amidinatotungsten compound, or other metal organic tungsten compound of tungsten. In some embodiments, the metalorganic tungsten precursor may comprise bis (t-butylimino) bis (t-butylamino) tungsten (VI), bis (isopropylcyclopentadienyl) tungsten (IV) dihydride, or tetracarbyl (1, 5-cyclooctadiene) tungsten (0).
In some embodiments, the nucleation film may comprise a ruthenium oxide nucleation film, and in such embodiments, the metal precursor, i.e., the ruthenium precursor, may comprise at least one of: ruthenium tetroxide (RuO)4)、Bis (cyclopentadienyl) ruthenium (II), bis (ethylcyclopentadienyl) ruthenium (II) and triruthenium dodecacarbonyl.
In some embodiments, the nucleation film may comprise a rhenium oxide nucleation film, and in such embodiments, the metal precursor, i.e., the rhenium precursor, may comprise at least one of: a rhenium halide precursor, a rhenium oxyhalide precursor, a cyclopentadienyl-based rhenium precursor, or a rhenium carbonyl halide precursor. Additional information regarding rhenium precursors is described in U.S. patent application No. 16/219,555, entitled "method for forming rhenium-containing films on substrates by cyclical deposition processes and related semiconductor device structures," the entire contents of which are incorporated herein by reference.
In some embodiments, the nucleation film may comprise an iridium oxide nucleation film, and in such embodiments, the metal precursor, i.e., the iridium precursor, may comprise at least one of: 1, 5-cyclooctadiene (acetylacetonate) iridium (I), 1, 5-cyclooctadiene (hexafluoroacetylacetonate) iridium (I), 1-ethylcyclopentadienyl-1, 3-cyclohexadiene iridium (I), iridium (II) acetylacetonate, (methylcyclopentadienyl) (1, 5-cyclooctadiene) iridium (I), and tris (norbornadiene) (acetylacetonate) iridium (III).
In some embodiments, the nucleation film may comprise a molybdenum oxide nucleation film, and in such embodiments, the metal precursor, i.e., the molybdenum precursor, may comprise a molybdenum halide precursor. In some embodiments, the molybdenum halide precursor may comprise a molybdenum chloride precursor, a molybdenum iodide precursor, or a molybdenum bromide precursor. As non-limiting examples, the molybdenum halide precursor may comprise at least one of: molybdenum pentachloride (MoCl)5) Molybdenum hexachloride (MoCl)6) Molybdenum hexafluoride (MoF)6) Molybdenum triiodide (MoI)3) Or molybdenum dibromide (MoBr)2). In some embodiments, the molybdenum halide precursor may comprise a molybdenum chalcogenide, and in particular embodiments, the molybdenum halide precursor may comprise a molybdenum chalcogenide halide. For example, the chalcogenide molybdenum halide precursor may comprise a molybdenum oxyhalide selected from the group comprising: molybdenum oxychloride, molybdenum oxyiodide or molybdenum oxybromide. In particular embodiments of the present disclosure, the molybdenum halide precursor may comprise molybdenum oxychloride, including (but not limited to)Then) molybdenum (V) oxychloride (MoOCl)3) Molybdenum (VI) tetrachlorooxide (MoOCl)4) Or molybdenum (IV) dichlorodioxide (MoO)2Cl2)。
In alternative embodiments, the molybdenum precursor may comprise a metal organic molybdenum precursor, such as Mo (CO)6、Mo(tBuN)2(NMe2)2、Mo(NBu)2(StBu)2、(Me2N)4Mo and (iPrCp)2MoH2
In some embodiments, the nucleation film may comprise a metal nitride nucleation film. For example, the metal nitride nucleation film may comprise a molybdenum nitride nucleation film. In such embodiments where the metal nitride nucleation film comprises a molybdenum nucleation film, the metal precursor, i.e., the molybdenum precursor, can comprise a molybdenum halide, examples of which have been previously described herein. In particular embodiments of the present disclosure, the molybdenum precursor may comprise molybdenum oxychloride, including, but not limited to, molybdenum (V) oxychloride (MoOCl)3) Molybdenum (VI) tetrachlorooxide (MoOCl)4) Or molybdenum (IV) dichlorodioxide (MoO)2Cl2). In an alternative embodiment, the molybdenum precursor may comprise molybdenum metallorganics as previously described herein.
In some embodiments, the nucleation film may comprise a metal silicide nucleation film or a metal boride nucleation film, such as a molybdenum silicide nucleation film or a molybdenum boride nucleation film. For example, the metal silicide nucleation film may be deposited using a silicon-containing precursor, such as Silane (SiH)4) Disilane (Si)2H6) Trisilane (Si)3H8) Butyl silane (Si)4H10) Or having the general empirical formula SixH(2x+2)Higher order silanes of (a). In additional examples, the metal boride nucleation film can be deposited using a boron-containing precursor, such as Borane (BH)3) Diborane (B)2H6) Or other boranes, e.g. decaborane (B)10H14)。
In some embodiments, contacting the substrate with the metal precursor may comprise a time period of between about 0.1 seconds and about 60 seconds, or between about 0.1 seconds and about 10 seconds, or between about 0.5 seconds and about 5.0 seconds. Additionally, the flow rate of the metal precursor can be less than 1000sccm, or less than 500sccm, or less than 100sccm, or less than 10sccm, or even less than 1sccm during the contacting of the substrate with the metal precursor. Additionally, the flow rate of the metal precursor can range from about 1 to 2000sccm, from about 5 to 1000sccm, or from about 10 to about 500sccm during the contacting of the substrate with the metal precursor.
The first cyclical deposition phase 305 (fig. 3) of the first cyclical deposition process 220 may be continued by purging the reaction chamber. For example, excess metal precursor and reaction byproducts (if any) may be removed from the substrate surface, e.g., by pumping with an inert gas. In some embodiments of the present disclosure, the purge process may comprise a purge cycle in which the substrate surface is purged for a period of less than about 5.0 seconds, or less than about 3.0 seconds, or even less than about 2.0 seconds. Excess metal precursor and any possible reaction by-products may be removed by means of a vacuum created by a pumping system in fluid communication with the reaction chamber.
After purging the reaction chamber with the purge cycle, the first cycle deposition phase 305 (fig. 3) of the first cycle deposition process 220 may continue by way of a sub-process block 330 that includes contacting the substrate with the second vapor phase reactant, particularly contacting the substrate with one of a nitrogen precursor or an oxygen precursor.
In some embodiments, the nucleation film may comprise a metal oxide nucleation film, and in such embodiments, the first gas-phase reactant may comprise a metal precursor and the second gas-phase reactant may comprise an oxygen precursor. In some embodiments, the nucleation film may comprise a metal nitride nucleation film, and in such embodiments, the first gas-phase reactant may comprise a metal precursor and the second gas-phase reactant may comprise a nitrogen precursor.
In embodiments of the present disclosure employing metal oxide nucleation films, the second gas-phase reactant may comprise one or more of the oxygen precursors selected from the group comprising: water (H)2O), hydrogen peroxide (H)2O2) Ozone (O)3) Or oxides of nitrogen, e.g. Nitric Oxide (NO), nitrous oxide (N)2O) or nitrogen dioxide (NO)2). As a further non-limiting example, oxygen is precededThe body may comprise: an organic alcohol, such as isopropyl alcohol, or an oxygen plasma, wherein the oxygen plasma may comprise: atomic oxygen, oxygen radicals, and excited oxygen species.
In embodiments of the present disclosure employing metal nitride nucleation films, the second gas-phase reactant may comprise a nitrogen precursor. For example, the nitrogen precursor may comprise at least one of: ammonia (NH)3) Hydrazine (N)2H4) Triazane (N)3H5) Tert-butylhydrazine (C)4H9N2H3) Methyl hydrazine (CH)3NHNH2) Dimethyl trap ((CH)3)2N2H2) Or a nitrogen plasma, wherein the nitrogen plasma comprises: atomic nitrogen, nitrogen radicals, and excited nitrogen species.
In some embodiments of the present disclosure, contacting the substrate with the second gas phase reactant, i.e., the oxygen precursor or the nitrogen precursor, may comprise contacting the substrate with the precursor for a period of time of between about 0.01 seconds and about 120 seconds, between about 0.05 seconds and about 60 seconds, or between about 0.1 seconds and about 10 seconds. Additionally, the flow rate of the second gas phase reactant can be less than 10000sccm or less than 5000sccm or even less than 100sccm during the contacting of the substrate with the second gas phase reactant.
After contacting the substrate with one of the nitrogen precursor or the oxygen precursor, the first cycle deposition phase 305 (fig. 3) of process block 220 may proceed by purging the reaction chamber. For example, as previously described herein, excess second gas phase reactant and reaction byproducts (if any) may be removed from the substrate surface.
After the second vapor phase reactant and any reaction byproducts are completely purged from the reaction chamber, the first cycle deposition phase 305 (fig. 3) of the first cycle deposition process 220 may continue with a decision gate 340, wherein the decision gate 340 is dependent upon the desired average film thickness of the deposited nucleation film. For example, if the deposited thickness of the nucleated film is insufficient for the desired application, the cyclical deposition phase 305 may be repeated by returning to the sub-process block 320 and continuing with another first unit deposition cycle, which may include contacting the substrate with the metal precursor (sub-process block 320), purging the reaction chamber, contacting the substrate with one of the nitrogen precursor or the oxygen precursor (sub-process block 330), and purging the reaction chamber again. The first unit deposition cycle of the cyclical deposition phase 305 may be repeated one or more times until a nucleation film of a desired average thickness is deposited on the substrate. Once the nucleation film is deposited to the desired average thickness, the first cycle deposition process of process block 220 may be exited via sub-process block 350, and the substrate on which the nucleation film is deposited may be subjected to another process of the polycrystalline molybdenum film formation process 200 of fig. 2.
It is to be understood that in some embodiments of the present disclosure, the order of contacting the substrate with the first gas-phase reactant (e.g., metal precursor) and the second gas-phase reactant (e.g., nitrogen precursor or oxygen precursor) may be such that: the substrate is contacted with the second gas phase reactant and then with the first gas phase reactant. Additionally, in some embodiments, the cyclical deposition phase 305 of the first cyclical deposition process 220 can comprise contacting the substrate with the first vapor phase reactant one or more times, and then contacting the substrate with the second vapor phase reactant one or more times. Additionally, in some embodiments, the cyclical deposition phase 305 of the exemplary process 220 may comprise contacting the substrate with the second vapor phase reactant one or more times, and then contacting the substrate with the first vapor phase reactant one or more times.
In embodiments where the nucleation film comprises a molybdenum nitride film, the cyclical deposition phase 305 may additionally include contacting the substrate with a third gas phase reactant comprising a reducing agent. For example, the nitrogen precursor and the reducing agent can be introduced into the reaction chamber simultaneously, or alternatively, the nitrogen precursor and the reducing agent can be introduced into the reaction chamber separately, with or without a purge cycle in between. Additional information regarding methods of depositing molybdenum nitride films is described in U.S. application No. 62/891,254 entitled "method of depositing molybdenum nitride films on substrate surfaces by a cyclical deposition process and related semiconductor device structures" to Stevens et al, which is incorporated herein by reference in its entirety.
In some embodiments, the first cyclical deposition process as described herein may comprise a hybrid ALD/CVD process or a cyclical CVD process. For example, in some embodiments, the deposition rate of a first cycle deposition process (e.g., an ALD process) may be low compared to the deposition rate of a CVD process. One exemplary method of increasing the deposition rate of the first cycle deposition process may be to operate at a higher substrate temperature than is typically employed in an ALD process, thereby producing some portion of the CVD process, but still utilizing sequential introduction of precursors, such a process may be referred to as cyclic CVD. In some embodiments, a cyclic CVD process may comprise introducing two or more precursors into a reaction chamber, wherein there may be an overlapping period of time between the two or more precursors in the reaction chamber, thereby producing an ALD deposition component and a CVD deposition component. For example, a cyclic CVD process may include a continuous flow of one precursor and periodic pulsing of a second precursor into the reaction chamber.
In some embodiments of the present disclosure, the nucleation film may be deposited directly on the exposed surface of the substrate at a growth rate of from about 0.05 angstroms/cycle to about 5 angstroms/cycle, from about 0.1 angstroms/cycle to about 2 angstroms/cycle.
In some embodiments of the present disclosure, the nucleation film may be deposited as a physically continuous film. For example, Low Energy Ion Scattering (LEIS) may be utilized to determine the thickness at which the film becomes physically continuous. In some embodiments, the physically continuous nucleation film may be deposited to less than
Figure BDA0002635459150000181
Or less than
Figure BDA0002635459150000182
Or less than
Figure BDA0002635459150000183
Or less than
Figure BDA0002635459150000184
Or less than
Figure BDA0002635459150000185
Or less than
Figure BDA0002635459150000186
Or even less than
Figure BDA0002635459150000187
Average film thickness of (2). In some embodiments, the physically continuous nucleation film may be deposited to about
Figure BDA0002635459150000188
And
Figure BDA0002635459150000189
average film thickness in between.
In some embodiments of the present disclosure, the nucleation film is deposited to have a thickness less than
Figure BDA00026354591500001810
Or less than
Figure BDA00026354591500001811
Or less than
Figure BDA00026354591500001812
Or less than
Figure BDA00026354591500001813
Or less than
Figure BDA00026354591500001814
Or less than
Figure BDA00026354591500001815
Or less than
Figure BDA0002635459150000191
Or even less than
Figure BDA0002635459150000192
Average film thickness of (a) deposited physically discontinuous films. In some embodiments, the physically discontinuous nucleation film may be deposited to about
Figure BDA0002635459150000193
And
Figure BDA0002635459150000194
average film thickness in between.
In some embodiments of the present disclosure, the nucleation film may be deposited as an amorphous film. For example, the nucleation film may comprise one of an amorphous metal oxide film or an amorphous metal nitride film.
In some embodiments, the exposed surface of the substrate may include a plurality of non-planar features, such as vertical non-planar features and/or horizontal non-planar features. As non-limiting examples, the substrate surface may comprise a plurality of vertical trenches (e.g., v-shaped vertical trenches, or tapered vertical trenches), and the step coverage of the nucleation film deposited on the non-planar surface of the substrate may be greater than about 50%, or greater than about 80%, or greater than about 90%, or greater than about 95%, or greater than about 98%, or even greater than about 99%. In some embodiments, the non-planar features may comprise vertical non-planar features having an aspect ratio (e.g., a ratio of a height of a vertical trench to a width of a vertical trench) that may be greater than 2:1, or greater than 5:1, or greater than 10:1, or greater than 25:1, or greater than 50:1, or even greater than 100:1, where "greater than" as used in this example refers to a height of the vertical non-planar feature being greater. In some embodiments, the substrate may comprise one or more horizontal non-planar features, wherein the horizontal non-planar features may have an aspect ratio (height: width) that may be greater than 1:2, or greater than 1:5, or greater than 1:10, or greater than 1:25, or greater than 1:50, or even greater than 1:100, wherein "greater than" as used in this example means that the width of the horizontal non-planar features has a greater distance.
It should also be noted that the nucleation films of the present disclosure do not constitute a barrier layer or barrier material commonly used in semiconductor device applications to prevent diffusion of metal species into the underlying dielectric material. The nucleation films of the present disclosure serve to improve the material quality of subsequently deposited polycrystalline molybdenum films and do not constitute high resistivity barrier layers or barrier materials employed in common semiconductor device fabrication processes.
In some embodiments, the use of the nucleation films of the present disclosure may improve subsequent processes for depositing polycrystalline molybdenum films directly on the nucleation films. For example, utilizing a nucleation film prior to depositing a polycrystalline molybdenum film may increase the machinable process window for high quality film deposition, i.e., the deposition process is insensitive to variability in process parameters (e.g., deposition temperature, pressure, pulse period, cycle time, etc.).
After depositing the nucleation film directly on the exposed surface of the substrate, the exemplary polycrystalline molybdenum film formation process 200 (fig. 2) may continue by way of process block 230, which includes depositing the polycrystalline molybdenum film directly on the nucleation film.
In more detail, the process block 230 for depositing the polycrystalline molybdenum film may include a second cycle deposition process. In some embodiments, process block 230 may employ an alternative deposition method as previously described herein. The process block 230 and the associated sub-process blocks making up the process block are described in more detail with reference to fig. 4, which illustrates a second cycle deposition process for depositing a polycrystalline molybdenum film.
In some embodiments, the second cyclical deposition process 230 of fig. 4 may comprise an atomic layer deposition process or a cyclical chemical vapor deposition process as previously described herein. As a non-limiting example, the second cycle deposition process 230 may comprise an ALD process, which may begin by way of a sub-process block 410 that comprises heating the substrate to a desired deposition temperature. For example, the substrate may be heated to a substrate temperature of less than about 800 ℃, or less than about 700 ℃, or less than about 600 ℃, or less than about 500 ℃, or less than about 400 ℃, or less than about 300 ℃, or even less than about 200 ℃. In some embodiments of the present disclosure, the substrate temperature during the second cycle deposition 230 may be between 200 ℃ and 800 ℃, or between 300 ℃ and 700 ℃, or between 400 ℃ and 600 ℃, or between 500 ℃ and 550 ℃.
In addition, to achieve a desired deposition temperature (i.e., a desired substrate temperature), the second cycle deposition process 230 may also adjust the pressure within the reaction chamber during the deposition process in order to obtain desired characteristics of the deposited polycrystalline molybdenum film. For example, in some embodiments of the present disclosure, the second cyclical deposition process 230 may be performed within a reaction chamber that is regulated to a pressure of less than 300 torr, or less than 200 torr, or less than 100 torr, or less than 50 torr, or less than 25 torr, or even less than 10 torr. In some embodiments, the pressure within the reaction chamber during deposition may be adjusted to a pressure between 10 torr and 300 torr, or between 30 torr and 80 torr, or even equal to or higher than 30 torr.
After heating the substrate to the desired deposition temperature and adjusting the pressure within the reaction chamber, the second cyclical deposition process 230 (fig. 4) may continue with a second cyclical deposition phase 405. The second cyclical deposition phase 405 can continue with the aid of a sub-process block 420, which sub-process block 420 comprises contacting the substrate with a third vapor phase reactant that can comprise a molybdenum halide precursor.
In some embodiments, the molybdenum halide precursor may comprise a molybdenum chloride precursor, a molybdenum iodide precursor, or a molybdenum bromide precursor. For example, the molybdenum halide precursor may comprise one or more of the following: molybdenum pentachloride (MoCl)5) Molybdenum hexachloride (MoCl)6) Molybdenum hexafluoride (MoF)6) Molybdenum triiodide (MoI)3) Or molybdenum dibromide (MoBr)2). In particular embodiments, the molybdenum halide precursor may comprise a molybdenum chloride precursor, such as molybdenum pentachloride (MoCl)5) Or molybdenum hexachloride (MoCl)6)。
In alternative embodiments, the molybdenum precursor may comprise a metal organic molybdenum precursor, such as Mo (CO)6、Mo(tBuN)2(NMe2)2、Mo(NBu)2(StBu)2、(Me2N)4Mo and (iPrCp)2MoH2
In some embodiments, the molybdenum halide precursor may comprise a chalcogenide molybdenum halide precursor. For example, the chalcogenide molybdenum halide precursor may comprise a molybdenum oxyhalide selected from the group comprising: molybdenum oxychloride, molybdenum oxyiodide or molybdenum oxybromide. In particular embodiments of the present disclosure, the molybdenum halide precursor may comprise molybdenum oxychloride, including but not limited to molybdenum (V) oxychloride (MoOCl)3) Molybdenum (VI) tetrachlorooxide (MoOCl)4) Or molybdenum (IV) dichlorodioxide (MoO)2Cl2)。
In some embodiments of the present disclosure, the substrate may be contacted with the molybdenum halide precursor for a period of time between about 0.1 seconds and about 60 seconds, or between about 0.1 seconds and about 10 seconds, or between about 0.5 seconds and about 5.0 seconds. Additionally, the flow rate of the molybdenum halide precursor can be less than 1000sccm, or less than 500sccm, or less than 100sccm, or less than 10sccm, or even less than 1sccm during the contacting of the substrate with the molybdenum halide precursor. Additionally, the flow rate of the molybdenum precursor can be in a range of about 1 to 2000sccm, about 5 to 1000sccm, or about 10 to about 500sccm during the contacting of the substrate with the molybdenum halide precursor.
The second cyclical phase 405 (fig. 4) of the second cyclical deposition process 230 may continue by purging the reaction chamber. For example, excess molybdenum halide precursor and reaction byproducts (if any) may be removed from the substrate surface, e.g., by pumping with an inert gas. In some embodiments of the present disclosure, the purge process may comprise one or more purge cycles as previously described herein.
After purging the reaction chamber, the second cycle deposition 405 may continue with the aid of a sub-process block 430, which sub-process block 430 comprises contacting the substrate with a fourth vapor phase reactant that may comprise a reducing agent. For example, the reducing agent may comprise at least one of: synthesis gas (H)2+N2) Ammonia (NH)3) Hydrazine (N)2H4) Alkyl hydrazines (e.g. tert-butyl hydrazine (C)4H12N2) Molecular hydrogen (H)2) Hydrogen atoms (H), hydrogen plasma, hydrogen radicals, hydrogen excited species, alcohols, aldehydes, carboxylic acids, boranes or amines. In other examples, the reducing agent may comprise at least one of: silane (SiH)4) Disilane (Si)2H6) Trisilane (Si)3H8) Germane (GeH)4) Digermane (Ge)2H6) Borane (BH)3) Or diborane (B)2H6). In particular embodiments of the present disclosure, the reducing agent may comprise molecular hydrogen (H)2)。
In some embodiments of the present disclosure, the substrate may be contacted with the reducing agent for a period of time between about 0.01 seconds and about 180 seconds, or between about 0.05 seconds and about 60 seconds, or between about 0.1 seconds and about 10.0 seconds. Additionally, the flow rate of the reducing agent may be less than 30slm, or less than 15slm, or less than 10slm, or less than 5slm, or less than 1slm, or even less than 0.1slm during the contacting of the substrate with the reducing agent. In addition, the flow rate of the reducing agent may be in a range of about 0.1 to 30slm, about 5 to 15slm, or equal to or higher than 10slm in the process of contacting the substrate with the reducing agent.
After contacting the substrate with the reducing agent, the second cyclical deposition phase 405 can be carried out by purging the reaction chamber, as previously described herein.
After the reducing agent (and any reaction byproducts) are completely purged from the reaction chamber, the second cyclical deposition phase 405 can continue with a decision gate 440, wherein the decision gate 440 depends on the desired average thickness of the deposited polycrystalline molybdenum film. For example, if the average deposited thickness of the polycrystalline molybdenum metal is insufficient for the desired application, the cyclical deposition phase 405 may be repeated by returning to the sub-process block 420 and continuing with a second unit deposition cycle, wherein the second unit deposition cycle of the second cyclical deposition process 230 may include contacting the substrate with the molybdenum halide precursor (sub-process block 420), purging the reaction chamber, contacting the substrate with the reducing agent (sub-process block 430), and purging the reaction chamber again. The second unit deposition cycle of the cyclical deposition phase 405 may be repeated one or more times until the desired average thickness of polycrystalline molybdenum metal is deposited directly on the nucleation film. Once the polycrystalline molybdenum film has been deposited to the desired average thickness, the second cycle deposition process 230 may exit via sub-process block 450.
After completing the process for depositing the polycrystalline molybdenum film (process block 230), the exemplary process 200 (of fig. 2) for forming the polycrystalline molybdenum film may end via process block 240, wherein the substrate exiting the process and on which the polycrystalline molybdenum film is disposed may undergo further processing to fabricate a desired structure, such as a semiconductor device structure.
It will be appreciated that in some embodiments of the present disclosure, the order in which the substrate is contacted with the molybdenum precursor and the reducing agent may be such that: the substrate is contacted with a reducing agent and then with a molybdenum precursor. Additionally, in some embodiments, the cyclical deposition phase 405 of the second cyclical deposition process 230 may comprise contacting the substrate with a molybdenum precursor one or more times, and then contacting the substrate with a reducing agent one or more times. Additionally, in some embodiments, the cyclical deposition phase 405 of the second cyclical deposition process block 230 may comprise contacting the substrate with a reducing agent one or more times, and then contacting the substrate with a molybdenum precursor one or more times.
In some embodiments, the second cyclical deposition process 230 for depositing the polycrystalline molybdenum film may comprise a hybrid ALD/CVD process or a cyclical CVD process, as previously described herein.
The polycrystalline molybdenum film deposited by the methods disclosed herein may be a physically continuous film. In some embodiments, the polycrystalline molybdenum film is less than about
Figure BDA0002635459150000231
Or less than about
Figure BDA0002635459150000232
Or less than about
Figure BDA0002635459150000233
Or less than about
Figure BDA0002635459150000234
Or less than about
Figure BDA0002635459150000235
Or less than about
Figure BDA0002635459150000236
Or even less than about
Figure BDA0002635459150000237
May be physically continuous at the average film thickness of (a).
In some embodiments of the present disclosure, the polycrystalline molybdenum film may have a thickness of about
Figure BDA0002635459150000238
To
Figure BDA0002635459150000239
Or about
Figure BDA00026354591500002310
To
Figure BDA00026354591500002311
Or even about
Figure BDA00026354591500002312
To
Figure BDA00026354591500002313
Average film thickness of (2). In some embodiments, the polycrystalline molybdenum film may have a thickness greater than about
Figure BDA00026354591500002314
Or greater than about
Figure BDA00026354591500002315
Or greater than about
Figure BDA00026354591500002316
Or greater than about
Figure BDA00026354591500002317
Or greater than about
Figure BDA00026354591500002318
Or greater than about
Figure BDA00026354591500002319
Figure BDA00026354591500002320
Or greater than about
Figure BDA00026354591500002321
Or even greater than about
Figure BDA00026354591500002322
Average film thickness of (2). In some embodiments, the polycrystalline molybdenum film may beTo have a diameter less than about
Figure BDA00026354591500002323
Or less than about
Figure BDA00026354591500002324
Or less than about
Figure BDA00026354591500002325
Or less than about
Figure BDA00026354591500002326
Or less than about
Figure BDA00026354591500002327
Or even less than about
Figure BDA00026354591500002328
Average film thickness of (2). In some embodiments, the polycrystalline molybdenum films of the present disclosure may have a thickness of between about
Figure BDA00026354591500002329
And
Figure BDA00026354591500002330
average film thickness in between.
In some embodiments, the polycrystalline molybdenum film may comprise a plurality of molybdenum crystallites (also referred to as molybdenum grains), wherein the plurality of molybdenum crystallites may comprise micron-scale or even nano-scale regions of crystalline molybdenum forming the polycrystalline molybdenum film. In some embodiments, the molybdenum crystallites formed by the methods disclosed herein may have a crystallite size less than
Figure BDA00026354591500002331
Or less than
Figure BDA00026354591500002332
Or less than
Figure BDA00026354591500002333
Or less than
Figure BDA00026354591500002334
Or less than
Figure BDA00026354591500002335
Figure BDA00026354591500002336
Or even less than
Figure BDA00026354591500002337
Average crystallite size of (a). In some embodiments, the molybdenum crystallites may have a crystallite size of between about
Figure BDA00026354591500002338
And
Figure BDA00026354591500002339
is between or about
Figure BDA00026354591500002340
And
Figure BDA00026354591500002341
between or even about
Figure BDA00026354591500002342
And
Figure BDA00026354591500002343
average crystallite size in between. As a non-limiting example, the polycrystalline molybdenum film may be deposited directly on the molybdenum nitride nucleation film and the molybdenum crystallites of the polycrystalline molybdenum metal may have a thickness of less than about
Figure BDA00026354591500002344
Or less than about
Figure BDA00026354591500002345
Or even less than about
Figure BDA00026354591500002346
Average of (2)Crystallite size. The average size of the plurality of molybdenum crystallites may be determined by x-ray diffraction (XRD) measurements.
In some embodiments, the average crystallite size of a polycrystalline molybdenum film may be adjusted by varying properties of the underlying nucleation film, such as surface roughness, composition, and average crystallite size.
In some embodiments, the use of an intermediate nucleation film may improve the surface roughness of a polycrystalline molybdenum film subsequently deposited directly on the nucleation film. For example, a polycrystalline molybdenum film formed according to embodiments of the present disclosure may have a thickness less than
Figure BDA00026354591500002347
Or less than
Figure BDA00026354591500002348
Or less than
Figure BDA00026354591500002349
Or even less than
Figure BDA0002635459150000241
R.m.s. surface roughness (R)a). In some embodiments, the polycrystalline molybdenum film has a surface roughness (r.m.s. degree) ofa) May be between about
Figure BDA0002635459150000242
And
Figure BDA0002635459150000243
is between or about
Figure BDA0002635459150000244
And
Figure BDA0002635459150000245
between, or even about
Figure BDA0002635459150000246
And
Figure BDA0002635459150000247
in the meantime.
In some embodiments, the surface roughness of the polycrystalline molybdenum film may be expressed as a roughness percentage of the average total thickness of the polycrystalline molybdenum film. For example, the polycrystalline molybdenum film may have a surface roughness percentage of less than 10%, or less than 5%, or less than 3%, or even less than 1%. As a non-limiting example, the nucleated film may comprise a film having a thickness of about
Figure BDA0002635459150000248
And the polycrystalline molybdenum film deposited directly on the molybdenum nitride nucleation film may have a thickness of about
Figure BDA0002635459150000249
Wherein the polycrystalline molybdenum film has an average film thickness of less than
Figure BDA00026354591500002410
R.m.s. surface roughness (R)a) And a corresponding surface roughness percentage of less than 4%.
In some embodiments, the substrate may comprise a dielectric material, and the nucleation film may be deposited directly on an exposed surface of the dielectric material. In some embodiments, the substrate may comprise a metallic material, and the nucleation film may be deposited directly on an exposed surface of the metallic material. In some embodiments, the substrate may comprise a semiconductor material, and the nucleation film may be deposited directly on an exposed surface of the semiconductor material.
Embodiments of the present disclosure employing an intermediate nucleation film between the substrate surface and the polycrystalline molybdenum film may produce a polycrystalline molybdenum film having a higher quality than films otherwise formed by direct deposition of the polycrystalline molybdenum film on the exposed surface of the substrate (i.e., without the use of an intermediate nucleation film).
As a non-limiting example, the exposed surface of the substrate may comprise alumina (e.g., Al)2O3) The surface and molybdenum nitride nucleation film can be deposited directly on the exposed surface of the alumina to less than
Figure BDA00026354591500002411
Average nucleation film thickness of (a). After depositing the molybdenum nitride nucleation film, a polycrystalline molybdenum film may be deposited directly on the molybdenum nitride nucleation film. In such examples, the polycrystalline molybdenum film may have a thickness less than
Figure BDA00026354591500002412
Figure BDA00026354591500002413
Or less than
Figure BDA00026354591500002414
Or less than
Figure BDA00026354591500002415
Or even less than
Figure BDA00026354591500002416
R.m.s. surface roughness (R)a). Additionally, the polycrystalline molybdenum films of such examples may have a surface roughness percentage of less than 5%, or less than 4%, or less than 3%, or even less than 2%.
In some embodiments, the substrate may comprise a dielectric material, and the nucleation film may be deposited directly on an exposed surface of the dielectric material. In some embodiments, the substrate may comprise a semiconductor material, and the nucleation film may be deposited directly on an exposed surface of the semiconductor material. In some embodiments, the substrate may comprise a metallic material, and the nucleation film may be deposited directly on an exposed surface of the metallic material. In some embodiments, the nucleation film may be deposited directly on an exposed surface of the non-planar substrate, wherein the exposed surface may comprise one or more of the following: a dielectric surface, a semiconductor surface, or a metal surface. As a non-limiting example, the nucleation film may comprise a molybdenum nitride nucleation film deposited directly on one or more of the dielectric surface, the metal surface, or the semiconductor surface.
In some embodiments, a polycrystalline molybdenum film disposed directly on a nucleation film constitutes a bilayer having a low resistivity. For example, a bis formed according to embodiments of the present disclosureThe layer may have a resistivity of less than 50 μ Ω -cm, or less than 30 μ Ω -cm, or less than 20 μ Ω -cm, or even less than 25 μ Ω -cm, wherein the bilayer may comprise less than
Figure BDA0002635459150000251
And an average nucleation film thickness of less than
Figure BDA0002635459150000252
Average polycrystalline molybdenum film thickness of (a).
By way of non-limiting example, the bilayer may be deposited directly on the dielectric material, i.e., directly on the exposed surface of the dielectric material through the nucleation film, and the polycrystalline molybdenum film deposited directly on the nucleation film. In such examples, the bilayer may have a resistivity of less than 50 μ Ω -cm, or less than 30 μ Ω -cm, or less than 20 μ Ω -cm, or even less than 25 μ Ω -cm, wherein the bilayer may comprise less than
Figure BDA0002635459150000253
And an average nucleation film thickness of less than
Figure BDA0002635459150000254
Average polycrystalline molybdenum film thickness of (a).
In some embodiments, the exposed surface of the nucleation film on which the polycrystalline molybdenum film is deposited may comprise a plurality of non-planar features, such as vertical non-planar features and/or horizontal non-planar features. As a non-limiting example, the surface of the nucleation film may comprise a plurality of vertical trenches (e.g., v-shaped vertical trenches, or tapered vertical trenches), and the step coverage of the polycrystalline molybdenum film deposited directly on the non-planar surface of the nucleation film may be greater than about 50%, or greater than about 80%, or greater than about 90%, or greater than about 95%, or greater than about 98%, or even greater than about 99%. In some embodiments, the non-planar features of the nucleation film may comprise vertical non-planar features having an aspect ratio (e.g., the ratio of the height of the vertical trenches to the width of the vertical trenches) that may be greater than 2:1, or greater than 5:1, or greater than 10:1, or greater than 25:1, or greater than 50:1, or even greater than 100:1, where "greater" as used in such instances means that the height of the vertical non-planar features is greater.
The polycrystalline molybdenum films formed by the deposition methods disclosed herein may be used in a wide variety of applications. For example, applications may include, but are not limited to, logic and memory contact fill, DRAM buried word line (bWL) fill, vertically integrated memory gate/word line fill, and 3D-integration processes such as through silicon via fill. The molybdenum gap fill process of the present disclosure may also be used to fill horizontal non-planar features, such as 3D-NAND word lines.
The deposition methods and poly-molybdenum films disclosed herein are beneficial for the above example applications, even in film applications, due to the low resistivity of the poly-molybdenum film. Disadvantages of current metal gap-fill films, such as tungsten films, include: high resistivity nucleation layers, high resistivity barrier layers, and undesirable resistivity increase because thinner nucleation films and barrier layers are required as device feature sizes decrease. Replacing the thin high resistivity film with the nucleation film and the polycrystalline molybdenum film of the present disclosure may allow for reduced power loss and reduced heating in integrated circuit applications.
Another disadvantage of current metal gap fill processes and materials is the occurrence of "line bending," such as may be observed in substrates having a large number of non-planar features with narrow pitch or in substrates having a large number of high aspect ratio non-planar features adjacent to each other (as previously described herein with reference to fig. 1A-B). Significant line bending is observed in DRAM buried word line structures (bWL) when conventional metal films, such as tungsten, are employed (bWL) as the gap fill material for the trench structures. The presence of wire sweep during device fabrication may cause undesirable device non-uniformity and device yield degradation. Replacing conventional gap-fill deposition processes and materials with the deposition processes and nucleation/poly-molybdenum films of the present disclosure may allow for reduced or even eliminated wire bending during device fabrication.
Non-limiting examples of applications of the present disclosure are illustrated with reference to fig. 5A-C. Fig. 5A shows a substrate including a number of vertical non-planar features prior to gap fill metal formation, fig. 5B shows the previous structure of fig. 5A after a nucleation film is deposited directly on the exposed surface of the substrate, and fig. 5C shows the previous structure of fig. 5B after a polycrystalline molybdenum film is deposited directly on the nucleation film.
In more detail, the structure 500 shown in fig. 5A may include a substrate 502 containing a plurality of non-planar features, in particular a plurality of vertical non-planar features 504. For example, the plurality of vertical non-planar features 504 may include a large number of v-shaped vertical trenches disposed in the substrate 502. In some embodiments, vertical non-planar features may comprise high aspect ratio features, which may have an aspect ratio (height: width) that may be greater than 2:1, or greater than 5:1, or greater than 10:1, or greater than 25:1, or greater than 50:1, or even greater than 100: 1. In the example shown in fig. 5A, the width of the v-shaped vertical grooves may be determined by measuring the distance between the uppermost extent of the opposing side walls of each v-shaped vertical groove.
In further applications, the substrate 500 may contain a number of alternative vertical non-planar features as previously described herein, or a combination of various vertical and/or horizontal non-planar features.
Disposed between adjacent vertical non-planar features 504, as shown in fig. 5A, are a plurality of line features 506, such as protruding semiconductor or dielectric lines, or protruding semiconductor fin structures.
In some embodiments of the present disclosure, the plurality of line features 506 may be formed as a regular array. For example, the line features 506 may be arranged such that the pitch (x) between adjacent line features 500 is substantially uniform, where pitch (x) may be defined as the distance between the central vertical axis of one line feature (e.g., axis 508A) and the central vertical axis of an adjacent line feature (e.g., axis 508B).
Additionally, the plurality of vertical non-planar features 504 may have a substantially uniform profile and size. For example, the vertical non-planar feature 504 as shown in fig. 5A includes a v-shaped vertical trench having sloped sidewalls, wherein the width of the v-shaped vertical trench decreases from the opening of the trench to the base of the trench. As a non-limiting example, the plurality of vertical non-planar features may comprise a substantially uniform width (y), wherein the width of each feature in the array of vertical non-planar features may be determined by measuring the distance of each opening of the vertical non-planar feature, i.e., measuring the distance between the uppermost extent of opposing sidewalls of the vertical non-planar feature.
As a non-limiting example, the structure 500 of fig. 5A may correspond to a portion of a partially fabricated DRAM device structure prior to metal gap fill deposition, wherein the plurality of vertical non-planar features 504 may comprise DRAM buried word line trenches and the plurality of line features 506 may comprise DRAM word lines.
Fig. 5B shows a structure 510 comprising the previous structure 500 (of fig. 5A) after a nucleation film 512 is deposited directly on the exposed surface of the substrate 502. The nucleation film 512 may be deposited by employing a deposition process as described herein (e.g., the first cycle deposition process 220 of fig. 3), and the nucleation film 512 may have all of the characteristics (material, thickness, crystallinity, etc.) as previously described herein. As shown in fig. 5B, the nucleation film 512 may comprise a physically continuous film, but it should be noted that in alternative embodiments, the nucleation film 512 may comprise a physically discontinuous film (not shown).
As a non-limiting example, the exemplary structure 510 of fig. 5B may correspond to a portion of a partially fabricated DRAM device structure after a nucleation film is deposited directly on the DRAM buried word line trenches and DRAM word lines.
FIG. 5C shows a structure 514 comprising the previous structure 510 (of FIG. 5B) after a polycrystalline molybdenum film 516 is deposited directly on the nucleation film 512. The molybdenum silicon poly film 516 may be deposited by employing a deposition process as described herein (e.g., the second cycle deposition process 230 of fig. 4), and the molybdenum silicon poly film 516 may have all of the characteristics (resistivity, thickness, crystallinity, etc.) as previously described herein. As shown in fig. 5C, the polycrystalline molybdenum film 516 fills the entire non-planar feature 504, e.g., from the base of the vertical trench to at least the uppermost extent (or opening) of the vertical trench. Further, as shown in fig. 5C, a polycrystalline molybdenum film 516 disposed within and filling the plurality of non-planar features 504 is deposited without forming seams.
Further, fig. 5C shows that after depositing the polycrystalline molybdenum film 516, the plurality of line features 506 disposed between adjacent filled non-planar structures 504 have reduced line bending (or distortion), or even no line bending (i.e., compare the prior art structure 110 of fig. 1B). The reduction or elimination of line bowing in the plurality of line features 506 may be apparent from the uniformity of the width of each of the vertical non-planar features 504 after the metal gap-filling process. As a non-limiting example, the metal-filled plurality of vertical non-planar features 504 may comprise a width (z), wherein the width z) of each feature in the metal-filled array of vertical non-planar features may be determined by measuring a distance across an uppermost extent of the metal-filled vertical non-planar features.
In some embodiments, the reduction or elimination of line bending produced by the deposition processes and materials of the present disclosure may be quantified by determining a line bending percentage.
As used herein, the term "percent line bending" may refer to the degree of line bending caused by deposition of a gap fill film on a substrate comprising an array of regular, non-planar features. The percent wire bend can be calculated by the following equation (I):
Figure BDA0002635459150000281
wherein the offset is calculated by the following equation (II):
offset | < z > - < y > | (II)
Or in other words, the offset value is equal to the absolute value of the average width of the non-planar features after gap fill film deposition (average of (z) of fig. 5C) minus the average value of the average width of the non-planar features before gap fill film deposition (average of (y) of fig. 5A). As a non-limiting example, the offset may be statistically determined by measuring the width (y) of the plurality of non-planar features before the gap fill film is deposited and then measuring the width (z) of the plurality of non-planar features after the gap fill film is deposited in the non-planar features.
Thus, in some embodiments, the line bend percentage of the plurality of line features 506 may be less than 20%, or less than 10%, or less than 5%, or less than 2%, or less than 1% after the polycrystalline molybdenum film 516 is formed directly on the plurality of non-planar features 504 disposed between adjacent line features.
As a non-limiting example, the substrate may contain a plurality of vertical non-planar features and a plurality of line features. In such examples, the nucleation film may comprise a molybdenum nitride nucleation film deposited directly on the plurality of vertical non-planar features and the plurality of line features. After deposition of the molybdenum nitride nucleation film, a polycrystalline molybdenum film may be deposited directly on the molybdenum nitride nucleation film, thereby filling a plurality of vertical non-planar features (e.g., v-shaped vertical trenches, or tapered vertical trenches) with the polycrystalline molybdenum film. In such examples, the percentage of line bending of the plurality of line features may be less than 20%, or less than 10%, or less than 5%, or less than 2%, or even less than 1%. In addition, the polycrystalline molybdenum film may include a film having a thickness of less than
Figure BDA0002635459150000291
Or less than
Figure BDA0002635459150000292
Or less than
Figure BDA0002635459150000293
Or less than
Figure BDA0002635459150000294
Or even less than
Figure BDA0002635459150000295
A plurality of molybdenum crystallites of an average crystallite size. In this non-limiting example, the molybdenum nitride nucleation film may have a thickness of about
Figure BDA0002635459150000296
The polycrystalline molybdenum film may have an average film thickness of about
Figure BDA0002635459150000297
And a surface roughness percentage of less than 5%.
In some embodiments, gap filling may be reduced or even eliminated by performing a pretreatment process on the exposed surface of the substrate prior to deposition of the nucleation layerWire bending resulting from the deposition of a metal charge (e.g., a polycrystalline molybdenum film). In some embodiments, the pre-treatment process may include contacting an exposed surface of the substrate including the non-planar features with the pre-treatment process. In some embodiments, the pretreatment gas can include an aluminum component, such as one or more of the following: trimethylaluminum (TMA), Triethylaluminum (TEA), dimethylaluminum hydride (DMAH), tri-tert-butylaluminum (TTBA), aluminum trichloride (AlCl)3) Or dimethylaluminum isopropoxide (DMAI). In some embodiments, the pretreatment gas may comprise a silicon component, such as a silane gas. In some embodiments, the silane pretreatment gas may comprise one or more of the following: silane (SiH)4) Disilane (Si)2H6) Trisilane (Si)3H8) Butyl silane (Si)4H10) Having a general empirical formula SixH(2x+2)Or even chlorosilanes, such as Dichlorosilane (DCS). In further embodiments, the pretreatment gas may comprise water vapor (H)2O) or ammonia (NH)3)。
In some embodiments of the present disclosure, the pretreatment process may be performed on one or more of a dielectric surface, a semiconductor surface, or a metal surface. In certain embodiments, the pretreatment process can be performed on a non-planar substrate comprising a dielectric surface.
In some embodiments, a pretreatment process comprising contacting a non-planar substrate with a pretreatment gas may be employed in addition to depositing a nucleation film prior to deposition of the polycrystalline molybdenum film. For example, a polycrystalline molybdenum film formation process may include: the exposed surface of the non-planar substrate is contacted with a pretreatment gas, followed by depositing a nucleation film directly on the pretreated surface of the non-planar substrate, and then depositing a polycrystalline molybdenum film directly on the nucleation film. In an alternative embodiment, a pretreatment process may be used on the exposed surface of the non-planar substrate, and the nucleation film deposition step may be omitted. For example, a polycrystalline molybdenum film formation process may include contacting an exposed surface of a non-planar substrate with a pretreatment gas and then depositing a polycrystalline molybdenum film directly on the pretreated surface of the non-planar substrate.
Thus, in some embodiments of the present disclosure, the percentage of line bending of the plurality of line features may be reduced or even eliminated by contacting the exposed surface of the non-planar substrate with a pretreatment gas with or without depositing an intermediate nucleation film prior to depositing the polycrystalline molybdenum film. For example, in such embodiments employing a pretreatment of the exposed surface of the non-planar substrate, the wire bend percentage of the plurality of pretreated wire features may be less than 20%, or less than 10%, or less than 5%, or less than 2%, or less than 1%.
As a non-limiting example, the structure 514 of fig. 5C may correspond to a portion of a partially fabricated DRAM device structure after metal gap fill deposition, wherein the plurality of vertical non-planar features 504 includes a DRAM buried word line trench, wherein the DRAM buried word line trench is filled with the polycrystalline molybdenum film 516. Additionally, line feature 506 may comprise a DRAM wordline, and in this non-limiting example, the line bend percentage of the plurality of DRAM wordlines may be less than 20%, or less than 10%, or less than 5%, or less than 2%, or less than 1%.
Embodiments of the present disclosure may also provide structures including polycrystalline molybdenum films formed according to the methods described herein. For example, embodiments of the present disclosure may provide a structure such as a semiconductor device structure, including a partially fabricated semiconductor device structure, that includes a polycrystalline molybdenum film formed in accordance with embodiments of the present disclosure. The structures provided herein can comprise: a substrate, a nucleation film disposed directly on a surface of the substrate, and a polycrystalline molybdenum film disposed directly on the nucleation film. The structure of the present disclosure may be illustrated with reference to structure 514 of fig. 5C.
In more detail, the structure 514 of fig. 5C may include: the surface of the substrate; a nucleation film disposed directly on the substrate surface, wherein the nucleation film comprises at least one of a metal oxide nucleation film or a metal nitride nucleation film; and a polycrystalline molybdenum film disposed directly on the nucleation film; wherein the polycrystalline molybdenum film comprises a film having a thickness of less than
Figure BDA0002635459150000301
A plurality of molybdenum crystallites of an average crystallite size.
In more detail, in some embodiments, the substrate 502 of the structure 514 (fig. 5C) may comprise at least one of a dielectric material, a metallic material, and a semiconductor material. Substrate 502 can comprise one or more of the previously disclosed substrate materials. Additionally, the surface 518 of the substrate 502 may comprise at least one of a dielectric surface, a metallic surface, or a semiconductor surface. The surface 518 of the substrate 502 may comprise one or more of the substrate materials and surfaces previously described.
Further, the surface 518 of the substrate 502 may include a plurality of non-planar features, such as vertical non-planar features and/or horizontal non-planar features. For example, the substrate 502 (fig. 5C) includes a surface 518 that includes a plurality of vertical non-planar features 504 (shown in fig. 5C as v-shaped vertical trenches). It is to be appreciated that the surface 518 as described herein can include one or more or a combination of the previously disclosed non-planar features having associated dimensions, profiles, aspect ratios, and the like. In further embodiments, substrate 502 and associated surface 518 may further comprise a plurality of line features 506, wherein each of the plurality of line features 506 may be disposed between adjacent non-planar features 504.
In some embodiments of the present disclosure, the structure 514 (fig. 5C) may also include a nucleation film 512, which may be disposed directly on the surface 518 of the substrate 502. In some embodiments, the nucleation film 512 may comprise at least one of a metal oxide nucleation film or a metal nitride nucleation film.
In embodiments where the nucleation film 512 comprises a metal oxide nucleation film, the metal oxide nucleation film may comprise at least one of: an aluminum oxide nucleation film, a molybdenum oxide nucleation film, a tungsten oxide nucleation film, a ruthenium oxide nucleation film, a rhenium oxide nucleation film, or an iridium oxide nucleation film. The metal oxide nucleation films of the present disclosure may comprise a physically continuous nucleation film (as shown by nucleation film 512 of fig. 5C) or a physically discontinuous nucleation film (not shown). In embodiments where the metal oxide nucleation film is physically continuous, the metal oxide nucleation film is less than
Figure BDA0002635459150000311
May be physically continuous at the average film thickness of (a). In addition, the metal oxide nucleation film may beTo have an average film thickness as previously disclosed herein, and in particular embodiments, the metal oxide nucleation film may have a thickness less than
Figure BDA0002635459150000312
Average film thickness of (2). In some embodiments, the metal oxide nucleation film may comprise an amorphous metal oxide nucleation film.
In embodiments where the nucleation film 512 comprises a metal nitride nucleation film, the metal nitride nucleation film may comprise a molybdenum nitride nucleation film. The metal nitride nucleation films of the present disclosure may comprise a physically continuous nucleation film (as shown by nucleation film 512 of fig. 5C) or a physically discontinuous nucleation film (not shown). For example, the nucleation film of molybdenum nitride is smaller than
Figure BDA0002635459150000313
May be physically continuous at the average film thickness of (a). In addition, the metal nitride nucleation film may have an average film thickness as previously disclosed herein, and in particular embodiments, the metal nitride nucleation film may have a thickness less than
Figure BDA0002635459150000314
Average film thickness of (2). In some embodiments, the metal nitride nucleation film may comprise a metal nitride nucleation film.
In some embodiments of the present disclosure, structure 514 (fig. 5C) may also include a polycrystalline molybdenum film 516, which may be disposed directly on the surface of nucleation film 512.
In some embodiments, the polycrystalline molybdenum film 516 may be disposed within the plurality of non-planar features 504 of the substrate 502, wherein the polycrystalline molybdenum film 516 fills the plurality of non-planar features 504 without any observable seams. For example, the substrate 502 may include a surface 518 that includes a plurality of vertical non-planar features 504 (e.g., shown in fig. 5C as vertical v-shaped trenches). In some embodiments, the polycrystalline molybdenum film 516 disposed within the plurality of non-planar features 504 may be examined for the presence of observable seams by high magnification microscopy techniques, such as Transmission Electron Microscopy (TEM), Scanning Electron Microscopy (SEM), or Scanning Tunneling Electron Microscopy (STEM). If such high magnification microscopy techniques do not show the presence of seams, it should be understood that the polycrystalline molybdenum film 516 disposed within the plurality of non-planar features 504 is seamless, i.e., seamless.
In further embodiments of the present disclosure, the surface 518 of the substrate 502 further comprises a plurality of vertical non-planar features 504, and the nucleation film 512 is disposed directly on the plurality of vertical non-planar features 504. Further, the polycrystalline molybdenum film 516 may be disposed directly on the nucleation film 512, wherein the polycrystalline molybdenum film 516 fills the plurality of vertical non-planar features 504, as previously described, without any observable seams, as determined using high magnification microscopy techniques.
In some embodiments, structure 514, and in particular surface 518 of substrate 502, may further comprise a plurality of line features 506. For example, each line feature 506 may be disposed between adjacent vertical non-planar features 504, such as adjacent v-shaped vertical trenches as shown in fig. 5C. In some embodiments, the plurality of line features 506 may be enclosed with a nucleation film 512 disposed directly on the plurality of line features 506. Additionally, a polycrystalline molybdenum film 516 may be disposed directly on the nucleation film 512 enclosing the plurality of line features 506. In some embodiments, the plurality of line features 506 of structure 514 may have a line bend percentage of less than 20%, or less than 10%, or less than 5%, or less than 2%, or less than 1%. In a particular embodiment, the plurality of line features 506 of the structure 514 may have a line bend percentage of less than 20%. In further embodiments, the plurality of line features 506 of the structure 514 may have a line bend percentage of less than 10%. In some embodiments, the plurality of line features 506 of structure 514 may have a line bend percentage between approximately 1% and 20%. In some embodiments, the plurality of line features 506 of structure 514 may be substantially free of line bending, i.e., have a line bending percentage of about 0%.
In some embodiments, the surface roughness of the polycrystalline molybdenum film 516 of the structure 514 may be expressed as a roughness percentage of the total average film thickness of the polycrystalline molybdenum film 516. For example, in some embodiments, the surface roughness percentage of the polycrystalline molybdenum film 516 may be less than 10%, or less than 5%, or less than 3%, or less than 1.5%, or even less than 1%. In some embodiments, the surface roughness percentage of the polycrystalline molybdenum film 516 may be between about 1% and 10%.
In some embodiments, the polycrystalline molybdenum film 516 of structure 514 may comprise a plurality of molybdenum crystallites, wherein the average crystallite size may be less than
Figure BDA0002635459150000331
Or less than
Figure BDA0002635459150000332
Or less than
Figure BDA0002635459150000333
Or less than
Figure BDA0002635459150000334
Or even less than
Figure BDA0002635459150000335
In some embodiments, the molybdenum crystallites may have a crystallite size of between about
Figure BDA0002635459150000336
And
Figure BDA0002635459150000337
is between or about
Figure BDA0002635459150000338
And
Figure BDA0002635459150000339
between, or even about
Figure BDA00026354591500003310
And
Figure BDA00026354591500003311
average crystallite size in between.
As a non-limiting example, the polycrystalline molybdenum film 516 may be deposited directly on a molybdenum nitride nucleation filmAnd the molybdenum crystallites of the polycrystalline molybdenum film 516 may have a thickness less than about
Figure BDA00026354591500003312
Or less than about
Figure BDA00026354591500003313
Or even less than about
Figure BDA00026354591500003314
Or between about
Figure BDA00026354591500003315
And
Figure BDA00026354591500003316
average crystallite size in between. In a particular example, nucleation film 512 may comprise a molybdenum nitride nucleation film and polycrystalline molybdenum film 516 has a thickness less than
Figure BDA00026354591500003317
And has a roughness percentage of less than 10%.
In some embodiments, nucleation film 512 and polycrystalline molybdenum film 516 together comprise a bilayer disposed directly on surface 518 of substrate 502. In some embodiments, the bilayer may have a resistivity of less than 50 μ Ω -cm, or less than 30 μ Ω -cm, or less than 20 μ Ω -cm, or even less than 25 μ Ω -cm, or between about 25 μ Ω -cm and 50 μ Ω -cm, wherein the bilayer may comprise a bilayer having a resistivity of less than 50 μ Ω -cm
Figure BDA00026354591500003318
And a nucleating film having an average film thickness of
Figure BDA00026354591500003319
The polycrystalline molybdenum film of (2) is formed.
In some embodiments of the present disclosure, structure 514 (fig. 5C) may comprise a device structure, and in some embodiments, a partially fabricated device structure. For example, the partially fabricated device structure may comprise at least one of a DRAM device structure, a 3D-NAND device structure, a 3D integrated device structure, or an integrated logic device structure.
In embodiments where structure 514 comprises a partially fabricated DRAM device structure, non-planar substrate 502 may comprise a plurality of non-planar features 504 comprising a plurality of DRAM buried word line trenches, and further, the plurality of line features 506 may comprise a plurality of DRAM word lines. In such embodiments, nucleation film 512 may be disposed directly on the plurality of DRAM buried word line trenches and directly on the plurality of DRAM word lines.
In embodiments where structure 514 comprises a partially fabricated DRAM device structure, the polycrystalline molybdenum film 516 may be disposed within and fill a plurality of DRAM buried word line trenches. In such embodiments, the polycrystalline molybdenum film 516 disposed within and filling the plurality of DRAM buried word line trenches may include an unobservable seam, i.e., the filled DRAM buried word line trenches are seamless, as determined by high magnification microscopy techniques as previously described herein.
Additionally, in embodiments where structure 514 comprises a partially fabricated DRAM device structure, the line bend percentage of the plurality of DRAM wordlines may be less than 20%, or less than 10%, or less than 5%, or less than 2%, or less than 1%, or even between about 1% and 20%. In some embodiments, the plurality of DRAM wordlines may be substantially free of line curvature, i.e., have a line curvature percentage of about 0%.
By way of non-limiting example, structure 514 may comprise a partially fabricated DRAM device structure that includes a polycrystalline molybdenum film 516 disposed directly on a molybdenum nitride nucleation film 512. In such partially fabricated DRAM device structures, the plurality of molybdenum crystallites of the polycrystalline molybdenum film 516 may have a thickness less than about
Figure BDA0002635459150000341
Or less than about
Figure BDA0002635459150000342
Or even less than about
Figure BDA0002635459150000343
Or between about
Figure BDA0002635459150000344
And
Figure BDA0002635459150000345
average crystallite size in between. As a specific example of a partially fabricated DRAM device structure, nucleation film 512 may comprise a molybdenum nitride nucleation film and polycrystalline molybdenum film 516 may have a thickness less than that of the molybdenum nitride nucleation film
Figure BDA0002635459150000346
And a roughness percentage of the polycrystalline molybdenum film 516 of less than 10%.
The above-described example embodiments of the present disclosure do not limit the scope of the invention, as these embodiments are merely examples of embodiments of the present invention, which is defined by the appended claims and their legal equivalents. Any equivalent embodiments are intended to be included within the scope of the present invention. Indeed, various modifications of the disclosure, as alternative available combinations of the elements described, in addition to those shown and described herein will be apparent to those skilled in the art from the description. Such modifications and embodiments are also intended to fall within the scope of the appended claims.

Claims (40)

1. A method for forming a polycrystalline molybdenum film on a substrate surface, the method comprising:
providing a substrate into a reaction chamber;
depositing a nucleation film directly on the exposed surface of the substrate, wherein the nucleation film comprises one of a metal oxide nucleation film or a metal nitride nucleation film; and
depositing a polycrystalline molybdenum film directly on the nucleation film;
wherein the polycrystalline molybdenum film comprises a plurality of molybdenum crystallites having an average crystallite size of less than 80 angstroms.
2. The method of claim 1, wherein depositing the nucleation film comprises performing one or more first unit deposition cycles of a first cycle deposition process, wherein a first unit deposition cycle comprises sequentially contacting the substrate with a metal precursor and with one of an oxygen precursor or a nitrogen precursor.
3. The method of claim 1, wherein depositing the polycrystalline molybdenum metal film comprises performing one or more second unit deposition cycles of a second cycle deposition process, wherein a second unit deposition cycle comprises sequentially contacting the substrate with a molybdenum halide precursor and a reducing agent.
4. The method of claim 3, wherein the molybdenum halide precursor comprises at least one of: molybdenum (V) oxychloride (MoOCl)3) Molybdenum (VI) tetrachlorooxide (MoOCl)4) Or molybdenum (IV) dichlorodioxide (MoO)2Cl2)。
5. The method of claim 1, wherein the metal oxide nucleation film comprises at least one of: an aluminum oxide nucleation film, a molybdenum oxide nucleation film, a tungsten oxide nucleation film, a ruthenium oxide nucleation film, a rhenium oxide nucleation film, or an iridium oxide nucleation film, and the metal oxide nucleation film has a thickness of less than
Figure FDA0002635459140000011
Average film thickness of (2).
6. The method of claim 1, wherein the metal nitride nucleation film comprises a molybdenum nitride nucleation film, and the molybdenum nitride nucleation film has a thickness less than
Figure FDA0002635459140000012
Average film thickness of (2).
7. The method of claim 1, wherein the polycrystalline molybdenum film is deposited within a plurality of non-planar features, wherein the polycrystalline molybdenum film fills the plurality of non-planar features without forming seams.
8. The method of claim 1, wherein a bilayer comprising the polycrystalline molybdenum film and the nucleation film is less than
Figure FDA0002635459140000013
And an average nucleation film thickness of less than
Figure FDA0002635459140000014
Has a resistivity of less than 25 mu omega-cm at the average polycrystalline molybdenum film thickness.
9. The method of claim 1, wherein the nucleation film is less than
Figure FDA0002635459140000021
Is a physically continuous film at the average film thickness of (a).
10. The method of claim 1, wherein the exposed surface of the substrate comprises a plurality of vertical non-planar features, and the nucleation film is deposited directly on the exposed surface and the polycrystalline molybdenum film is deposited directly on the nucleation film, wherein the polycrystalline molybdenum film fills the plurality of vertical non-planar features without forming seams.
11. The method of claim 10, wherein the exposed surface comprises a dielectric surface.
12. The method of claim 10, wherein the substrate further comprises a plurality of line features, wherein a line bend percentage of the plurality of line features after deposition of the nucleation film and the polycrystalline molybdenum film is less than 20%.
13. The method of claim 10, wherein the substrate further comprises a plurality of line features, wherein a line bend percentage of the plurality of line features after deposition of the nucleation film and the polycrystalline molybdenum film is less than 10%.
14. The method of claim 10, wherein the nucleation film comprises a molybdenum nitride nucleation film.
15. The method of claim 14, wherein the polycrystalline molybdenum film has a thickness of less than
Figure FDA0002635459140000022
Figure FDA0002635459140000023
Average crystallite size of (a).
16. The method of claim 1, wherein the polycrystalline molybdenum film has a roughness percentage of less than 10%.
17. The method of claim 1, wherein the polycrystalline molybdenum film has a roughness percentage of less than 5%.
18. The method of claim 1, wherein the nucleation film comprises a molybdenum nitride nucleation film and the polycrystalline molybdenum film has a roughness percentage of less than 10%.
19. The method of claim 1, wherein the exposed surface of the substrate comprises a dielectric surface.
20. A structure comprising a polycrystalline molybdenum film formed according to the method of claim 1.
21. A reaction system configured to perform the method of claim 1.
22. A structure, comprising:
a surface of a substrate;
a nucleation film disposed directly on the surface of the substrate, wherein the nucleation film comprises at least one of a metal oxide nucleation film or a metal nitride nucleation film; and
a polycrystalline molybdenum film disposed directly on the nucleation film;
wherein the polycrystalline molybdenum film comprises a plurality of molybdenum films having a thickness less than
Figure FDA0002635459140000031
Molybdenum crystallites of average crystallite size.
23. The structure of claim 22, wherein the metal oxide nucleation film comprises at least one of: an aluminum oxide nucleation film, a molybdenum oxide nucleation film, a tungsten oxide nucleation film, a ruthenium oxide nucleation film, a rhenium oxide nucleation film, or an iridium oxide nucleation film, and the metal oxide nucleation film has a thickness of less than
Figure FDA0002635459140000032
Average film thickness of (2).
24. The structure of claim 22 wherein the metal nitride nucleation film comprises a film having a thickness less than
Figure FDA0002635459140000033
The average film thickness of the molybdenum nitride nucleation film of (1).
25. The structure of claim 22, wherein the polycrystalline molybdenum film is disposed within a plurality of non-planar features, wherein the polycrystalline molybdenum film fills the plurality of non-planar features without observable seams.
26. The structure of claim 22, wherein a bilayer comprising the polycrystalline molybdenum film and the nucleation film is less than
Figure FDA0002635459140000034
And an average nucleation film thickness of less than
Figure FDA0002635459140000035
Has a resistivity of less than 25 mu omega-cm at the average polycrystalline molybdenum film thickness.
27. The structure of claim 22 wherein the nucleation film is less than
Figure FDA0002635459140000036
Is a physically continuous film at the average film thickness of (a).
28. The structure of claim 22, wherein the surface of the substrate further comprises a plurality of vertical non-planar features, and the nucleation film is disposed directly on the plurality of vertical non-planar features and the polycrystalline molybdenum film is disposed directly on the nucleation film, wherein the polycrystalline molybdenum film fills the plurality of vertical non-planar features without observable seams.
29. The method of claim 22, wherein the surface of the substrate comprises a dielectric surface.
30. The structure of claim 28, wherein the substrate further comprises a plurality of line features, wherein a line bend percentage of the plurality of line features is less than 20%.
31. The structure of claim 28, wherein the substrate further comprises a plurality of line features, wherein a line bend percentage of the plurality of line features is less than 10%.
32. The structure of claim 28, wherein the nucleation film comprises a molybdenum nitride nucleation film.
33. The structure of claim 32, wherein the polycrystalline molybdenum film has a thickness of less than
Figure FDA0002635459140000041
Figure FDA0002635459140000042
Average crystallite size of (a).
34. The structure of claim 22, wherein the polycrystalline molybdenum film has a roughness percentage of less than 10%.
35. The structure of claim 22, wherein the substrate contains partially fabricated device structures comprising at least one of: a DRAM device structure, a 3D-NAND device structure, a 3D integrated device structure, or an integrated logic device structure.
36. The structure of claim 35, wherein the substrate comprises a partially fabricated DRAM device structure, wherein the substrate comprises a plurality of DRAM buried word line trenches and a plurality of DRAM word lines.
37. The structure of claim 36, wherein the nucleation film is disposed directly on the plurality of DRAM buried word line trenches and directly on the plurality of DRAM word lines.
38. The structure of claim 37, wherein the polycrystalline molybdenum film is disposed within and fills the plurality of DRAM buried word line trenches.
39. The structure of claim 38, wherein a line bend percentage of the plurality of DRAM wordlines is less than 20%.
40. The structure of claim 39, wherein the nucleation film comprises a molybdenum nitride nucleation film and the polycrystalline molybdenum film has a roughness percentage of greater than 1.5%.
CN202010823880.8A 2019-08-23 2020-08-17 Methods of forming polycrystalline molybdenum films and related structures including polycrystalline molybdenum films Pending CN112420488A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962891247P 2019-08-23 2019-08-23
US62/891,247 2019-08-23

Publications (1)

Publication Number Publication Date
CN112420488A true CN112420488A (en) 2021-02-26

Family

ID=74854915

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010823880.8A Pending CN112420488A (en) 2019-08-23 2020-08-17 Methods of forming polycrystalline molybdenum films and related structures including polycrystalline molybdenum films

Country Status (3)

Country Link
KR (1) KR20210024418A (en)
CN (1) CN112420488A (en)
TW (1) TW202122615A (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR102641819B1 (en) 2021-09-23 2024-02-29 엠케미칼 주식회사 molybdenum compound, manufacturing method thereof, and use thereof
KR20240011985A (en) 2022-07-20 2024-01-29 엠케미칼 주식회사 Novel molybdenum compound, manufacturing method thereof, and manufacturing method of a molybdenum-containing thin film comprising the same

Also Published As

Publication number Publication date
TW202122615A (en) 2021-06-16
KR20210024418A (en) 2021-03-05

Similar Documents

Publication Publication Date Title
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11908736B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102553413B1 (en) Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures
US12020938B2 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11996292B2 (en) Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11447864B2 (en) Layer forming method and apparatus
US20190067014A1 (en) Methods for filling a gap feature on a substrate surface and related semiconductor device structures
US11348795B2 (en) Metal fill process for three-dimensional vertical NAND wordline
CN112420488A (en) Methods of forming polycrystalline molybdenum films and related structures including polycrystalline molybdenum films
JP2020029618A (en) Method for depositing molybdenum metal film on dielectric surface of substrate by cyclical deposition process and related semiconductor device structure
JP7422971B2 (en) Method for depositing molybdenum metal films on dielectric surfaces of substrates and associated semiconductor device structures
JP2020029617A (en) Method for filling gap features on substrate surface and related semiconductor device structures
US20230343596A1 (en) Method to reduce bending of features on a surface of a substrate and structure formed using same
US20240136224A1 (en) Methods for filling a recessed feature on a substrate and related structures
US20230295795A1 (en) Methods and systems for filling gap features on substrate surfaces
US20240234205A9 (en) Methods for filling a recessed feature on a substrate and related structures
CN118241177A (en) Plasma enhanced method for depositing molybdenum

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination